# Package statistics -- Ranking by votes (all)
#-------------------------------------------------------------------------------------------
# rank Package                            	   votes     inst      old      new   nofile
#-------------------------------------------------------------------------------------------
    1 perl-base                          	    1102     4178     2910      166        0
    2 dash                               	    1088     4178     2926      163        1
    3 libc6                              	    1065     4178     2905      195       13
    4 util-linux                         	    1048     4174     2953      173        0
    5 sysvinit-core                      	    1005     3884     2728      151        0
    6 cron                               	     995     4161     3005      161        0
    7 debianutils                        	     992     4144     2984      168        0
    8 rsyslog                            	     980     4047     2909      158        0
    9 libc-bin                           	     964     4077     2918      195        0
   10 eudev                              	     959     4077     2951      167        0
   11 coreutils                          	     955     4178     3054      169        0
   12 bash                               	     952     4175     3046      177        0
   13 libpcre2-8-0                       	     947     4027     2593      159      328
   14 libgssapi-krb5-2                   	     934     4158     2556      164      504
   15 libk5crypto3                       	     934     4159     2556      164      505
   16 libkrb5-3                          	     934     4159     2556      164      505
   17 libkrb5support0                    	     934     4162     2557      164      507
   18 libuuid1                           	     932     4178     2543      158      545
   19 libkmod2                           	     931     4165     2572      157      505
   20 libblkid1                          	     919     4178     2559      163      537
   21 libstdc++6                         	     919     4177     2518      152      588
   22 libcrypt1                          	     914     3716     2506      154      142
   23 libapparmor1                       	     911     4063     2515      157      480
   24 zlib1g                             	     911     4178     2498      153      616
   25 gzip                               	     910     4178     3089      179        0
   26 grep                               	     909     4178     3090      179        0
   27 libselinux1                        	     908     4178     2505      156      609
   28 libcap-ng0                         	     907     4174     2491      154      622
   29 liblzma5                           	     907     4178     2501      152      618
   30 dpkg                               	     906     4178     3079      193        0
   31 libaudit1                          	     906     4178     2491      154      627
   32 libcap2                            	     906     4167     2485      148      628
   33 libwrap0                           	     906     4121     2369      134      712
   34 findutils                          	     905     4178     3094      179        0
   35 libfastjson4                       	     905     4025     2514      148      458
   36 libkeyutils1                       	     905     4171     2475      147      644
   37 libnsl2                            	     905     3680     2381      131      263
   38 libestr0                           	     904     4090     2515      148      523
   39 popularity-contest                 	     904     4170     3085      181        0
   40 libgmp10                           	     902     4178     2480      148      648
   41 libzstd1                           	     902     3974     2532      160      380
   42 libp11-kit0                        	     901     4178     2480      149      648
   43 libpam-modules                     	     898     4178     2457      147      676
   44 libgcc-s1                          	     897     3715     2466      151      201
   45 libpam0g                           	     896     4161     2480      155      630
   46 libidn2-0                          	     895     4119     2483      155      586
   47 apt                                	     894     4177     3092      188        3
   48 libcom-err2                        	     890     3939     2462      152      435
   49 tar                                	     885     4178     3102      191        0
   50 sed                                	     883     4178     3115      179        1
   51 diffutils                          	     881     4178     3111      186        0
   52 libexpat1                          	     879     4166     2431      154      702
   53 libacl1                            	     874     4178     2475      149      680
   54 libdbus-1-3                        	     872     4132     2441      158      661
   55 logrotate                          	     872     4163     3113      178        0
   56 man-db                             	     867     4047     3010      170        0
   57 init-system-helpers                	     865     4178     3123      190        0
   58 openssl                            	     861     4157     3098      198        0
   59 libtasn1-6                         	     857     4177     2467      169      684
   60 libmd0                             	     847     3704     2361      145      351
   61 libbsd0                            	     846     4170     2344      137      843
   62 elogind                            	     840     3686     2690      156        0
   63 libelogind0                        	     836     3839     2400      147      456
   64 libsasl2-2                         	     829     4165     2312      143      881
   65 sysvinit-utils                     	     825     4178     3159      194        0
   66 libxml2                            	     821     4156     2352      143      840
   67 libunistring2                      	     818     3846     2303      137      588
   68 openssh-server                     	     816     2862     1966       80        0
   69 libnettle8                         	     815     3408     2293      135      165
   70 libhogweed6                        	     814     3408     2291      135      168
   71 e2fsprogs                          	     811     4158     3162      185        0
   72 libgcrypt20                        	     811     4177     2311      140      915
   73 libtirpc3                          	     810     3498     2185      124      379
   74 libbz2-1.0                         	     797     4178     2315      144      922
   75 procps                             	     795     4161     3185      181        0
   76 libbrotli1                         	     789     3896     2322      143      642
   77 libgpg-error0                      	     782     4177     2232      136     1027
   78 libpam-elogind                     	     780     3679     2332      144      423
   79 libtinfo6                          	     780     3965     2273      146      766
   80 libgnutls30                        	     767     3813     2272      156      618
   81 libmount1                          	     759     4178     2311      153      955
   82 libffi8                            	     757     3109     2118      144       90
   83 libjpeg62-turbo                    	     741     4055     2256      140      918
   84 libxau6                            	     734     4130     2218      135     1043
   85 libxdmcp6                          	     734     4131     2220      135     1042
   86 libxcb1                            	     733     4128     2211      136     1048
   87 libglib2.0-0                       	     731     3825     2193      145      756
   88 dbus-daemon                        	     730     3012     2122      160        0
   89 libicu72                           	     727     3079     2056      139      157
   90 gpg                                	     726     3922     2989      207        0
   91 libeudev1                          	     726     4116     2143      134     1113
   92 libx11-6                           	     725     4120     2200      137     1058
   93 ncurses-base                       	     721     4178     2981      163      313
   94 iproute2                           	     720     4163     3260      183        0
   95 libavahi-common3                   	     715     3979     2171      145      948
   96 libnghttp2-14                      	     714     4096     2049      133     1200
   97 libfontconfig1                     	     713     4048     2186      138     1011
   98 librtmp1                           	     706     4151     2079      131     1235
   99 openssh-client                     	     699     4121     3181      241        0
  100 python3-minimal                    	     696     4140     3221      223        0
  101 libedit2                           	     693     4161     2049      128     1291
  102 libavahi-client3                   	     691     3976     2133      143     1009
  103 libdrm2                            	     690     3795     2151      135      819
  104 libfreetype6                       	     689     4150     2171      154     1136
  105 libpng16-16                        	     689     3799     2109      129      872
  106 libunwind8                         	     689     3446     2132      131      494
  107 libxext6                           	     689     4102     2154      135     1124
  108 libpixman-1-0                      	     687     3979     2157      133     1002
  109 kmod                               	     685     4147     3264      197        1
  110 libnuma1                           	     679     3913     1935      107     1192
  111 libssl3                            	     678     2790     1907      137       68
  112 libsqlite3-0                       	     676     4165     1943      131     1415
  113 libpciaccess0                      	     670     3724     2117      134      803
  114 libxrender1                        	     661     3985     2126      134     1064
  115 libgudev-1.0-0                     	     657     3439     2139      137      506
  116 libepoxy0                          	     652     3798     2058      131      957
  117 libjbig0                           	     650     4049     2027      133     1239
  118 libdeflate0                        	     649     3626     2022      133      822
  119 libpsl5                            	     649     3810     1941      121     1099
  120 libglvnd0                          	     648     3586     2087      136      715
  121 libglx0                            	     648     3570     2084      136      702
  122 avahi-daemon                       	     646     3061     2264      151        0
  123 libxshmfence1                      	     644     3714     2083      133      854
  124 libusb-1.0-0                       	     641     4019     2053      136     1189
  125 libxcb-dri3-0                      	     640     3716     2072      132      872
  126 libfontenc1                        	     639     3666     2075      132      820
  127 libxfont2                          	     638     3238     2072      134      394
  128 xserver-xorg-input-libinput        	     638     3168     2380      150        0
  129 libevdev2                          	     637     3320     2065      134      484
  130 libxrandr2                         	     636     3910     2013      130     1131
  131 dbus                               	     635     4017     3179      201        2
  132 libgraphite2-3                     	     633     3979     2044      126     1176
  133 libx11-xcb1                        	     632     3737     2062      134      909
  134 libfribidi0                        	     631     4022     2018      124     1249
  135 libxcb-shm0                        	     631     3980     2026      126     1197
  136 dbus-x11                           	     630     3845     3023      192        0
  137 ifupdown                           	     630     4140     3326      184        0
  138 libwayland-client0                 	     630     3839     2041      135     1033
  139 libssh2-1                          	     629     3861     1922      123     1187
  140 libxcb-render0                     	     629     3974     2023      125     1197
  141 libdatrie1                         	     626     3960     2008      124     1202
  142 libthai0                           	     626     3955     2008      124     1197
  143 libharfbuzz0b                      	     625     3966     2031      129     1181
  144 libjansson4                        	     625     3942     1953      129     1235
  145 liblcms2-2                         	     624     3962     1976      129     1233
  146 mount                              	     624     4156     3319      213        0
  147 libxfixes3                         	     623     3935     2014      127     1171
  148 libdaemon0                         	     622     3212     2016      138      436
  149 libinput10                         	     622     3287     2062      136      467
  150 libcairo2                          	     619     3961     2004      129     1209
  151 libgbm1                            	     618     3548     1882      119      929
  152 libnss-mdns                        	     617     3111     1923      133      438
  153 libsensors5                        	     617     3751     1967      130     1037
  154 libxcursor1                        	     617     3897     1994      128     1158
  155 libwayland-server0                 	     616     3555     1884      117      938
  156 libpango-1.0-0                     	     615     3940     2001      127     1197
  157 libpangocairo-1.0-0                	     615     3940     2000      127     1198
  158 libpangoft2-1.0-0                  	     615     3940     2001      127     1197
  159 initscripts                        	     614     4161     3349      198        0
  160 libtdb1                            	     614     3410     1878      124      794
  161 libpolkit-gobject-elogind-1-0      	     613     3290     1985      145      547
  162 libavahi-core7                     	     612     3082     2001      143      326
  163 libelf1                            	     612     3850     1986      131     1121
  164 libxcb-xfixes0                     	     612     3682     1989      128      953
  165 libasound2                         	     611     3472     1989      132      740
  166 libxcb-sync1                       	     611     3715     1984      129      991
  167 libcairo-gobject2                  	     610     3842     1973      129     1130
  168 libxcb-present0                    	     610     3719     1975      128     1006
  169 libldap-2.5-0                      	     609     3019     1889      125      396
  170 libice6                            	     608     3738     1985      129     1016
  171 libsm6                             	     608     3737     1986      129     1014
  172 gpgconf                            	     605     3922     3119      198        0
  173 libxkbcommon0                      	     605     3810     1964      128     1113
  174 libjson-c5                         	     603     3661     1865      129     1064
  175 libgdk-pixbuf-2.0-0                	     602     3524     1958      123      841
  176 libdb5.3                           	     601     3872     1430       46     1795
  177 libxi6                             	     601     3930     1955      130     1244
  178 cups-daemon                        	     600     2820     2074      146        0
  179 libxinerama1                       	     600     3910     1916      123     1271
  180 libwayland-cursor0                 	     599     3805     1943      129     1134
  181 libltdl7                           	     595     3839     1861      122     1261
  182 libsane1                           	     595     2810     2070      145        0
  183 libxcomposite1                     	     595     3905     1904      125     1281
  184 libwayland-egl1                    	     594     3702     1909      123     1076
  185 libxdamage1                        	     594     3903     1902      122     1285
  186 libz3-4                            	     594     3414     1928      130      762
  187 sane-utils                         	     593     2942     2203      146        0
  188 libglapi-mesa                      	     592     3676     2038      126      920
  189 libopus0                           	     592     3652     1900      124     1036
  190 libgl1-mesa-dri                    	     591     3693     1974      133      995
  191 dconf-gsettings-backend            	     589     3772     1915      128     1140
  192 libevent-2.1-7                     	     589     3185     1563       67      966
  193 at-spi2-core                       	     586     3687     2902      177       22
  194 libdrm-amdgpu1                     	     585     3664     1900      130     1049
  195 libnspr4                           	     585     3711     1888      128     1110
  196 libxtst6                           	     585     3852     1899      129     1239
  197 polkitd                            	     583     2869     2128      158        0
  198 libbluetooth3                      	     582     3063     1946      138      397
  199 libgomp1                           	     582     3928     1825      115     1406
  200 anacron                            	     581     3059     2315      163        0
  201 libduktape207                      	     580     2895     1822      137      356
  202 libgl1                             	     579     3570     1943      131      917
  203 libogg0                            	     577     3650     1900      125     1048
  204 libvorbis0a                        	     577     3648     1905      124     1042
  205 console-setup-linux                	     576     4080     3250      193       61
  206 libsnappy1v5                       	     576     3524     1780      104     1064
  207 libwebp7                           	     576     3015     1814      131      494
  208 xserver-xorg-core                  	     576     3214     2412      226        0
  209 libvorbisenc2                      	     574     3641     1878      123     1066
  210 libdrm-radeon1                     	     573     3701     1991      124     1013
  211 libmtdev1                          	     573     3056     1923      125      435
  212 librsvg2-2                         	     572     3872     1888      122     1290
  213 udisks2                            	     571     3115     2381      163        0
  214 upower                             	     571     2965     2247      147        0
  215 libmp3lame0                        	     569     3552     1852      122     1009
  216 libxcb-randr0                      	     568     3576     1827      123     1058
  217 fonts-dejavu-core                  	     566     4005     1829      113     1497
  218 libasyncns0                        	     564     3610     1846      124     1076
  219 libcups2                           	     563     3674     1863      129     1119
  220 libsndfile1                        	     562     3611     1845      126     1078
  221 libdevmapper1.02.1                 	     561     4148     1823      129     1635
  222 libexif12                          	     559     3490     1918      137      876
  223 libpulse0                          	     557     3594     1845      129     1063
  224 libxcb-glx0                        	     557     3710     1785      115     1253
  225 libargon2-1                        	     555     3407     1764      120      968
  226 libnss3                            	     554     3697     1809      129     1205
  227 bsdutils                           	     552     4178     3371      255        0
  228 libxcb-dri2-0                      	     551     3705     1943      122     1089
  229 libxxf86vm1                        	     551     3712     1830      121     1210
  230 apparmor                           	     550     3749     3000      198        1
  231 libavahi-glib1                     	     550     3100     1872      138      540
  232 libgtk-3-common                    	     550     3751     1747      122     1332
  233 python3.11-minimal                 	     549     2840     2124      167        0
  234 libpam-gnome-keyring               	     548     2743     1808      131      256
  235 libegl-mesa0                       	     545     3337     1697      102      993
  236 libpython3.11-stdlib               	     545     2845     2132      168        0
  237 liblerc4                           	     543     3023     1715      127      638
  238 libwebpmux3                        	     543     3571     1766      114     1148
  239 logsave                            	     543     3684     2948      193        0
  240 rtkit                              	     542     2895     2203      150        0
  241 cups-browsed                       	     541     2704     2014      149        0
  242 libsoxr0                           	     538     3397     1733      112     1014
  243 libxcvt0                           	     538     2563     1751      128      146
  244 startpar                           	     538     4148     3409      196        5
  245 libdrm-nouveau2                    	     536     3680     1837      126     1181
  246 libseat1                           	     536     2554     1726      119      173
  247 libxcb-util1                       	     535     3079     1826      120      598
  248 libdrm-intel1                      	     531     3684     1804      128     1221
  249 libatspi2.0-0                      	     530     3500     1734      116     1120
  250 libpaper1                          	     529     3519     1863      123     1004
  251 gvfs-daemons                       	     528     2768     2104      136        0
  252 libwacom9                          	     527     2596     1740      128      201
  253 libatk1.0-0                        	     526     3584     1728      111     1219
  254 libatk-bridge2.0-0                 	     525     3497     1717      112     1143
  255 libva2                             	     525     3313     1706      103      979
  256 libva-drm2                         	     524     3306     1706      103      973
  257 libtiff6                           	     523     3011     1696      129      663
  258 gpg-agent                          	     522     3909     3188      199        0
  259 libatasmart4                       	     519     3143     1765      125      734
  260 python3-gi                         	     519     3411     2718      173        1
  261 libspeex1                          	     518     3576     1686      103     1269
  262 libvdpau1                          	     516     3413     1680      103     1114
  263 libopenjp2-7                       	     515     3721     1727      107     1372
  264 libgsm1                            	     514     3574     1685      103     1272
  265 pulseaudio                         	     514     2754     2095      145        0
  266 libshine3                          	     513     3409     1682      103     1111
  267 libva-x11-2                        	     513     3309     1676      103     1017
  268 libxvidcore4                       	     513     3421     1683      104     1121
  269 gpgv                               	     512     4178     3453      213        0
  270 libtwolame0                        	     512     3414     1679      103     1120
  271 libudisks2-0                       	     512     3126     1761      128      725
  272 libegl1                            	     511     3344     1634      101     1098
  273 libgtk-3-0                         	     510     3481     1666      111     1194
  274 libupower-glib3                    	     509     2953     1714      123      607
  275 ocl-icd-libopencl1                 	     509     3206     1663      104      930
  276 libnotify4                         	     508     3256     1691      120      937
  277 modemmanager                       	     508     2707     2054      145        0
  278 libsecret-1-0                      	     506     3500     1635      110     1249
  279 fuse3                              	     503     2906     2239      164        0
  280 librsvg2-common                    	     503     3859     1680      111     1565
  281 libvolume-key1                     	     503     2974     1729      127      615
  282 wpasupplicant                      	     501     3308     2622      185        0
  283 libaom3                            	     499     2961     1536      109      817
  284 libglx-mesa0                       	     499     3565     1682      115     1269
  285 libmm-glib0                        	     498     2837     1763      131      445
  286 libassuan0                         	     494     3945     1726      121     1604
  287 network-manager                    	     494     2454     1819      141        0
  288 libcryptsetup12                    	     493     3228     1691      126      918
  289 x11-xkb-utils                      	     492     3262     2599      171        0
  290 libteamdctl0                       	     491     2499     1741      129      138
  291 exim4-daemon-light                 	     488     1760     1232       40        0
  292 gvfs-libs                          	     486     2780     1624      110      560
  293 libcurl3-gnutls                    	     486     3801     1708      128     1479
  294 colord                             	     485     2834     2182      167        0
  295 gvfs                               	     485     2767     1618      109      555
  296 libpython3.11-minimal              	     485     2849     2181      183        0
  297 liborc-0.4-0                       	     482     3075     1596      109      888
  298 libspeexdsp1                       	     482     3145     1600      111      952
  299 python3-apt                        	     482     3917     3225      210        0
  300 liblz4-1                           	     481     4125     1236       62     2346
  301 libndp0                            	     479     2489     1702      130      178
  302 sysv-rc                            	     479     3632     2821      173      159
  303 libcupsfilters1                    	     478     2789     1701      127      483
  304 libgck-1-0                         	     478     3051     1586      104      883
  305 libgcr-base-3-1                    	     478     3051     1586      104      883
  306 gnome-keyring                      	     476     2736     2098      162        0
  307 libjson-glib-1.0-0                 	     476     3478     1478       88     1436
  308 libmbim-glib4                      	     476     2758     1703      128      451
  309 libqmi-glib5                       	     476     2745     1705      128      436
  310 libtheora0                         	     474     3424     1650       98     1202
  311 libnl-3-200                        	     473     3826     1430       80     1843
  312 hostname                           	     471     4178     3486      219        2
  313 libgpgme11                         	     471     3442     1655      117     1199
  314 libxkbfile1                        	     471     3434     1591      111     1261
  315 mawk                               	     471     4155     3488      196        0
  316 python3-cairo                      	     469     3062     2420      172        1
  317 lsb-release                        	     468     4083     3395      220        0
  318 alsa-utils                         	     466     3307     2666      175        0
  319 libasound2-plugins                 	     466     3037     1555      104      912
  320 libbluray2                         	     463     3289     1548      103     1175
  321 libudfread0                        	     463     3127     1543      103     1018
  322 x11-xserver-utils                  	     463     3350     2714      173        0
  323 libspa-0.2-modules                 	     462     2875     1465       88      860
  324 libzvbi0                           	     462     3148     1560       98     1028
  325 libblockdev-utils2                 	     459     2822     1654      119      590
  326 libblockdev2                       	     459     2820     1652      119      590
  327 libllvm15                          	     459     2602     1573      119      451
  328 libblockdev-fs2                    	     458     2803     1644      118      583
  329 libblockdev-loop2                  	     458     2820     1651      120      591
  330 libblockdev-part-err2              	     458     2819     1651      120      590
  331 libblockdev-swap2                  	     458     2820     1651      120      591
  332 libblockdev-part2                  	     457     2816     1651      120      588
  333 libdw1                             	     456     3079     1541       90      992
  334 libproxy1v5                        	     456     3450     1437       84     1473
  335 libfuse3-3                         	     455     3002     1377       82     1088
  336 dbus-bin                           	     454     3012     2368      188        2
  337 glib-networking                    	     454     3441     1422       83     1482
  338 debconf                            	     453     4178     3506      219        0
  339 libstartup-notification0           	     453     3124     1530      106     1035
  340 libtext-wrapi18n-perl              	     452     4151     3498      201        0
  341 libblockdev-crypto2                	     450     2762     1625      119      568
  342 cpp                                	     449     3745     3098      198        0
  343 libparted-fs-resize0               	     448     2870     1597      115      710
  344 libparted2                         	     448     3325     1597      115     1165
  345 libpolkit-agent-1-0                	     446     3832     1551      119     1716
  346 xdg-desktop-portal                 	     445     2770     2166      157        2
  347 bluez                              	     444     2224     1677      103        0
  348 libdav1d6                          	     442     2758     1434      104      778
  349 libsvtav1enc1                      	     442     2660     1444      103      671
  350 python3-dbus                       	     442     3096     2495      159        0
  351 python3-gi-cairo                   	     442     2496     1932      121        1
  352 xdg-desktop-portal-gtk             	     442     2750     2150      156        2
  353 libgusb2                           	     441     2946     1431      102      972
  354 libx265-199                        	     440     2800     1424       97      839
  355 libcolord2                         	     439     3785     1412       98     1836
  356 librav1e0                          	     438     2704     1441      104      721
  357 apt-utils                          	     435     4166     3503      225        3
  358 dconf-service                      	     434     3772     2885      190      263
  359 libx264-164                        	     434     2678     1432      100      712
  360 libunbound8                        	     432     2042     1042       36      532
  361 libmpg123-0                        	     431     3260     1477      110     1242
  362 libvorbisfile3                     	     431     3566     1295       62     1778
  363 libmfx1                            	     430     2833     1461       86      856
  364 sudo                               	     430     3851     3221      200        0
  365 dmidecode                          	     428     4127     3498      201        0
  366 xserver-xorg-video-fbdev           	     428     3151     2552      171        0
  367 bubblewrap                         	     425     2979     2387      167        0
  368 xserver-xorg-video-vesa            	     422     3145     2542      181        0
  369 libpipewire-0.3-0                  	     420     2643     1384       79      760
  370 libflac12                          	     418     2537     1450      113      556
  371 xdg-user-dirs                      	     417     4072     3452      202        1
  372 libgirepository-1.0-1              	     415     3476     1335       94     1632
  373 gir1.2-glib-2.0                    	     412     3473     1322       96     1643
  374 powermgmt-base                     	     412     1501     1080        9        0
  375 apt-listchanges                    	     408     3791     3186      197        0
  376 libnm0                             	     408     2708     1492      115      693
  377 libqrtr-glib0                      	     408     2247     1452      120      267
  378 libdbusmenu-glib4                  	     401     2719     1339       93      886
  379 libpulse-mainloop-glib0            	     400     3084     1451      111     1122
  380 xserver-common                     	     400     3237     2534      303        0
  381 libxss1                            	     399     3460     1151       51     1859
  382 libnl-genl-3-200                   	     398     3698     1312       79     1909
  383 libcanberra0                       	     396     3073     1188       63     1426
  384 gir1.2-gdkpixbuf-2.0               	     395     3191     1273       93     1430
  385 libnl-route-3-200                  	     394     3567     1350       79     1744
  386 libpcsclite1                       	     394     3613     1331       78     1810
  387 libvpx7                            	     394     2521     1343      100      684
  388 git                                	     393     2174     1697       84        0
  389 libjxl0.7                          	     391     2618     1345       97      785
  390 dmsetup                            	     390     4149     3533      226        0
  391 libidn12                           	     390     2952      896       32     1634
  392 libxklavier16                      	     390     2626     1287       90      859
  393 libdbusmenu-gtk3-4                 	     389     2647     1295       90      873
  394 libplist3                          	     389     2326     1246       59      632
  395 libayatana-ido3-0.4-0              	     388     2615     1282       90      855
  396 libayatana-indicator3-7            	     388     2617     1283       90      856
  397 libhwy1                            	     387     2528     1330       96      715
  398 wireless-tools                     	     384     2564     2065      115        0
  399 libusbmuxd6                        	     381     2106     1237       57      431
  400 lm-sensors                         	     381     2497     1999      117        0
  401 libimobiledevice6                  	     380     2302     1234       58      630
  402 xauth                              	     380     4039     3442      217        0
  403 python3-cups                       	     379     2609     2077      153        0
  404 util-linux-extra                   	     378     3068     2478      212        0
  405 whiptail                           	     378     4133     3535      220        0
  406 xserver-xorg-legacy                	     377     3074     2407      290        0
  407 libcodec2-1.0                      	     376     2492     1309       95      712
  408 libgtk3-nocsd0                     	     375     2257     1240       98      544
  409 libinput-bin                       	     373     3287     2722      192        0
  410 libswresample4                     	     373     2561     1296       95      797
  411 fonts-urw-base35                   	     372     3316     1145       66     1733
  412 libxft2                            	     372     3660     1174       75     2039
  413 fonts-noto-mono                    	     370     3477     1187       87     1833
  414 isc-dhcp-client                    	     370     4025     3435      220        0
  415 libgphoto2-6                       	     365     2939     2415      159        0
  416 mailcap                            	     362     3554     2993      199        0
  417 pulseaudio-utils                   	     362     2926     2377      187        0
  418 libavutil57                        	     360     2456     1261       95      740
  419 firefox-esr                        	     354     2863     2260      249        0
  420 libayatana-appindicator3-1         	     351     2448     1217       88      792
  421 gir1.2-notify-0.7                  	     350     2754     1115       86     1203
  422 libavcodec59                       	     347     2372     1212       95      718
  423 ncurses-bin                        	     346     4178     3581      251        0
  424 gettext-base                       	     345     4148     3580      222        1
  425 libgstreamer1.0-0                  	     344     3337      979       59     1955
  426 libxt6                             	     343     3425     1079       71     1932
  427 libxslt1.1                         	     342     3676      769       31     2534
  428 gvfs-backends                      	     340     1615     1217       58        0
  429 libseccomp2                        	     339     4028      842       31     2816
  430 libxres1                           	     339     2959     1176       87     1357
  431 less                               	     336     4163     3592      235        0
  432 libmagic-mgc                       	     332     4079     3520      226        1
  433 libgnutls-dane0                    	     331     1809      925       31      522
  434 libxcb-xkb1                        	     326     3140      948       45     1821
  435 libxkbcommon-x11-0                 	     326     3125      947       45     1807
  436 libxmu6                            	     326     3630     1060       69     2175
  437 gawk                               	     325     1399     1062       12        0
  438 libc6-dev                          	     324     2618     2144      150        0
  439 cpp-12                             	     323     2694     2209      162        0
  440 libdouble-conversion3              	     323     2333      852       37     1121
  441 libnma0                            	     321     2062     1145       86      510
  442 libxpresent1                       	     319     2439     1112       83      925
  443 polkitd-pkla                       	     319     2491     2003      169        0
  444 sysstat                            	     317     1444     1091       36        0
  445 smartmontools                      	     316     1242      892       34        0
  446 network-manager-gnome              	     314     1964     1493      109       48
  447 gnupg2                             	     313     1581     1218       50        0
  448 libc-l10n                          	     312     4088     1125       82     2569
  449 gtk-update-icon-cache              	     311     3797     3236      250        0
  450 libxcb-render-util0                	     311     2541      828       32     1370
  451 fonts-noto-core                    	     310     1426      793       31      292
  452 libwnck-3-0                        	     309     2853     1055       77     1412
  453 libxcb-shape0                      	     308     3541      839       31     2363
  454 file                               	     307     4132     3596      229        0
  455 libxcb-icccm4                      	     307     2521      835       32     1347
  456 libgoa-1.0-0b                      	     305     1710      887       41      477
  457 xscreensaver                       	     305     1990     1563      122        0
  458 libgstreamer-plugins-base1.0-0     	     304     3290      838       55     2093
  459 libxcb-image0                      	     303     2538      819       31     1385
  460 libxcb-keysyms1                    	     303     2530      829       34     1364
  461 libpcre2-16-0                      	     300     2489      811       36     1342
  462 cpio                               	     296     4157     3633      228        0
  463 libglib2.0-data                    	     293     4081     1063       76     2649
  464 libxfce4util7                      	     293     2112     1012       75      732
  465 xdg-utils                          	     293     3321     2833      195        0
  466 libxfconf-0-3                      	     291     2001     1002       75      633
  467 libxfce4ui-2-0                     	     290     2077     1002       75      710
  468 ntpsec                             	     290      822      525        7        0
  469 desktop-file-utils                 	     288     2865     2408      169        0
  470 gpm                                	     288     1110      794       27        1
  471 fonts-dejavu-extra                 	     287     2413      727       17     1382
  472 rpcbind                            	     286      982      691        4        1
  473 libmd4c0                           	     285     2238      769       35     1149
  474 libwebpdemux2                      	     283     3521      806       44     2388
  475 libxcb-xinput0                     	     283     2254      772       34     1165
  476 insserv                            	     282     4165     3663      220        0
  477 nfs-common                         	     281      947      662        4        0
  478 xfwm4                              	     281     1949     1555      113        0
  479 libglib2.0-bin                     	     279     2454     2030      145        0
  480 libxcb-xinerama0                   	     277     2458      817       30     1334
  481 linux-base                         	     277     4142     3637      228        0
  482 lsb-base                           	     276     4075      797       12     2990
  483 libgdk-pixbuf2.0-common            	     275     3922      953       64     2630
  484 xfce4-settings                     	     272     1808     1432      104        0
  485 slim                               	     271     1558     1195       92        0
  486 thunar                             	     271     1825     1448      106        0
  487 libgphoto2-port12                  	     269     2919      822       35     1793
  488 xiccd                              	     269     1573     1212       92        0
  489 login                              	     268     4171     3656      247        0
  490 libatomic1                         	     267     3588      677       46     2598
  491 system-config-printer              	     265     2344     1939      140        0
  492 plocate                            	     263     1203      912       28        0
  493 net-tools                          	     262     4141     3649      230        0
  494 xfce4-power-manager                	     262     1710     1348      100        0
  495 fonts-liberation2                  	     261     2776      807       44     1664
  496 psmisc                             	     261     3543     3124      158        0
  497 xfce4-session                      	     261     1778     1414      103        0
  498 libmtp-runtime                     	     259     2348     1972      117        0
  499 xfce4-panel                        	     259     1775     1410      106        0
  500 iputils-ping                       	     257     4146     3640      248        1
  501 gpgsm                              	     256     3919     3433      230        0
  502 shared-mime-info                   	     256     4081     3601      224        0
  503 xfce4-notifyd                      	     256     1782     1421      105        0
  504 apache2-bin                        	     255     1153      854       44        0
  505 initramfs-tools-core               	     255     4066     3562      249        0
  506 iptables                           	     255     2565     2264       46        0
  507 libqt5svg5                         	     255     2384      751       33     1345
  508 policykit-1-gnome                  	     254     3438      906       73     2205
  509 geoclue-2.0                        	     252     1499     1189       58        0
  510 gvfs-fuse                          	     252     1175      867       56        0
  511 ucf                                	     252     4154     3608      294        0
  512 xfdesktop4                         	     252     1779     1419      108        0
  513 busybox                            	     250     4044     3551      243        0
  514 gir1.2-freedesktop                 	     249     3227      621       27     2330
  515 libpam-modules-bin                 	     249     4178     3696      233        0
  516 libutempter0                       	     249     3491      668       42     2532
  517 gir1.2-pango-1.0                   	     248     3175      619       27     2281
  518 initramfs-tools                    	     248     4070     3426      235      161
  519 grub-common                        	     247     4066     3581      238        0
  520 libkeybinder-3.0-0                 	     247     2067      874       71      875
  521 libqt5core5a                       	     247     2208      734       32     1195
  522 x11-utils                          	     247     3455     3014      194        0
  523 gir1.2-harfbuzz-0.0                	     246     2988      617       27     2098
  524 ntfs-3g                            	     245     3206     2739      222        0
  525 gir1.2-atk-1.0                     	     243     3174      632       29     2270
  526 exim4-config                       	     242     1791     1483       66        0
  527 klibc-utils                        	     242     4136     3646      248        0
  528 python3-setuptools                 	     242     1347     1055       50        0
  529 at-spi2-common                     	     241     2904      847       64     1752
  530 gir1.2-gtk-3.0                     	     241     3139      585       26     2287
  531 libwacom-common                    	     240     3289     2834      215        0
  532 grub2-common                       	     239     4053     3575      239        0
  533 gvfs-common                        	     239     2789     2379      170        1
  534 libssl1.1                          	     238     1916      516       10     1152
  535 libyaml-0-2                        	     238     2790      676       38     1838
  536 perl-modules-5.36                  	     237     2820     2388      195        0
  537 libnpth0                           	     236     3793      881       80     2596
  538 libqt5dbus5                        	     236     2203      721       32     1214
  539 xserver-xorg-input-wacom           	     236     3101     2662      203        0
  540 libexo-2-0                         	     235     1897      829       66      767
  541 libsodium23                        	     235     3570      449        2     2884
  542 libgpm2                            	     234     4030      624       27     3145
  543 libthunarx-3-0                     	     233     1798      827       66      672
  544 libqt5gui5                         	     232     2190      701       32     1225
  545 libqt5widgets5                     	     232     2192      700       32     1228
  546 fontconfig                         	     230     3920     3456      234        0
  547 binutils                           	     229     2836     2505      102        0
  548 libyuv0                            	     229     2823      617       34     1943
  549 uuid-runtime                       	     229      782      545        8        0
  550 rsync                              	     228     2838     2452      158        0
  551 acpid                              	     227      815      585        3        0
  552 fonts-liberation                   	     227     2171      527        6     1411
  553 libabsl20220623                    	     226     2606      630       34     1716
  554 libgav1-1                          	     226     2823      610       33     1954
  555 libpcre3                           	     226     3574      528        7     2813
  556 libdbus-glib-1-2                   	     224     3009      736       20     2029
  557 libgarcon-1-0                      	     224     1830      814       65      727
  558 libxfce4panel-2.0-4                	     224     1869      812       63      770
  559 libevent-core-2.1-7                	     223     1627      382        1     1021
  560 libgarcon-gtk3-1-0                 	     223     1686      811       65      587
  561 groff-base                         	     222     4129     3678      229        0
  562 xfconf                             	     221     2117     1774      122        0
  563 libqt5network5                     	     220     2200      682       32     1266
  564 libsbc1                            	     219     3076      640       31     2186
  565 dosfstools                         	     218     3285     2856      211        0
  566 firmware-linux-free                	     218     4011     3575      218        0
  567 apache2                            	     217      780      548       14        1
  568 binutils-x86-64-linux-gnu          	     217     2558     2242       99        0
  569 lightdm                            	     217     1074      813       43        1
  570 libnfsidmap1                       	     216      629      380        3       30
  571 zstd                               	     216     3036     2596      224        0
  572 libtag1v5-vanilla                  	     214     3324      797       61     2252
  573 qttranslations5-l10n               	     214     2315      648       30     1423
  574 libmtp-common                      	     213     2415     2081      121        0
  575 passwd                             	     213     4178     3722      243        0
  576 thunar-archive-plugin              	     213     1719      771       63      672
  577 libical3                           	     212     1375      666       36      461
  578 libtalloc2                         	     211     3382      380        4     2787
  579 libvte-2.91-0                      	     210     2971      744       63     1954
  580 sensible-utils                     	     209     4169     3730      230        0
  581 libqt5x11extras5                   	     208     2027      644       29     1146
  582 usbmuxd                            	     208     2430     2098      124        0
  583 accountsservice                    	     207     1394     1125       62        0
  584 libopenni2-0                       	     207     2788     2386      194        1
  585 usb-modeswitch-data                	     207     2891     2483      201        0
  586 firmware-realtek                   	     206     1520     1245       69        0
  587 libpaper-utils                     	     205     3592     3156      231        0
  588 libxxhash0                         	     205     3745      675       46     2819
  589 pciutils                           	     204     4130     3678      248        0
  590 speech-dispatcher                  	     204     2523     2139      179        1
  591 system-config-printer-udev         	     203     2592     2210      178        1
  592 bluez-obexd                        	     202     1296     1030       62        2
  593 libldb2                            	     202     3028      361        4     2461
  594 thunar-media-tags-plugin           	     202     1695      748       61      684
  595 gcc                                	     201     2546     2255       90        0
  596 kbd                                	     201     4108     3677      230        0
  597 libavif15                          	     201     2580      576       31     1772
  598 libstemmer0d                       	     201     1957      625       37     1094
  599 libwbclient0                       	     201     3333      363        5     2764
  600 pulseaudio-module-bluetooth        	     201      977      734       42        0
  601 libuno-sal3                        	     200     2493     2026      267        0
  602 samba-libs                         	     200     3288      360        5     2723
  603 gcr                                	     199     2913     2528      186        0
  604 libuno-cppu3                       	     199     2485     2021      265        0
  605 libuno-cppuhelpergcc3-3            	     199     2481     2016      266        0
  606 libuno-salhelpergcc3-3             	     199     2486     2021      266        0
  607 libcurl4                           	     198     3458      346        4     2910
  608 ipp-usb                            	     197     2685     2279      209        0
  609 libffi7                            	     195     1373      446        7      725
  610 xfce4-pulseaudio-plugin            	     195     1732      714       62      761
  611 libreoffice-common                 	     193     2825     2305      327        0
  612 libaprutil1                        	     191     1382      350        9      832
  613 appstream                          	     190     1137      894       53        0
  614 exo-utils                          	     189     1922     1620      113        0
  615 libreoffice-core                   	     188     2817     2296      333        0
  616 libtagc0                           	     188     2207      741       61     1217
  617 brltty                             	     187      767      553       27        0
  618 fonts-noto-extra                   	     187     1138      531       20      400
  619 libapr1                            	     187     1391      345       10      849
  620 libxcb-res0                        	     187     1543      624       44      688
  621 ure                                	     186     2847     2323      338        0
  622 liblzo2-2                          	     184     2759      398       15     2162
  623 libpcre2-32-0                      	     184     1815      529       26     1076
  624 libyajl2                           	     184     3242      359        4     2695
  625 libicu67                           	     183      810      444        7      176
  626 libtevent0                         	     183     3069      327        3     2556
  627 hdparm                             	     182     1481     1283       16        0
  628 libqt5xml5                         	     182     1775      568       31      994
  629 xfce4-terminal                     	     181     1782     1489      112        0
  630 libldap-2.4-2                      	     179     1292      415        7      691
  631 libdconf1                          	     178     3787      477       23     3109
  632 wget                               	     176     4109     3571      362        0
  633 uno-libs-private                   	     174     2675     2165      336        0
  634 atril                              	     172     2000     1693      135        0
  635 curl                               	     172     2578     2197      209        0
  636 ghostscript                        	     171     3501     3083      247        0
  637 liblouis20                         	     171     2856      489       24     2172
  638 libmng1                            	     171     2062      502       27     1362
  639 libcap2-bin                        	     170     4069     3653      246        0
  640 libxpm4                            	     170     3858      288        0     3400
  641 libkf5windowsystem5                	     169     1314      561       29      555
  642 runit-helper                       	     169     2831     2539      122        1
  643 libreoffice-style-colibre          	     168     2791     2281      342        0
  644 lvm2                               	     167     1272     1058       47        0
  645 libdbusmenu-qt5-2                  	     166     1263      549       28      520
  646 libenchant-2-2                     	     166     2706      436       20     2084
  647 libpam-cap                         	     166      664      269        0      229
  648 fonts-symbola                      	     165     2620      387        9     2059
  649 p11-kit                            	     165     2804     2450      189        0
  650 libsoup-3.0-0                      	     163     2524      394       25     1942
  651 make                               	     163     2583     2343       77        0
  652 libkf5archive5                     	     162     1244      506       24      552
  653 libperl5.36                        	     162     2818     2442      213        1
  654 libpopt0                           	     162     4168      285        2     3719
  655 pkexec                             	     162     2812     2428      222        0
  656 libopengl0                         	     161     2810      452       21     2176
  657 blueman                            	     160      705      521       24        0
  658 python3-uno                        	     160     2737     2251      326        0
  659 libhyphen0                         	     159     3124      402       19     2544
  660 libqt5qml5                         	     159     2211      523       29     1500
  661 gir1.2-nm-1.0                      	     157      872      427       28      260
  662 libappstream4                      	     157     1546      543       32      814
  663 libcanberra-gtk3-0                 	     157     2613      520       29     1907
  664 python3-pkg-resources              	     157     3994     3538      299        0
  665 libkf5solid5                       	     156     1226      509       28      533
  666 liburi-perl                        	     156     3743     3366      221        0
  667 libwnck-3-common                   	     156     2862      568       46     2092
  668 pcscd                              	     156      538      379        3        0
  669 seatd                              	     156      832      608       68        0
  670 libheif1                           	     155     3485      381       17     2932
  671 libkf5coreaddons-data              	     155     1315      515       23      622
  672 liburing2                          	     155     1820      253        0     1412
  673 xz-utils                           	     155     4151     3743      252        1
  674 adduser                            	     154     4178     3782      242        0
  675 libkf5coreaddons5                  	     154     1313      517       23      619
  676 liblmdb0                           	     154     3911      393       16     3348
  677 libqt5printsupport5                	     154     1794      441       23     1176
  678 libreoffice-writer                 	     154     2798     2301      343        0
  679 libqt5waylandclient5               	     152     2075      493       23     1407
  680 openssh-sftp-server                	     152     2866     2481      233        0
  681 poppler-data                       	     152     3630     3256      221        1
  682 kdeconnect                         	     151      760      580       29        0
  683 libvulkan1                         	     151     3321      372        9     2789
  684 php-common                         	     151      511      359        1        0
  685 libkf5configcore5                  	     150     1282      497       23      612
  686 libkf5widgetsaddons-data           	     150     1255      484       23      598
  687 orphan-sysvinit-scripts            	     150      800      413       13      224
  688 pipewire-bin                       	     150     1423     1210       63        0
  689 gcc-12                             	     149     1793     1588       56        0
  690 libharfbuzz-icu0                   	     149     3130      390       19     2572
  691 libkf5configgui5                   	     149     1247      486       23      589
  692 libkf5crash5                       	     149     1236      491       23      573
  693 libkf5guiaddons5                   	     149     1257      486       23      599
  694 libkf5i18n5                        	     149     1248      490       23      586
  695 libkf5widgetsaddons5               	     149     1253      485       23      596
  696 libkf5authcore5                    	     148     1142      488       23      483
  697 libkf5codecs5                      	     148     1217      484       23      562
  698 libkf5configwidgets5               	     148     1206      485       23      550
  699 libkf5dbusaddons5                  	     148     1211      492       23      548
  700 libkf5iconthemes5                  	     148     1200      483       23      546
  701 libkf5service5                     	     148     1199      489       23      539
  702 nano                               	     148     3991     3610      233        0
  703 libqt5texttospeech5                	     147     1221      486       23      565
  704 python3-cffi-backend               	     147     2019     1761      110        1
  705 wireless-regdb                     	     147     3203     2834      221        1
  706 eject                              	     146     3841     3422      273        0
  707 libgdk-pixbuf2.0-bin               	     146     3700     3314      239        1
  708 libkf5completion5                  	     146     1227      478       23      580
  709 libkf5kiocore5                     	     146     1178      481       24      527
  710 libqrencode4                       	     146     2916      404       19     2347
  711 nethack-common                     	     146      964      784       34        0
  712 xfce4-power-manager-plugins        	     146     1702      609       58      889
  713 lsof                               	     145     4063     3652      266        0
  714 postfix                            	     145      376      230        1        0
  715 at                                 	     144      496      351        1        0
  716 dnsmasq-base                       	     144     2708     2336      228        0
  717 libkf5jobwidgets-data              	     144     1189      476       23      546
  718 libkf5notifications5               	     144     1204      482       23      555
  719 qt5-image-formats-plugins          	     144      995      475       22      354
  720 unzip                              	     144     3834     3442      247        1
  721 libkf5jobwidgets5                  	     143     1185      477       23      542
  722 libkf5kiogui5                      	     143     1155      475       24      513
  723 libqt5qmlmodels5                   	     143     2089      447       22     1477
  724 libqt5quick5                       	     143     2199      447       22     1587
  725 policykit-1                        	     143     3610      782       11     2674
  726 libexempi8                         	     142      996      472       26      356
  727 lightdm-gtk-greeter                	     142     1053      859       51        1
  728 system-config-printer-common       	     142     2599     2280      177        0
  729 libkf5kiowidgets5                  	     141     1178      472       24      541
  730 libreoffice-calc                   	     141     2783     2289      353        0
  731 libaspell15                        	     140     3535     3142      232       21
  732 thunar-volman                      	     140     1808     1549      119        0
  733 libpcap0.8                         	     139     3412      249        1     3023
  734 libwoff1                           	     139     3029      363       18     2509
  735 xserver-xorg-video-ati             	     139     3120     2777      204        0
  736 binfmt-support                     	     138      861      719        4        0
  737 libvirt-daemon                     	     138      449      308        3        0
  738 python3-pysimplesoap               	     135     3541     3194      212        0
  739 cups-filters-core-drivers          	     134     2820     2468      218        0
  740 fwupd                              	     134      845      656       55        0
  741 libde265-0                         	     134     3639      354       16     3135
  742 libpackagekit-glib2-18             	     134     2773      437       28     2174
  743 libxfce4ui-common                  	     134     2090      507       45     1404
  744 fonts-freefont-ttf                 	     132     1511      290        3     1086
  745 libapt-pkg6.0                      	     132     3427      494       35     2766
  746 libqca-qt5-2                       	     131     1126      436       20      539
  747 fonts-droid-fallback               	     130     3464      344       17     2973
  748 htop                               	     130     2022     1802       90        0
  749 libsasl2-modules-db                	     130     4167      183        2     3852
  750 mdadm                              	     130      564      420       14        0
  751 iio-sensor-proxy                   	     129     1364     1147       88        0
  752 libssh-4                           	     129     1521      232        4     1156
  753 libvirt0                           	     129      541      224        3      185
  754 libwww-perl                        	     129     3590     3219      242        0
  755 media-player-info                  	     129     1471     1259       83        0
  756 cups                               	     128     2803     2465      210        0
  757 libmnl0                            	     128     4166      234        2     3802
  758 libreoffice-gtk3                   	     128     2136     1739      269        0
  759 libvirt-daemon-driver-qemu         	     128      392      261        3        0
  760 libqca-qt5-2-plugins               	     127     1094      410       20      537
  761 libcloudproviders0                 	     126     1112      355       23      608
  762 libsasl2-modules                   	     126     4072      175        2     3769
  763 libxmlb2                           	     126     1289      444       37      682
  764 python3.9-minimal                  	     126      708      565       16        1
  765 libgstreamer-gl1.0-0               	     125     3023      336       18     2544
  766 samba                              	     125      378      250        3        0
  767 console-setup                      	     124     4078     3697      257        0
  768 fonts-noto-color-emoji             	     124     1296      244        5      923
  769 libpython3.9-stdlib                	     124      721      578       18        1
  770 libqt5sql5                         	     124     1655      387       20     1124
  771 os-prober                          	     124     3936     3574      238        0
  772 python3-cryptography               	     124     1929     1702      103        0
  773 libkf5itemviews5                   	     123     1242      425       22      672
  774 libmanette-0.2-0                   	     123     2602      336       18     2125
  775 screen                             	     123     1859     1679       57        0
  776 thunar-data                        	     123     1846     1560      119       44
  777 libgstreamer-plugins-bad1.0-0      	     122     3059      294       17     2626
  778 libwebp6                           	     122     1578      350        8     1098
  779 libpam-runtime                     	     121     4178     3807      250        0
  780 nftables                           	     121     3406     3045      240        0
  781 gimp                               	     120     1706     1504       82        0
  782 libtry-tiny-perl                   	     120     3519     3181      218        0
  783 libxcb-composite0                  	     120     1655      414       27     1094
  784 libxtables12                       	     119     4103      303        9     3672
  785 fonts-cantarell                    	     118      942      343       19      462
  786 libarchive13                       	     118     3153      435       27     2573
  787 libnfnetlink0                      	     118     3796      206        2     3470
  788 mc                                 	     118     1583     1401       64        0
  789 python3-psutil                     	     118     1007      849       40        0
  790 install-info                       	     117     1417     1251       49        0
  791 libhttp-date-perl                  	     117     3697     3359      221        0
  792 xterm                              	     117     2916     2621      178        0
  793 libkf5globalaccel5                 	     116     1193      401       21      655
  794 libkf5xmlgui5                      	     116     1188      398       21      653
  795 libprotobuf-c1                     	     116     3871      297       20     3438
  796 libruby3.1                         	     116      662      536       10        0
  797 libgail-3-0                        	     115      953      421       24      393
  798 libhttp-message-perl               	     115     3696     3360      221        0
  799 packagekit                         	     115     1494      499       22      858
  800 python3-matplotlib                 	     115      556      420       21        0
  801 libxcb-cursor0                     	     114     1033      362       20      537
  802 libdaxctl1                         	     113     1028      206        0      709
  803 libndctl6                          	     113     1028      206        0      709
  804 libpmem1                           	     113     1028      206        0      709
  805 libsoup2.4-1                       	     113     3048      370       15     2550
  806 mlocate                            	     113     1514      311        4     1086
  807 xfdesktop4-data                    	     113     1789      450       38     1188
  808 libxcb-damage0                     	     112     2665      397       24     2132
  809 cups-filters                       	     111     2819     2488      220        0
  810 libvirt-daemon-driver-lxc          	     111      346      232        3        0
  811 libxkbregistry0                    	     111     1454      334       13      996
  812 qt5-gtk-platformtheme              	     111     2249      268        7     1863
  813 libencode-locale-perl              	     110     3706     3379      217        0
  814 libgtk2.0-0                        	     110     3105      271        6     2718
  815 libgtop-2.0-11                     	     110     2301      320       12     1859
  816 libpython3.9-minimal               	     110      724      592       21        1
  817 libvirt-daemon-driver-vbox         	     110      344      195        3       36
  818 mesa-vulkan-drivers                	     110     3059     2703      228       18
  819 vim                                	     110     1224     1055       59        0
  820 intel-media-va-driver              	     109     3170      274        4     2783
  821 libncursesw6                       	     108     3946      277       14     3547
  822 thunderbird                        	     108      891      709       74        0
  823 bzip2                              	     107     4143     3795      240        1
  824 libcanberra-pulse                  	     107     1269      278       19      865
  825 libhunspell-1.7-0                  	     107     3082      285       16     2674
  826 libio-socket-ip-perl               	     107      410      302        1        0
  827 libnetfilter-conntrack3            	     107     3744      191        2     3444
  828 libvirt-daemon-driver-xen          	     107      334      195        1       31
  829 traceroute                         	     107     3965     3624      234        0
  830 aspell-en                          	     106     3042     2748      188        0
  831 bsdextrautils                      	     106     3671     3285      280        0
  832 cryptsetup                         	     106      778      626       27       19
  833 firmware-iwlwifi                   	     106     1143      973       64        0
  834 python3-brotli                     	     106     1144      979       59        0
  835 libtiff5                           	     105     1306      322        8      871
  836 bind9-host                         	     104     4008     3581      323        0
  837 dictionaries-common                	     104     4005     3641      260        0
  838 fail2ban                           	     104      282      177        1        0
  839 libfuse2                           	     104     3835      283        3     3445
  840 libigdgmm12                        	     104     2584      253        4     2223
  841 libmpfr6                           	     104     3703      261       18     3320
  842 bind9-dnsutils                     	     103     3375     2961      311        0
  843 libgtk-layer-shell0                	     103      624      261       16      244
  844 libio-socket-ssl-perl              	     103     3657     3331      223        0
  845 ntpsec-ntpdate                     	     103      524      415        6        0
  846 g++                                	     102     2349     2149       98        0
  847 libccid                            	     102      539      433        4        0
  848 libmspack0                         	     102      760      192        1      465
  849 mariadb-server-core                	     102      590      442       46        0
  850 python3-yaml                       	     102     1530     1353       75        0
  851 vlc-bin                            	     102     1200     1054       44        0
  852 bc                                 	     101     3287     2975      211        0
  853 libkf5bookmarks5                   	     101     1007      360       18      528
  854 libqt5quickwidgets5                	     101     1448      353       18      976
  855 python3-cupshelpers                	     101     2608     2316      191        0
  856 emacsen-common                     	     100     4042     3701      241        0
  857 fdisk                              	     100     3783     3402      281        0
  858 ethtool                            	      99     1121     1012       10        0
  859 libkf5package5                     	      99     1117      360       21      637
  860 xfce4-power-manager-data           	      99     1719      401       36     1183
  861 xinit                              	      99     3136     2832      205        0
  862 bsd-mailx                          	      98     1308     1150       60        0
  863 libkf5sonnetcore5                  	      98     1211      348       18      747
  864 libkf5sonnetui5                    	      98     1208      348       18      744
  865 mate-settings-daemon               	      98      534      395       30       11
  866 ntp                                	      98     1063      301        0      664
  867 kactivitymanagerd                  	      97     1078      831       64       86
  868 kded5                              	      97     1149      999       53        0
  869 kimageformat-plugins               	      97      573      323       16      137
  870 libgpgmepp6                        	      97     2700      304       15     2284
  871 libkf5kiofilewidgets5              	      97      957      344       18      498
  872 libkf5textwidgets5                 	      97     1185      343       18      727
  873 libsynctex2                        	      97     2655      253       11     2294
  874 python3-xdg                        	      97     2871     2576      198        0
  875 samba-common-bin                   	      97     1034      875       62        0
  876 sgml-base                          	      97     3509     3201      211        0
  877 synaptic                           	      97     2494     2204      193        0
  878 tpm-udev                           	      97     1109      938       74        0
  879 caja                               	      96      551      424       31        0
  880 libspeechd2                        	      96     2765      241       15     2413
  881 libwacom2                          	      96      691      319        7      269
  882 libxencall1                        	      96      444      192        2      154
  883 libxendevicemodel1                 	      96      444      192        2      154
  884 libxenevtchn1                      	      96      444      192        2      154
  885 libxenforeignmemory1               	      96      444      192        2      154
  886 libxengnttab1                      	      96      444      192        2      154
  887 libxentoolcore1                    	      96      451      192        2      161
  888 libxentoollog1                     	      96      444      192        2      154
  889 python3-pil                        	      96     1731     1552       83        0
  890 clamav-freshclam                   	      95      280      185        0        0
  891 libkf5attica5                      	      95     1148      333       18      702
  892 libqt5sql5-sqlite                  	      95     1776      325       15     1341
  893 mate-panel                         	      95      534      409       30        0
  894 mate-session-manager               	      95      538      414       29        0
  895 vim-tiny                           	      95     3985     3547      343        0
  896 beep                               	      94     1064      921       49        0
  897 chromium                           	      94      795      617       83        1
  898 chromium-common                    	      94      781      603       83        1
  899 libminizip1                        	      94     1728      255       11     1368
  900 php8.2-common                      	      94      316      213        9        0
  901 php8.2-opcache                     	      94      315      213        8        0
  902 php8.2-readline                    	      94      315      213        8        0
  903 libkf5globalaccel-bin              	      93     1192      997       48       54
  904 libkf5idletime5                    	      93      945      360       22      470
  905 libkf5waylandclient5               	      93     1278      343       19      823
  906 mate-screensaver                   	      93      506      385       28        0
  907 kinit                              	      92      850      724       34        0
  908 libibus-1.0-5                      	      92     1175      243        9      831
  909 libreoffice-draw                   	      92     2754     2300      362        0
  910 libsystemd0                        	      92      479       98        5      284
  911 libuno-purpenvhelpergcc3-3         	      92     2483     2098      293        0
  912 marco                              	      92      560      437       31        0
  913 p7zip-full                         	      92     2938     2579      182       85
  914 unattended-upgrades                	      92      636      523       21        0
  915 xserver-xorg-video-radeon          	      92     3127     2828      207        0
  916 imagemagick-6.q16                  	      91     2237     2027      105       14
  917 iotop                              	      91     1326     1169       65        1
  918 kio                                	      91     1178     1028       59        0
  919 libkf5newstuff5                    	      91      872      318       18      445
  920 libkf5newstuffcore5                	      91     1052      322       18      621
  921 libopenexr-3-1-30                  	      91     2692      313       20     2268
  922 nfs-kernel-server                  	      91      310      212        7        0
  923 openrc                             	      91      529      411       27        0
  924 python3-pyinotify                  	      91     2016     1795      130        0
  925 tmux                               	      91     1355     1205       59        0
  926 xsettingsd                         	      91      745      612       42        0
  927 libnftnl11                         	      90     3927      169        2     3666
  928 libunistring5                      	      90      321      194       13       24
  929 mate-media                         	      90      512      390       30        2
  930 pulseaudio-module-gsettings        	      90      464      354       20        0
  931 python3-chardet                    	      90     3971     3638      243        0
  932 baloo-kf5                          	      89      590      477       24        0
  933 bridge-utils                       	      89      444      348        7        0
  934 libjavascriptcoregtk-4.1-0         	      89     2143      247       18     1789
  935 libnftables1                       	      89     3446      169        2     3186
  936 libwavpack1                        	      89     3471      270        4     3108
  937 libwebkit2gtk-4.1-0                	      89     2133      247       18     1779
  938 libxenhypfs1                       	      89      399      182        2      126
  939 plasma-workspace                   	      89      558      435       34        0
  940 python3-zope.interface             	      89      389      292        8        0
  941 usbutils                           	      89     2699     2486      124        0
  942 xml-core                           	      89     3506     3199      218        0
  943 libreadline8                       	      88     3402      237       16     3061
  944 libreoffice-impress                	      88     2742     2289      365        0
  945 sddm                               	      88      645      513       44        0
  946 engrampa                           	      87      579      460       32        0
  947 libck-connector0                   	      87      771      202        6      476
  948 libkf5wallet5                      	      87     1197      330       19      761
  949 exim4-base                         	      86     1787     1599      102        0
  950 kde-config-gtk-style               	      86      555      293       16      160
  951 libchromaprint1                    	      86     3340      226        1     3027
  952 libonig5                           	      86     1403      125        0     1192
  953 libpolkit-qt5-1-1                  	      86     1251      332       21      812
  954 libssl3t64                         	      86      307      194       16       11
  955 linux-libc-dev                     	      86     2626     2277      263        0
  956 python3-smbc                       	      86     2531     2240      205        0
  957 xserver-xorg-video-amdgpu          	      86     3114     2818      210        0
  958 cups-client                        	      85     2975     2686      204        0
  959 gnome-online-accounts              	      85      444      261       18       80
  960 laptop-detect                      	      85     4034     3717      231        1
  961 libgnutls30t64                     	      85      303      183       14       21
  962 libkf5wallet-bin                   	      85     1194      986       48       75
  963 mpv                                	      85     1011      887       39        0
  964 ffmpeg                             	      84     1512     1328      100        0
  965 libdmtx0b                          	      84      717      286       18      329
  966 libflac8                           	      84      971      281        6      600
  967 libgd3                             	      84     3685      129        0     3472
  968 libhogweed6t64                     	      84      304      186       15       19
  969 libnet-http-perl                   	      84     3596     3292      220        0
  970 libnettle8t64                      	      84      304      186       15       19
  971 libpolkit-gobject-consolekit-1-0   	      84      539      190        1      264
  972 libzmq5                            	      84     3317      223        1     3009
  973 mate-power-manager                 	      84      507      393       30        0
  974 acl                                	      83     3177     2887      207        0
  975 bolt                               	      83      872      726       63        0
  976 firmware-misc-nonfree              	      83     1070      958       29        0
  977 libkf5itemmodels5                  	      83     1010      308       18      601
  978 libkf5prison5                      	      83      752      300       18      351
  979 libkf5windowsystem-data            	      83     1320      316       12      909
  980 libmate-menu2                      	      83      545      243       13      206
  981 libnss-myhostname                  	      83      429      282       21       43
  982 libqt5concurrent5                  	      83     1297      296       15      903
  983 libqt5qmlworkerscript5             	      83     1326      313       17      913
  984 librda0                            	      83      523      246       13      181
  985 libzxing2                          	      83     2248      285       18     1862
  986 python3                            	      83     4124     3705      336        0
  987 qml-module-qtquick2                	      83     1372      313       17      959
  988 ibus-gtk3                          	      82      385      195        2      106
  989 libcodec2-0.9                      	      82     1015      260        4      669
  990 libgme0                            	      82     3353      219        1     3051
  991 libharfbuzz-subset0                	      82     1448      204       13     1149
  992 libkf5globalaccelprivate5          	      82     1150      305       15      748
  993 libqt5quickcontrols2-5             	      82     1256      314       16      844
  994 libqt5quicktemplates2-5            	      82     1258      315       16      845
  995 netcat-traditional                 	      82     3868     3556      230        0
  996 zip                                	      82     2155     1977       96        0
  997 cpp-10                             	      81     1151     1061        9        0
  998 fonts-noto-cjk                     	      81      894      189        5      619
  999 ibus                               	      81      388      292       14        1
 1000 libkf5screen-bin                   	      81      734      611       42        0
 1001 libvpx6                            	      81     1040      259        4      696
 1002 libxapp1                           	      81      416      244       14       77
 1003 patch                              	      81     2921     2726      114        0
 1004 consolekit                         	      80      466      384        2        0
 1005 dirmngr                            	      80     3981     3643      258        0
 1006 gir1.2-keybinder-3.0               	      80     1834     1630      124        0
 1007 libgnomekbd8                       	      80      568      245       15      228
 1008 libimath-3-1-29                    	      80     2435      290       16     2049
 1009 libperl5.28                        	      80      327      246        1        0
 1010 libuv1                             	      80     3428      119        1     3228
 1011 libx265-192                        	      80     1061      250        4      727
 1012 openvpn                            	      80      491      405        6        0
 1013 php8.2-cli                         	      80      313      222       11        0
 1014 plasma-desktop                     	      80      554      440       34        0
 1015 powerdevil                         	      80      552      433       34        5
 1016 whois                              	      80     1866     1727       59        0
 1017 evince                             	      79      971      838       54        0
 1018 libdotconf0                        	      79     2537      219       15     2224
 1019 libdpkg-perl                       	      79     2771     2575      117        0
 1020 libespeak-ng1                      	      79     2811      216       16     2500
 1021 libglib2.0-0t64                    	      79      288      179       15       15
 1022 libgoa-backend-1.0-1               	      79      425      254       16       76
 1023 libjemalloc2                       	      79     3039      106        0     2854
 1024 liblockfile-bin                    	      79     3891     3574      238        0
 1025 libmatekbd4                        	      79      541      226       13      223
 1026 libmatemixer0                      	      79      538      227       13      219
 1027 libpcaudio0                        	      79     2825      217       16     2513
 1028 libphonon4qt5-4                    	      79      904      317       18      490
 1029 librabbitmq4                       	      79     3117      216        1     2821
 1030 libsonic0                          	      79     2868      217       16     2556
 1031 speech-dispatcher-espeak-ng        	      79     2487      217       15     2176
 1032 aptitude                           	      78     1139     1033       28        0
 1033 libdb5.3t64                        	      78      306      145       10       73
 1034 libfftw3-double3                   	      78     3524      149        0     3297
 1035 libmate-desktop-2-17               	      78      570      244       12      236
 1036 libmate-panel-applet-4-1           	      78      565      225       13      249
 1037 libpci3                            	      78     4136      262       20     3776
 1038 openntpd                           	      78      359      262       19        0
 1039 libcaja-extension1                 	      77     2038      224       12     1725
 1040 libidn11                           	      77     1415      198        3     1137
 1041 libraw20                           	      77     2561      283       16     2185
 1042 libx264-160                        	      77     1008      249        4      678
 1043 qml-module-qtquick-window2         	      77     1317      301       17      922
 1044 xkb-data                           	      77     4137      372       12     3676
 1045 irqbalance                         	      76      343      267        0        0
 1046 iucode-tool                        	      76      888      788       24        0
 1047 libgail-common                     	      76     3210      204        5     2925
 1048 libkf5declarative5                 	      76     1096      306       18      696
 1049 libkf5solid5-data                  	      76     1231      293       11      851
 1050 libkf5syndication5abi1             	      76      975      286       18      595
 1051 libnorm1                           	      76     3014      192        1     2745
 1052 libopts25                          	      76      540      148        0      316
 1053 libpgm-5.3-0                       	      76     2869      192        1     2600
 1054 libruby2.7                         	      76      500      412       12        0
 1055 libxcb-record0                     	      76     1126      220        7      823
 1056 qemu-system-x86                    	      76      644      538       30        0
 1057 qml-module-qtquick-controls2       	      76     1248      300       16      856
 1058 qml-module-qtquick-layouts         	      76     1302      302       17      907
 1059 qml-module-qtquick-templates2      	      76     1248      301       16      855
 1060 bluedevil                          	      75      558      445       38        0
 1061 flatpak                            	      75      384      293       16        0
 1062 fonts-lato                         	      75     2260      119        0     2066
 1063 g++-12                             	      75     1605     1474       56        0
 1064 libatrildocument3                  	      75     1921      225       10     1611
 1065 libfile-mimeinfo-perl              	      75     3241     2954      212        0
 1066 libkf5newstuffwidgets5             	      75      673      281       18      299
 1067 libkf5quickaddons5                 	      75     1087      303       18      691
 1068 libmarco-private2                  	      75      503      224       12      192
 1069 libsocket6-perl                    	      75     1649       91        0     1483
 1070 libxnvctrl0                        	      75     2232      142        3     2012
 1071 mailutils                          	      75     1173     1076       22        0
 1072 mate-polkit                        	      75      559      220       13      251
 1073 pavucontrol                        	      75     2035     1834      126        0
 1074 plasma-framework                   	      75      826      713       38        0
 1075 speech-dispatcher-audio-plugins    	      75     2525      211       14     2225
 1076 libaio1                            	      74     1557       99        0     1384
 1077 libaom0                            	      74     1233      245        4      910
 1078 libavutil56                        	      74     1269      253        5      937
 1079 libdav1d4                          	      74     1062      249        4      735
 1080 libgail18                          	      74     3001      196        5     2726
 1081 libopenmpt0                        	      74     3089      188        1     2826
 1082 libqt5keychain1                    	      74      603      271       19      239
 1083 libswresample3                     	      74     1269      253        5      937
 1084 libxcb-dpms0                       	      74      747      272       17      384
 1085 lynx                               	      74     2622     2346      193        9
 1086 poppler-utils                      	      74     3272     2951      247        0
 1087 qml-module-qtgraphicaleffects      	      74     1303      298       17      914
 1088 xserver-xorg-video-intel           	      74     3099     2813      212        0
 1089 dpkg-dev                           	      73     2298     2131       94        0
 1090 fonts-crosextra-carlito            	      73      941      107        0      761
 1091 konsole                            	      73      614      507       34        0
 1092 kscreen                            	      73      550      441       36        0
 1093 libatk-adaptor                     	      73     2402      194        5     2130
 1094 libclamav11                        	      73      229      131        0       25
 1095 libfile-basedir-perl               	      73     3277     2994      210        0
 1096 libmaxminddb0                      	      73     3624       93        0     3458
 1097 perl                               	      73     4153     3809      270        1
 1098 plasma-disks                       	      73      514      406       35        0
 1099 python2.7-minimal                  	      73     1161     1082        6        0
 1100 python3-ntp                        	      73     1091      988       30        0
 1101 xarchiver                          	      73     1870     1671      126        0
 1102 libgrantlee-templates5             	      72      660      272       18      298
 1103 libkf5akonadicontact5              	      72      529      274       18      165
 1104 libkf5akonadicore5abi2             	      72      532      280       18      162
 1105 libkf5akonadimime5                 	      72      504      269       18      145
 1106 libkf5akonadiprivate5abi2          	      72      537      280       18      167
 1107 libkf5akonadiwidgets5abi1          	      72      531      275       18      166
 1108 libkf5calendarcore5abi2            	      72      518      269       18      159
 1109 libkf5calendarutils5               	      72      492      270       18      132
 1110 libkf5contacteditor5               	      72      520      274       18      156
 1111 libkf5contacts5                    	      72      776      280       18      406
 1112 libkf5identitymanagement5          	      72      507      270       18      147
 1113 libkf5mailtransport5               	      72      504      269       18      145
 1114 libkf5mailtransportakonadi5        	      72      495      269       18      136
 1115 libkf5mime5abi1                    	      72      534      275       18      169
 1116 libnghttp3-9                       	      72      295      153       13       57
 1117 libnss-nis                         	      72      806      166        0      568
 1118 mousepad                           	      72     1804     1611      121        0
 1119 python3-lxml                       	      72     1355     1218       65        0
 1120 cryptsetup-bin                     	      71      908      799       38        0
 1121 libavcodec58                       	      71     1236      246        5      914
 1122 libkf5akonadicalendar5abi1         	      71      479      267       18      123
 1123 libkf5auth-data                    	      71     1217      279        7      860
 1124 libkf5codecs-data                  	      71     1220      275        7      867
 1125 libkf5config-data                  	      71     1284      276        7      930
 1126 libkf5dbusaddons-data              	      71     1214      281        7      855
 1127 libngtcp2-16                       	      71      295      153       13       58
 1128 libngtcp2-crypto-gnutls8           	      71      295      153       13       58
 1129 libsharpyuv0                       	      71      310      165       10       64
 1130 libssh2-1t64                       	      71      297      152       11       63
 1131 qml-module-qtqml                   	      71     1210      301       17      821
 1132 qml-module-qtqml-models2           	      71     1276      281       16      908
 1133 xdg-desktop-portal-kde             	      71      442      342       29        0
 1134 avahi-autoipd                      	      70     1460     1295       95        0
 1135 chrony                             	      70      228      157        1        0
 1136 inetutils-telnet                   	      70     2852     2538      244        0
 1137 kde-style-breeze                   	      70      636      251       16      299
 1138 kwin-common                        	      70      562      269       16      207
 1139 libgtk3-perl                       	      70     2435     2187      178        0
 1140 libkf5auth5                        	      70     1104      290       17      727
 1141 libkf5completion-data              	      70     1230      271        7      882
 1142 libkf5notifications-data           	      70     1208      274        7      857
 1143 libkf5parts5                       	      70     1023      294       15      644
 1144 libpsl5t64                         	      70      298      155       11       62
 1145 libqt5sensors5                     	      70     1192      257       15      850
 1146 libtirpc3t64                       	      70      292      144       11       67
 1147 libvirt-clients                    	      70      446      370        6        0
 1148 libxapian30                        	      70     3462      251       17     3124
 1149 libxenstore4                       	      70      280      145        2       63
 1150 plasma-discover                    	      70      518      411       37        0
 1151 plasma-integration                 	      70      561      391       34       66
 1152 polkit-kde-agent-1                 	      70      578      404       39       65
 1153 python3-setproctitle               	      70      752      643       39        0
 1154 cups-ipp-utils                     	      69     2748     2470      209        0
 1155 kalendarac                         	      69      373      283       21        0
 1156 libkf5activities5                  	      69     1075      285       15      706
 1157 librest-1.0-0                      	      69      375      217       17       72
 1158 libssh-gcrypt-4                    	      69     3173      183        1     2920
 1159 libxaw7                            	      69     3617      108        0     3440
 1160 libxenmisc4.17                     	      69      271      142        1       59
 1161 socat                              	      69     1048      935       44        0
 1162 xdg-user-dirs-gtk                  	      69      525      424       32        0
 1163 cracklib-runtime                   	      68      932      802       62        0
 1164 liblwp-protocol-https-perl         	      68     3595     3309      218        0
 1165 libmateweather1                    	      68      513      215       12      218
 1166 libnet-ssleay-perl                 	      68     3674       73        0     3533
 1167 libpowerdevilcore2                 	      68      554      260       16      210
 1168 mate-screensaver-common            	      68      510      202       13      227
 1169 mate-settings-daemon-common        	      68      535      366       34       67
 1170 mdevctl                            	      68      320      242       10        0
 1171 postgresql-client-common           	      68      318      243        7        0
 1172 python3-charset-normalizer         	      68     2957     2646      243        0
 1173 python3-requests                   	      68     3919     3591      259        1
 1174 xfce4-appfinder                    	      68     1759     1573      118        0
 1175 xfce4-screenshooter                	      68     1689     1504      117        0
 1176 aspell                             	      67     3495     3189      239        0
 1177 certbot                            	      67      189      122        0        0
 1178 gir1.2-ayatanaappindicator3-0.1    	      67      644      122        4      451
 1179 kwin-x11                           	      67      560      454       39        0
 1180 libasound2t64                      	      67      271      162       12       30
 1181 libelf1t64                         	      67      296      171       12       46
 1182 libjack-jackd2-0                   	      67     3469      138        2     3262
 1183 libkf5kcmutils5                    	      67     1067      285       17      698
 1184 libllvm11                          	      67      725      208        5      445
 1185 libpython2.7-stdlib                	      67     1171     1099        5        0
 1186 php8.2-xml                         	      67      202      130        5        0
 1187 pkgconf                            	      67     1064      967       30        0
 1188 python3-pycurl                     	      67     3607     3313      227        0
 1189 tor                                	      67      244      175        2        0
 1190 x11-apps                           	      67     3097     2821      209        0
 1191 xbrlapi                            	      67     2489     2227      195        0
 1192 xserver-xorg-video-vmware          	      67     3110     2838      205        0
 1193 discover                           	      66     3985     3692      227        0
 1194 fonts-open-sans                    	      66      443      176        0      201
 1195 im-config                          	      66      438      358       14        0
 1196 kio-extras                         	      66      672      246       16      344
 1197 libcjson1                          	      66     2661      170        1     2424
 1198 libdav1d7                          	      66      292      152        8       66
 1199 libkf5bluezqt-data                 	      66      797      690       41        0
 1200 libmariadb3                        	      66     2193      136        1     1990
 1201 libnotificationmanager1            	      66      535      258       16      195
 1202 libphonenumber8                    	      66      806      182       13      545
 1203 libreoffice-sdbc-postgresql        	      66      734      608       60        0
 1204 libvpl2                            	      66      440      146        6      222
 1205 mariadb-plugin-provider-lzma       	      66      186      118        2        0
 1206 pkgconf-bin                        	      66     1051      955       30        0
 1207 plasma-nm                          	      66      524      263       16      179
 1208 fuse                               	      65      571      494       10        2
 1209 kwrited                            	      65      547      258       16      208
 1210 libkf5kirigami2-5                  	      65     1084      278       15      726
 1211 libkf5plasma5                      	      65     1042      272       16      689
 1212 libkuserfeedbackcore1              	      65      632      271       15      281
 1213 libprotobuf32                      	      65     1439      189        8     1177
 1214 libreoffice-math                   	      65     2763     2321      377        0
 1215 libsmbclient                       	      65     2965      125        1     2774
 1216 libxapp-gtk3-module                	      65      330      204       13       48
 1217 mariadb-plugin-provider-bzip2      	      65      185      118        2        0
 1218 mariadb-plugin-provider-lz4        	      65      185      118        2        0
 1219 mariadb-plugin-provider-lzo        	      65      185      118        2        0
 1220 mariadb-plugin-provider-snappy     	      65      184      118        1        0
 1221 mate-terminal                      	      65      561      462       34        0
 1222 parted                             	      65     3552     3232      255        0
 1223 plasma-pa                          	      65      536      252       16      203
 1224 postgresql-common                  	      65      264      193        6        0
 1225 preload                            	      65      253      176       12        0
 1226 procmail                           	      65     1484     1359       60        0
 1227 ruby                               	      65     1067      966       36        0
 1228 tasksel                            	      65     4087     3779      243        0
 1229 fonts-roboto-unhinted              	      64      404      121        1      218
 1230 ispell                             	      64     3422     3151      207        0
 1231 kwin-style-breeze                  	      64      632      230       16      322
 1232 libboost-filesystem1.74.0          	      64     2649      126        1     2458
 1233 libgles2                           	      64     3291      198       21     3008
 1234 libgraphene-1.0-0                  	      64     2998      215       16     2703
 1235 libkf5kdelibs4support5-bin         	      64      554      454       36        0
 1236 libkf5networkmanagerqt6            	      64      576      270       17      225
 1237 libkf5pty5                         	      64      757      271       15      407
 1238 libmodule-runtime-perl             	      64     1258     1152       42        0
 1239 libpng16-16t64                     	      64      291      161       15       51
 1240 libpython3.11                      	      64     2491      152        2     2273
 1241 librist4                           	      64     2638      172        1     2401
 1242 libsamplerate0                     	      64     3567      166        1     3336
 1243 python3-repoze.lru                 	      64      225      160        1        0
 1244 xfonts-utils                       	      64     3537     3248      225        0
 1245 ca-certificates                    	      63     4155     3846      246        0
 1246 dkms                               	      63      739      648       27        1
 1247 libcurl3t64-gnutls                 	      63      274      139       14       58
 1248 libfile-which-perl                 	      63      893      814       16        0
 1249 libkdecorations2-5v5               	      63      610      256       15      276
 1250 libkf5grantleetheme5               	      63      524      252       18      191
 1251 libkf5style5                       	      63      644      256       15      310
 1252 libkscreenlocker5                  	      63      534      256       15      200
 1253 libkworkspace5-5                   	      63      977      265       18      631
 1254 libpoppler126                      	      63     2489      173       13     2240
 1255 mesa-libgallium                    	      63      260      139        9       49
 1256 python3-pyqt5                      	      63     1303     1172       68        0
 1257 python3-pyqt5.sip                  	      63     1249     1104       82        0
 1258 python3-six                        	      63     3887     3591      231        2
 1259 ure-java                           	      63      600      464       73        0
 1260 zsh                                	      63     1221     1090       68        0
 1261 gnupg                              	      62     4121      150        2     3907
 1262 iw                                 	      62     3260     2970      228        0
 1263 libevent-2.1-7t64                  	      62      269      134        8       65
 1264 libfstrm0                          	      62     3831       83        0     3686
 1265 libimlib2                          	      62     1667      148        3     1454
 1266 libkf5archive-data                 	      62      981      233        6      680
 1267 libkf5plasmaquick5                 	      62      828      266       16      484
 1268 libperl5.24                        	      62      174      111        1        0
 1269 locate                             	      62      214      151        1        0
 1270 mesa-utils                         	      62     1344     1233       49        0
 1271 qml-module-org-kde-kirigami2       	      62     1075      267       15      731
 1272 acpi-fakekey                       	      61      221      159        1        0
 1273 cups-core-drivers                  	      61     2809     2534      214        0
 1274 dolphin                            	      61      611      512       38        0
 1275 libboost-thread1.74.0              	      61     2780      112        1     2606
 1276 libkf5bluezqt6                     	      61      796      248       15      472
 1277 libkf5i18nlocaledata5              	      61      629      249       18      301
 1278 libkf5notifyconfig5                	      61      894      246       14      573
 1279 libkf5threadweaver5                	      61     1030      258       15      696
 1280 libmpg123-0t64                     	      61      263      135        8       59
 1281 perl-modules-5.28                  	      61      517      454        2        0
 1282 php8.2-mbstring                    	      61      181      115        5        0
 1283 pinentry-curses                    	      61     3792     3493      238        0
 1284 qml-module-org-kde-kconfig         	      61      821      263       16      481
 1285 systemsettings                     	      61     1023      893       69        0
 1286 vim-common                         	      61     4096     3676      359        0
 1287 libbs2b0                           	      60     3289      145        1     3083
 1288 libkf5kdelibs4support5             	      60      548      243       15      230
 1289 libksysguardformatter1             	      60      521      250       15      196
 1290 libmbedcrypto7                     	      60     2405      160        1     2184
 1291 libmtdev1t64                       	      60      255      144       10       41
 1292 libprocesscore9                    	      60      519      250       15      194
 1293 libsrt1.5-gnutls                   	      60     2622      165        1     2396
 1294 libzip4                            	      60     1202       89        0     1053
 1295 print-manager                      	      60      450      354       36        0
 1296 python3-gpg                        	      60     1088      965       63        0
 1297 qml-module-org-kde-kquickcontrolsaddons	      60      863      251       15      537
 1298 qml-module-org-kde-qqc2desktopstyle	      60      573      249       15      249
 1299 qml-module-qtquick-controls        	      60     1230      257       16      897
 1300 runit                              	      60      300      227       13        0
 1301 xdg-dbus-proxy                     	      60     2779     2537      182        0
 1302 bind9                              	      59      173      113        1        0
 1303 khotkeys                           	      59      521      245       15      202
 1304 libappstreamqt2                    	      59      534      244       15      216
 1305 libkf5activitiesstats1             	      59      664      249       15      341
 1306 libkf5calendarevents5              	      59      835      247       15      514
 1307 libkf5configwidgets-data           	      59     1216     1095       62        0
 1308 libkf5itemviews-data               	      59     1245      242        6      938
 1309 libkf5people5                      	      59      763      248       15      441
 1310 libkf5peoplebackend5               	      59      765      248       15      443
 1311 libkf5peoplewidgets5               	      59      763      246       15      443
 1312 libkf5runner5                      	      59      966      250       15      642
 1313 libldap2                           	      59      130       40       14       17
 1314 openbox                            	      59      352      275       18        0
 1315 perl-openssl-defaults              	      59     3628     3345      224        0
 1316 plasma-widgets-addons              	      59      494      244       17      174
 1317 qml-module-org-kde-draganddrop     	      59      538      248       15      216
 1318 qml-module-org-kde-kcoreaddons     	      59      579      247       15      258
 1319 qml-module-org-kde-kwindowsystem   	      59      537      248       15      215
 1320 qml-module-org-kde-quickcharts     	      59      523      247       15      202
 1321 ruby-rubygems                      	      59      931      855       17        0
 1322 gnupg-utils                        	      58     3902     3587      257        0
 1323 libass9                            	      58     3229      144        1     3026
 1324 libboost-iostreams1.74.0           	      58     2944      113        1     2772
 1325 libgnome-desktop-3-19              	      58      411      189        3      161
 1326 libgspell-1-2                      	      58     2079      194        9     1818
 1327 libgtk-3-0t64                      	      58      270      142       10       60
 1328 libkf5modemmanagerqt6              	      58      523      244       15      206
 1329 libkwalletbackend5-5               	      58     1135      232       14      831
 1330 libtaskmanager6abi1                	      58      513      249       15      191
 1331 menu                               	      58      816      719       39        0
 1332 opensc-pkcs11                      	      58      468      105        0      305
 1333 python3.11                         	      58     2832     2525      249        0
 1334 ufw                                	      58      310      245        7        0
 1335 exfalso                            	      57     1542     1371      114        0
 1336 libarchive-zip-perl                	      57     1461     1362       42        0
 1337 libatk-bridge2.0-0t64              	      57      274      144       13       60
 1338 libatk1.0-0t64                     	      57      275      143       13       62
 1339 libatspi2.0-0t64                   	      57      274      145       13       59
 1340 libgfortran5                       	      57     3293      165        1     3070
 1341 libgtk2.0-common                   	      57     3345      133        3     3152
 1342 libkf5screen8                      	      57      583      249       18      259
 1343 libswscale6                        	      57     2383      111        1     2214
 1344 mutt                               	      57     1565     1447       61        0
 1345 python3-certifi                    	      57     3803     3494      251        1
 1346 python3-louis                      	      57     2495     2227      211        0
 1347 python3-pexpect                    	      57     1159     1054       48        0
 1348 gettext                            	      56     1553     1446       51        0
 1349 libassuan9                         	      56      250      102        9       83
 1350 libcups2t64                        	      56      281      142       11       72
 1351 libdns-export1110                  	      56     1015      121        0      838
 1352 libflite1                          	      56     3455      138        4     3257
 1353 libgif7                            	      56     2880      107        0     2717
 1354 libgpod-common                     	      56      603      507       40        0
 1355 libisc-export1105                  	      56     1016      122        0      838
 1356 libkf5globalaccel-data             	      56     1196      233        5      902
 1357 libkf5kcmutilscore5                	      56      888      256       17      559
 1358 libnotify-bin                      	      56     2078     1869      153        0
 1359 liborc-0.4-0t64                    	      56      245      110        8       71
 1360 libpackagekitqt5-1                 	      56      599      234       14      295
 1361 libpq5                             	      56     1805       93        0     1656
 1362 libtimedate-perl                   	      56     3764     3484      224        0
 1363 python3-urllib3                    	      56     3941     3577      306        2
 1364 qml-module-qt-labs-folderlistmodel 	      56     1050      247       16      731
 1365 rubygems-integration               	      56     1070      999       15        0
 1366 base-passwd                        	      55     4178     3875      248        0
 1367 bash-completion                    	      55     3917     3619      243        0
 1368 bind9-libs                         	      55     3564       78        1     3430
 1369 kup-backup                         	      55      512      423       34        0
 1370 libgtk-4-1                         	      55     1012      191       14      752
 1371 libllvm19                          	      55      226      103        9       59
 1372 libpkcs11-helper1                  	      55      493       85        4      349
 1373 libsane-hpaio                      	      55      596      485        8       48
 1374 perl-modules-5.24                  	      55      249      193        1        0
 1375 bootlogd                           	      54     3378     3064      260        0
 1376 fonts-dejavu-mono                  	      54      294      126        6      108
 1377 fonts-noto-ui-core                 	      54     1323       83        0     1186
 1378 libcairo-script-interpreter2       	      54     1328      194       14     1066
 1379 libcodec2-1.2                      	      54      270      121        6       89
 1380 libcolorcorrect5                   	      54      526      238       15      219
 1381 libexiv2-27                        	      54     2601      237       17     2293
 1382 libgpgme11t64                      	      54      264      110       10       90
 1383 libimobiledevice-glue-1.0-0        	      54      211      109        9       39
 1384 liblilv-0-0                        	      54     3243      140        1     3048
 1385 liblua5.3-0                        	      54     2202      124        2     2022
 1386 libmailtools-perl                  	      54     3585     3303      228        0
 1387 libpython3.13-minimal              	      54      135       60       21        0
 1388 libpython3.13-stdlib               	      54      130       57       19        0
 1389 libserd-0-0                        	      54     3246      140        1     3051
 1390 libsord-0-0                        	      54     3243      140        1     3048
 1391 libsratom-0-0                      	      54     3243      140        1     3048
 1392 libtypes-serialiser-perl           	      54      985      912       19        0
 1393 libusbmuxd-2.0-7                   	      54      211      111        9       37
 1394 m4                                 	      54     1550     1458       38        0
 1395 mesa-utils-bin                     	      54     1031      931       46        0
 1396 okular                             	      54      668      570       44        0
 1397 opensc                             	      54      467      402       11        0
 1398 php8.2-curl                        	      54      150       93        3        0
 1399 python3.13-minimal                 	      54      126       51       21        0
 1400 qml-module-org-kde-bluezqt         	      54      792      232       15      491
 1401 qml-module-qt-labs-settings        	      54     1053      234       15      750
 1402 qml-module-qtquick-dialogs         	      54     1060      242       15      749
 1403 ttf-bitstream-vera                 	      54      445      124        0      267
 1404 fakeroot                           	      53     2239     2096       90        0
 1405 haveged                            	      53      192      138        1        0
 1406 libb2-1                            	      53      987       87        7      840
 1407 libblockdev-nvme3                  	      53      239      115       10       61
 1408 libblockdev-part3                  	      53      239      114       10       62
 1409 libblockdev-swap3                  	      53      239      114       10       62
 1410 libblockdev3                       	      53      239      114       10       62
 1411 libdw1t64                          	      53      258      133        9       63
 1412 libfdisk1                          	      53     4101      111       10     3927
 1413 libfile-slurp-perl                 	      53      389      330        6        0
 1414 libhwy1t64                         	      53      267      123        7       84
 1415 libimobiledevice-1.0-6             	      53      208      107        9       39
 1416 libplist-2.0-4                     	      53      210      107        9       41
 1417 libslang2                          	      53     4160      118        3     3986
 1418 pinentry-gnome3                    	      53     2894     2633      208        0
 1419 pm-utils                           	      53      632      576        3        0
 1420 python3-debianbts                  	      53     3730     3443      234        0
 1421 qml-module-qt-labs-platform        	      53      856      249       16      538
 1422 ssl-cert                           	      53     3432     3174      205        0
 1423 automake                           	      52     1294     1215       26        1
 1424 btrfs-progs                        	      52      435      372       11        0
 1425 gpg-wks-server                     	      52     3823     3530      241        0
 1426 gtk2-engines-pixbuf                	      52     2047      116        2     1877
 1427 libavformat59                      	      52     2384      132        1     2199
 1428 libblockdev-crypto3                	      52      237      114       10       61
 1429 libblockdev-fs3                    	      52      238      115       10       61
 1430 libblockdev-loop3                  	      52      238      114       10       62
 1431 libblockdev-mdraid3                	      52      239      114       11       62
 1432 libblockdev-utils3                 	      52      238      114       10       62
 1433 libbytesize1                       	      52      258      122       11       73
 1434 libext2fs2t64                      	      52      291      106       10      123
 1435 libmodule-implementation-perl      	      52     1179     1086       41        0
 1436 libqt5webchannel5                  	      52     1431      159       10     1210
 1437 librubberband2                     	      52     3244      136        1     3055
 1438 libsvtav1enc2                      	      52      261      120        7       82
 1439 perl-modules-5.32                  	      52      715      642       21        0
 1440 php8.2-mysql                       	      52      157      101        4        0
 1441 plasma-browser-integration         	      52      442      355       35        0
 1442 python3-numpy                      	      52     1169     1069       48        0
 1443 ristretto                          	      52     1688     1519      117        0
 1444 winbind                            	      52      165      111        2        0
 1445 xfce4-whiskermenu-plugin           	      52     1662     1486      124        0
 1446 ffmpegthumbnailer                  	      51      770      665       54        0
 1447 libdbi-perl                        	      51      691      633        7        0
 1448 libqt5positioning5                 	      51     1451      159       10     1231
 1449 libregexp-ipv6-perl                	      51     2718     2471      196        0
 1450 libsort-naturally-perl             	      51      343      284        8        0
 1451 locales                            	      51     4141     3679      411        0
 1452 lockfile-progs                     	      51      222      167        4        0
 1453 plasma-vault                       	      51      430      220       16      143
 1454 sshfs                              	      51     1076      964       61        0
 1455 tracker-miner-fs                   	      51      257      197        9        0
 1456 xwayland                           	      51      766      658       57        0
 1457 gdisk                              	      50     3251     2989      212        0
 1458 gnome-terminal                     	      50      448      367       31        0
 1459 libapache2-mod-php8.2              	      50      201      143        8        0
 1460 libintl-perl                       	      50      490      429       11        0
 1461 libmalcontent-0-0                  	      50      728      222       18      438
 1462 libmysofa1                         	      50     3075      135        1     2889
 1463 libsndio7.0                        	      50     3187      142        2     2993
 1464 libvpx9                            	      50      254      125        6       73
 1465 libzvbi0t64                        	      50      255      117        6       82
 1466 ncal                               	      50      988      935        3        0
 1467 resolvconf                         	      50      209      159        0        0
 1468 evolution-data-server              	      49      308      245       14        0
 1469 fonts-hack                         	      49      817      156        8      604
 1470 installation-report                	      49     3910     3610      251        0
 1471 jq                                 	      49     1170     1049       72        0
 1472 kde-cli-tools                      	      49      619      524       46        0
 1473 libhavege2                         	      49      161      105        1        6
 1474 libjson-perl                       	      49      954      889       16        0
 1475 libmodule-find-perl                	      49      328      268       11        0
 1476 libpaper2                          	      49      147       44       11       43
 1477 libpipewire-0.3-0t64               	      49      230       96        8       77
 1478 libpoppler-glib8                   	      49     2926      177       14     2686
 1479 librav1e0.7                        	      49      257      114        7       87
 1480 libreoffice-script-provider-python 	      49      560      471       40        0
 1481 librole-tiny-perl                  	      49     1209     1119       41        0
 1482 needrestart                        	      49      299      240       10        0
 1483 nemo                               	      49      307      239       19        0
 1484 php8.2-gd                          	      49      152      100        3        0
 1485 update-inetd                       	      49     3145     2889      207        0
 1486 cups-bsd                           	      48     1200     1103       49        0
 1487 gstreamer1.0-plugins-good          	      48     3156       73        1     3034
 1488 intel-microcode                    	      48      873      764       59        2
 1489 libadwaita-1-0                     	      48      723      186       14      475
 1490 liberror-perl                      	      48     2236     2070      118        0
 1491 libgphoto2-6t64                    	      48      223      162       13        0
 1492 libintl-xs-perl                    	      48      389      306       11       24
 1493 libmenu-cache3                     	      48      564      162       10      344
 1494 libnvidia-eglcore                  	      48      188       97        4       39
 1495 libnvme1t64                        	      48      222      103       10       61
 1496 libpangoxft-1.0-0                  	      48     3242      118        3     3073
 1497 libre2-9                           	      48     1229      143       10     1028
 1498 libsub-exporter-progressive-perl   	      48     1169     1080       41        0
 1499 libvidstab1.1                      	      48     3149      132        1     2968
 1500 python-minimal                     	      48      495      442        4        1
 1501 torsocks                           	      48      258      203        7        0
 1502 deja-dup                           	      47      266      200       19        0
 1503 dialog                             	      47     1468     1363       58        0
 1504 exfatprogs                         	      47     2422     2146      229        0
 1505 gnome-software                     	      47      388      318       23        0
 1506 google-chrome-stable               	      47      326      249       27        3
 1507 libgck-2-2                         	      47      216      101        9       59
 1508 libgcr-4-4                         	      47      216      101        9       59
 1509 libgtk-3-bin                       	      47     3693     3374      272        0
 1510 libgtk-4-common                    	      47     1013      186       14      766
 1511 libhtml-tagset-perl                	      47     3710     3437      226        0
 1512 libkdecorations2private10          	      47      490      227       15      201
 1513 libkf5configqml5                   	      47      454      225       15      167
 1514 liblua5.2-0                        	      47     2316       95        0     2174
 1515 libopenal1                         	      47     3218      123        1     3047
 1516 libpam-kwallet5                    	      47      558      216       16      279
 1517 libpocketsphinx3                   	      47     2990      129        1     2813
 1518 libquadmath0                       	      47     3729      131        1     3550
 1519 libreoffice-script-provider-bsh    	      47      561      470       44        0
 1520 libreoffice-script-provider-js     	      47      563      472       44        0
 1521 libreoffice-wiki-publisher         	      47      555      466       42        0
 1522 mate-applets                       	      47      509      424       38        0
 1523 ntpsec-ntpdig                      	      47      549      490       12        0
 1524 php8.2-intl                        	      47      133       84        2        0
 1525 ppp                                	      47     2749     2486      216        0
 1526 wamerican                          	      47     3840     3542      251        0
 1527 xxd                                	      47     2145     2004       94        0
 1528 autoconf                           	      46     1297     1227       24        0
 1529 cinnamon-screensaver               	      46      295      231       18        0
 1530 keepassxc                          	      46      302      235        4       17
 1531 libavutil59                        	      46      239      105        6       82
 1532 libc-dev-bin                       	      46     2622     2356      220        0
 1533 libgeocode-glib-2-0                	      46      438      136        9      247
 1534 libkf5bookmarks-data               	      46     1016      206        4      760
 1535 libkf5screendpms8                  	      46      586      221       15      304
 1536 libkf5sonnet5-data                 	      46     1211      199        4      962
 1537 libkwineffects14                   	      46      434      227       15      146
 1538 libkwinglutils14                   	      46      435      227       15      147
 1539 libpython2.7-minimal               	      46     1173     1122        5        0
 1540 libqaccessibilityclient-qt5-0      	      46      520      225       15      234
 1541 libreoffice-base-core              	      46     2813     2373      394        0
 1542 libslirp0                          	      46      620       51        0      523
 1543 libswresample5                     	      46      239      105        6       82
 1544 libx265-215                        	      46      116       25        5       40
 1545 mokutil                            	      46     1553     1386      121        0
 1546 nmap                               	      46      970      917        6        1
 1547 php-cli                            	      46      171       99        3       23
 1548 plasma-thunderbolt                 	      46      429      208       15      160
 1549 printer-driver-foo2zjs             	      46      559      504        9        0
 1550 python3-simplejson                 	      46      366      315        5        0
 1551 reportbug                          	      46     3771     3467      258        0
 1552 software-properties-common         	      46     1051      939       66        0
 1553 texlive-binaries                   	      46      627      561       20        0
 1554 xfce4-sensors-plugin               	      46     1671     1511      114        0
 1555 gir1.2-caribou-1.0                 	      45      316      248       18        5
 1556 i965-va-driver                     	      45     3181      106        2     3028
 1557 libatk1.0-data                     	      45     1053      148        4      856
 1558 libdvd-pkg                         	      45      168      122        1        0
 1559 libibverbs1                        	      45     1057       52        0      960
 1560 libkpipewire5                      	      45      434      221       15      153
 1561 libopencore-amrnb0                 	      45     3247      101        0     3101
 1562 libproxy-tools                     	      45     1615     1499       71        0
 1563 libqt5webenginecore5               	      45     1167      150       10      962
 1564 libqt5webenginewidgets5            	      45     1063      136       10      872
 1565 libraptor2-0                       	      45     3056       80        1     2930
 1566 libreoffice-nlpsolver              	      45      552      466       41        0
 1567 libsigc++-2.0-0v5                  	      45     3163      106        4     3008
 1568 libsnmp40                          	      45     2734       93        0     2596
 1569 pigz                               	      45      640      590        5        0
 1570 qemu-guest-agent                   	      45      164      116        3        0
 1571 tasksel-data                       	      45     4087     3798      244        0
 1572 xinetd                             	      45      110       65        0        0
 1573 xserver-xorg-video-nvidia          	      45      180      126        9        0
 1574 zenity                             	      45     1513     1385       83        0
 1575 akonadi-server                     	      44      509      428       37        0
 1576 fonts-croscore                     	      44      257       98        0      115
 1577 fonts-crosextra-caladea            	      44      924       61        0      819
 1578 fonts-freefont-otf                 	      44      305       80        0      181
 1579 fonts-texgyre                      	      44      541       95        0      402
 1580 gir1.2-xapp-1.0                    	      44      344      266       28        6
 1581 imvirt-helper                      	      44      249      199        6        0
 1582 kate                               	      44      609      527       38        0
 1583 konsole-kpart                      	      44      628      149        7      428
 1584 libavcodec61                       	      44      230      102        6       78
 1585 libcupsfilters1t64                 	      44      188      108       14       22
 1586 libgnutls-dane0t64                 	      44      205      101        8       52
 1587 libimvirt-perl                     	      44      249      199        6        0
 1588 libjavascriptcoregtk-4.0-18        	      44     2222      123        1     2054
 1589 liblqr-1-0                         	      44     3298       79        0     3175
 1590 libopencore-amrwb0                 	      44     3250       97        0     3109
 1591 libstdc++-12-dev                   	      44     1620     1520       56        0
 1592 libupower-glib1                    	      44      297       98        0      155
 1593 mariadb-client                     	      44      311      189       14       64
 1594 postgresql-15                      	      44      123       76        3        0
 1595 python3-bcrypt                     	      44      800      687       69        0
 1596 python3-idna                       	      44     3825     3518      263        0
 1597 python3-mutagen                    	      44     2100     1912      144        0
 1598 python3-pycryptodome               	      44     1100     1004       52        0
 1599 tree                               	      44      920      827       49        0
 1600 zlib1g-dev                         	      44     1619     1538       36        1
 1601 cinnamon                           	      43      284      222       19        0
 1602 libboost-locale1.74.0              	      43     2538       84        1     2410
 1603 libclass-data-inheritable-perl     	      43     1065      982       40        0
 1604 libclass-inspector-perl            	      43      665      608       14        0
 1605 libdevel-stacktrace-perl           	      43     1081      998       40        0
 1606 libflac14                          	      43       59        0        8        8
 1607 libmhash2                          	      43     2925       76        1     2805
 1608 libnfsidmap2                       	      43      344      103        0      198
 1609 libnvidia-glcore                   	      43      189       87        4       55
 1610 libpackage-stash-perl              	      43     1134     1051       40        0
 1611 librdmacm1                         	      43      960       51        0      866
 1612 libtheoradec1                      	      43      112       27        6       36
 1613 libtheoraenc1                      	      43      111       26        6       36
 1614 libvo-amrwbenc0                    	      43     3045       96        0     2906
 1615 libx11-dev                         	      43     1190     1119       28        0
 1616 mariadb-client-core                	      43      620      509       68        0
 1617 openssl-provider-legacy            	      43      276       93        5      135
 1618 python3-pygame                     	      43      291      238       10        0
 1619 usb-modeswitch                     	      43     2889     2631      215        0
 1620 xrdp                               	      43      118       72        3        0
 1621 cinnamon-session                   	      42      293      231       20        0
 1622 cinnamon-settings-daemon           	      42      294      223       19       10
 1623 containerd                         	      42      164      118        4        0
 1624 docker.io                          	      42      164      119        3        0
 1625 eom                                	      42      542      461       39        0
 1626 fonts-mathjax                      	      42     2201       69        0     2090
 1627 fortune-mod                        	      42     1254     1154       58        0
 1628 gtk2-engines                       	      42     3001      115        4     2840
 1629 libcairomm-1.0-1v5                 	      42     2763       88        3     2630
 1630 libexttextcat-2.0-0                	      42     2951       74        1     2834
 1631 libfdt1                            	      42      705       54        0      609
 1632 libfile-sharedir-perl              	      42      544      490       12        0
 1633 libkf5syntaxhighlighting5          	      42      739      106        5      586
 1634 libnetaddr-ip-perl                 	      42     1430       47        0     1341
 1635 libopenh264-7                      	      42     2404       88        2     2272
 1636 libpangomm-1.4-1v5                 	      42     2761       88        3     2628
 1637 libsdl2-2.0-0                      	      42     3189       96        1     3050
 1638 libxml-sax-base-perl               	      42     1401     1312       47        0
 1639 pluma                              	      42      527      445       40        0
 1640 python3-icu                        	      42      191      147        2        0
 1641 python3-pyparsing                  	      42     2984     2725      217        0
 1642 shim-signed-common                 	      42     1525     1357      126        0
 1643 cpp-14                             	      41      255      183       31        0
 1644 cpp-x86-64-linux-gnu               	      41      278      205       32        0
 1645 cryptsetup-initramfs               	      41      621      556       24        0
 1646 gir1.2-upowerglib-1.0              	      41      474      200       17      216
 1647 hplip                              	      41      577      528        8        0
 1648 libatkmm-1.6-1v5                   	      41     2763       88        3     2631
 1649 libb-hooks-endofscope-perl         	      41     1129     1047       41        0
 1650 libbotan-2-19                      	      41      383       61        1      280
 1651 libcapstone4                       	      41      582       48        0      493
 1652 libeot0                            	      41     2879       75        1     2762
 1653 libexception-class-perl            	      41     1038      957       40        0
 1654 liblangtag1                        	      41     2883       75        1     2766
 1655 libmythes-1.2-0                    	      41     2874       76        1     2756
 1656 libnamespace-clean-perl            	      41     1129     1048       40        0
 1657 libnumbertext-1.0-0                	      41     2802       72        1     2688
 1658 libtspi1                           	      41      501       67        1      392
 1659 libvdeplug2                        	      41      627       48        0      538
 1660 php8.2-zip                         	      41      118       75        2        0
 1661 rpcsvc-proto                       	      41     1986     1863       82        0
 1662 runit-init                         	      41      271      214       16        0
 1663 x11proto-dev                       	      41     1163     1096       26        0
 1664 xscreensaver-data                  	      41     2034      452       13     1528
 1665 cpp-14-x86-64-linux-gnu            	      40      250      179       31        0
 1666 dovecot-core                       	      40      119       79        0        0
 1667 emacs-gtk                          	      40      328      261       27        0
 1668 gcc-10                             	      40      983      935        8        0
 1669 gwenview                           	      40      576      491       45        0
 1670 iamerican                          	      40     2208     2043      125        0
 1671 libblas3                           	      40     3532      237        2     3253
 1672 libconfig-tiny-perl                	      40      719      643       36        0
 1673 libjxl0.10                         	      40      132       41        4       47
 1674 libmagickcore-6.q16-6              	      40     2976       75        0     2861
 1675 libmagickwand-6.q16-6              	      40     2969       71        0     2858
 1676 libnet-dns-perl                    	      40      400      340       12        8
 1677 libreoffice-base                   	      40      838      715       83        0
 1678 libtss2-mu0                        	      40      980      212       19      709
 1679 libtss2-sys1                       	      40      981      212       19      710
 1680 libzimg2                           	      40     2602      114        1     2447
 1681 linux-sysctl-defaults              	      40      225      167       18        0
 1682 mate-media-common                  	      40      513      129        8      336
 1683 netcat-openbsd                     	      40      638      588       10        0
 1684 netpbm                             	      40     2362     2192      130        0
 1685 python3-zope.event                 	      40      106       65        1        0
 1686 ruby3.1                            	      40      714      662       12        0
 1687 apache2-utils                      	      39      825      759       27        0
 1688 autofs                             	      39      122       83        0        0
 1689 dctrl-tools                        	      39      466      421        6        0
 1690 gir1.2-json-1.0                    	      39      562      175       16      332
 1691 gnome-settings-daemon-common       	      39      438      363       36        0
 1692 gstreamer1.0-plugins-base          	      39     3245       66        1     3139
 1693 libcamel-1.2-64                    	      39      434      122        6      267
 1694 libdate-manip-perl                 	      39      593      535       19        0
 1695 libdvdnav4                         	      39     3201      100        0     3062
 1696 libecal-2.0-2                      	      39      376      122        6      209
 1697 libedataserver-1.2-27              	      39      434      122        6      267
 1698 libglx-nvidia0                     	      39      184       59        2       84
 1699 libgnome-desktop-3-20              	      39      822      122        7      654
 1700 libgweather-4-0                    	      39      398      127        7      225
 1701 libjcat1                           	      39      848      213       22      574
 1702 libkf5config-bin                   	      39     1230     1126       65        0
 1703 libkf5purpose5                     	      39      783      127        5      612
 1704 liblapack3                         	      39     3317      193        2     3083
 1705 libncurses-dev                     	      39      916      847       30        0
 1706 libtcl8.6                          	      39     1678       49        0     1590
 1707 nginx                              	      39      141       64        3       35
 1708 numlockx                           	      39      226      170       17        0
 1709 openjdk-17-jre-headless            	      39     1128     1025       63        1
 1710 python3-pygments                   	      39     1265     1195       31        0
 1711 wireplumber                        	      39      930      838       53        0
 1712 yt-dlp                             	      39      844      740       65        0
 1713 android-sdk-platform-tools-common  	      38      305      265        2        0
 1714 caja-common                        	      38      559      127        8      386
 1715 fonts-font-awesome                 	      38     2019       90        3     1888
 1716 gir1.2-cinnamondesktop-3.0         	      38      299      142        9      110
 1717 gir1.2-cvc-1.0                     	      38      297      142        9      108
 1718 gir1.2-secret-1                    	      38     2594       67        0     2489
 1719 gjs                                	      38      536      467       31        0
 1720 ipxe-qemu                          	      38      662      585        7       32
 1721 kdepim-runtime                     	      38      497      420       39        0
 1722 keyutils                           	      38     1097     1040       18        1
 1723 libaccountsservice0                	      38     1398      133       10     1217
 1724 libcdio19                          	      38     2777       80        1     2658
 1725 libcrypt-dev                       	      38     2370     2241       91        0
 1726 libebackend-1.2-11                 	      38      233      122        6       67
 1727 libebook-1.2-21                    	      38      233      122        6       67
 1728 libebook-contacts-1.2-4            	      38      284      122        6      118
 1729 libedata-book-1.2-27               	      38      233      122        6       67
 1730 libedata-cal-2.0-2                 	      38      216      122        6       50
 1731 libedataserverui-1.2-4             	      38      216      122        6       50
 1732 libfwupd2                          	      38      794      208       19      529
 1733 libhtml-parser-perl                	      38     3699       48        0     3613
 1734 libjxr-tools                       	      38     3146     2888      220        0
 1735 libkf5kexiv2-15.0.0                	      38      706      200       15      453
 1736 libproc2-0                         	      38     3068      102       10     2918
 1737 librasqal3                         	      38     2699       72        1     2588
 1738 librdf0                            	      38     2698       72        1     2587
 1739 libsphinxbase3                     	      38     2770      100        1     2631
 1740 mate-panel-common                  	      38      535      125        8      364
 1741 open-vm-tools                      	      38      125       84        3        0
 1742 perl-modules-5.40                  	      38      215      149       28        0
 1743 python2-minimal                    	      38      564      523        3        0
 1744 w3m                                	      38     1837     1710       89        0
 1745 xfce4-clipman                      	      38     1673     1520      115        0
 1746 connman                            	      37      198      154        7        0
 1747 dconf-cli                          	      37      803      727       39        0
 1748 ed                                 	      37     1296     1201       58        0
 1749 grub-pc-bin                        	      37     2633     2460      136        0
 1750 gsfonts                            	      37     3259       98        2     3122
 1751 ibritish                           	      37     2199     2037      125        0
 1752 libaribb24-0                       	      37     1474       97        0     1340
 1753 libclucene-contribs1v5             	      37     2662       71        1     2553
 1754 libclucene-core1v5                 	      37     2671       72        1     2561
 1755 libdebuginfod1                     	      37      970       91        1      841
 1756 libglibmm-2.4-1v5                  	      37     2616       81        3     2495
 1757 libhtml-form-perl                  	      37     3534     3274      223        0
 1758 libhttp-cookies-perl               	      37     3600     3341      222        0
 1759 liblightdm-gobject-1-0             	      37     1092       70        4      981
 1760 libnvidia-glvkspirv                	      37      183       74        4       68
 1761 libobrender32v5                    	      37      355       94        3      221
 1762 libobt2v5                          	      37      356       94        3      222
 1763 libpcre2-dev                       	      37      854      787       30        0
 1764 libperl5.32                        	      37      704      647       20        0
 1765 libpython3.7-stdlib                	      37      318      279        2        0
 1766 mate-desktop-common                	      37      645      116        9      483
 1767 mate-power-manager-common          	      37      511      126        7      341
 1768 openbsd-inetd                      	      37      174      135        2        0
 1769 pcmanfm-qt                         	      37      283      224       22        0
 1770 php7.4-common                      	      37      115       78        0        0
 1771 php7.4-json                        	      37      111       74        0        0
 1772 php7.4-opcache                     	      37      111       74        0        0
 1773 php7.4-readline                    	      37      111       74        0        0
 1774 python3-debian                     	      37     3815     3524      254        0
 1775 python3.7-minimal                  	      37      301      262        2        0
 1776 texlive-latex-base                 	      37      600      542       21        0
 1777 time                               	      37     1383     1291       55        0
 1778 xserver-xorg-video-nouveau         	      37     3105     2841      227        0
 1779 yelp                               	      37     1678     1551       90        0
 1780 amd64-microcode                    	      36      772      708       28        0
 1781 calc                               	      36      995      910       49        0
 1782 clamav-daemon                      	      36       97       60        1        0
 1783 enchant-2                          	      36     2601     2380      185        0
 1784 gdebi-core                         	      36      527      463       28        0
 1785 gir1.2-graphene-1.0                	      36      514      156       16      306
 1786 gir1.2-nma-1.0                     	      36      410      173       16      185
 1787 graphviz                           	      36     1720     1578      106        0
 1788 libevolution                       	      36      244      196       12        0
 1789 libftdi1-2                         	      36      994      199       21      738
 1790 libglib-object-introspection-perl  	      36     2436     2217      183        0
 1791 libgtkmm-3.0-1v5                   	      36     2579       79        3     2461
 1792 libgvc6                            	      36     1740     1598      106        0
 1793 libjson-xs-perl                    	      36      988      927       25        0
 1794 libkf5akonadisearchpim5            	      36      474      128       10      300
 1795 libkf5filemetadata3                	      36      789      160       14      579
 1796 libnemo-extension1                 	      36      315      135        9      135
 1797 libtss2-esys-3.0.2-0               	      36      976      207       19      714
 1798 libxml2-utils                      	      36     1291     1175       80        0
 1799 lxqt-notificationd                 	      36      284      226       22        0
 1800 lxqt-policykit                     	      36      280      221       23        0
 1801 lxqt-session                       	      36      285      225       24        0
 1802 ofono                              	      36      185      141        8        0
 1803 python3-httplib2                   	      36     3666     3402      228        0
 1804 qemu-system-common                 	      36      657      591       30        0
 1805 strace                             	      36      703      658        9        0
 1806 thin-provisioning-tools            	      36      597      540       21        0
 1807 xfsprogs                           	      36      382      334       12        0
 1808 chkrootkit                         	      35      137       98        4        0
 1809 dmeventd                           	      35     1275     1178       62        0
 1810 fetchmail                          	      35     1058      970       53        0
 1811 genisoimage                        	      35     1091     1012       44        0
 1812 gir1.2-cmenu-3.0                   	      35      286      131        9      111
 1813 gir1.2-meta-muffin-0.0             	      35      287      137        9      106
 1814 grub-pc                            	      35     2523     2356      132        0
 1815 gstreamer1.0-plugins-bad           	      35     2983       50        0     2898
 1816 inkscape                           	      35      614      565       14        0
 1817 kmail                              	      35      477      403       39        0
 1818 libauthen-sasl-perl                	      35     3577     3312      230        0
 1819 libcinnamon-desktop4               	      35      301      133        8      125
 1820 libcinnamon-menu-3-0               	      35      294      131        9      119
 1821 libcjs0                            	      35      286      131        9      111
 1822 libdata-optlist-perl               	      35     1183     1108       40        0
 1823 libdecor-0-0                       	      35     2596       90        3     2468
 1824 libgnome-autoar-0-0                	      35      343      135        8      165
 1825 libhtml-tree-perl                  	      35     3597     3339      223        0
 1826 libio-socket-inet6-perl            	      35      431      393        3        0
 1827 libkf5pimtextedit5abi2             	      35      503       88        1      379
 1828 libmuparser2v5                     	      35      393      104        8      246
 1829 libqt6dbus6                        	      35      604       40        6      523
 1830 libqt6gui6                         	      35      602       40        7      520
 1831 libqt6widgets6                     	      35      577       40        6      496
 1832 libsub-install-perl                	      35     1183     1108       40        0
 1833 lxqt-panel                         	      35      262      205       22        0
 1834 lxqt-runner                        	      35      264      207       22        0
 1835 mate-control-center                	      35      527      454       38        0
 1836 mate-utils                         	      35      525      450       40        0
 1837 nvidia-modprobe                    	      35      228      180       13        0
 1838 p7zip                              	      35     2923     2639      191       58
 1839 python3-libevdev                   	      35      691      653        3        0
 1840 qtchooser                          	      35      994      914       44        1
 1841 sox                                	      35     1254     1152       67        0
 1842 syncthing                          	      35      174      138        1        0
 1843 tcl8.6                             	      35     1260     1194       31        0
 1844 tracker-extract                    	      35      259      213       11        0
 1845 tzdata                             	      35     4156     1050       40     3031
 1846 xsane                              	      35     1863     1676      152        0
 1847 ark                                	      34      563      484       45        0
 1848 atop                               	      34      118       82        2        0
 1849 discover-data                      	      34     3991     3724      232        1
 1850 fonts-opensymbol                   	      34     2854       75        1     2744
 1851 gdb                                	      34      646      594       18        0
 1852 libcanberra-gtk3-module            	      34     2583       83        8     2458
 1853 libgnome-autoar-gtk-0-0            	      34      245      129        8       74
 1854 libgoa-1.0-common                  	      34     1710      150        9     1517
 1855 libkf5baloo5                       	      34      660      144       14      468
 1856 libkf5balooengine5                 	      34      662      149       14      465
 1857 libkuserfeedbackwidgets1           	      34      625      101        6      484
 1858 liblzf1                            	      34      118       40        0       44
 1859 libncurses6                        	      34     3840       74        2     3730
 1860 libnvidia-egl-wayland1             	      34      186       85        4       63
 1861 libpostproc56                      	      34     2347       77        1     2235
 1862 libsub-exporter-perl               	      34     1180     1106       40        0
 1863 libxmlsec1                         	      34     2728       74        0     2620
 1864 lxqt-globalkeys                    	      34      265      209       22        0
 1865 lxqt-powermanagement               	      34      276      219       23        0
 1866 nemo-fileroller                    	      34      305      135        9      127
 1867 redis-tools                        	      34       85       51        0        0
 1868 wine                               	      34      494      452        7        1
 1869 autotools-dev                      	      33     1303     1244       26        0
 1870 cmake                              	      33      677      621       23        0
 1871 feh                                	      33      314      272        9        0
 1872 gnome-themes-extra                 	      33     1427       71        1     1322
 1873 gpg-wks-client                     	      33     3901     3609      259        0
 1874 libboost-program-options1.74.0     	      33     1480       45        0     1402
 1875 libcmark0.30.2                     	      33      290      114        7      136
 1876 libegl-nvidia0                     	      33      177       83        4       57
 1877 libfile-listing-perl               	      33     3600     3342      225        0
 1878 libflashrom1                       	      33      854      197       21      603
 1879 libkf5imap5                        	      33      492       86        0      373
 1880 libkf5service-bin                  	      33     1199     1105       61        0
 1881 liblwp-mediatypes-perl             	      33     3699     3439      227        0
 1882 libplacebo208                      	      33     2335       87        1     2214
 1883 libpython3.7-minimal               	      33      320      285        2        0
 1884 libqt5xdg3                         	      33      323       96        6      188
 1885 libqt5xdgiconloader3               	      33      325       96        6      190
 1886 libtext-unidecode-perl             	      33      732      692        7        0
 1887 libtool                            	      33     1192     1117       42        0
 1888 libxatracker2                      	      33     3118      144       16     2925
 1889 libzxcvbn0                         	      33      533       61        1      438
 1890 monitoring-plugins-basic           	      33       96       62        1        0
 1891 network-manager-applet             	      33       91       50        8        0
 1892 php7.4-cli                         	      33      111       77        1        0
 1893 pipewire-pulse                     	      33      930      834       63        0
 1894 plasma-workspace-data              	      33      538      163        7      335
 1895 qlipper                            	      33      289      233       23        0
 1896 shotwell-common                    	      33      451      115        9      294
 1897 smplayer                           	      33      531      462       36        0
 1898 tk8.6                              	      33     1168     1107       28        0
 1899 cups-ppdc                          	      32     2825     2578      215        0
 1900 etckeeper                          	      32      125       92        1        0
 1901 firefox-esr-l10n-de                	      32      271      216       23        0
 1902 gnome-menus                        	      32      270      222       16        0
 1903 libclang1-14                       	      32      384      345        7        0
 1904 libcrypt-openssl-bignum-perl       	      32      165       39        0       94
 1905 libcrypt-openssl-rsa-perl          	      32      164       39        0       93
 1906 libev4                             	      32      500       74        1      393
 1907 libglu1-mesa                       	      32     3186       45        1     3108
 1908 libgphoto2-port12t64               	      32      226       54        6      134
 1909 libiptcdata0                       	      32      327      127        7      161
 1910 libkf5baloowidgets-bin             	      32      562      495       35        0
 1911 libkf5libkdepim5                   	      32      502       86        1      383
 1912 libkf5pimcommon5abi2               	      32      488       85        1      370
 1913 libpng-dev                         	      32      894      828       34        0
 1914 libqt6network6                     	      32      597       35        6      524
 1915 libspice-server1                   	      32      658       48        0      578
 1916 libusb-0.1-4                       	      32     1559       47        0     1480
 1917 libusbredirparser1                 	      32      692       49        0      611
 1918 lxqt-qtplugin                      	      32      288       98        8      150
 1919 marco-common                       	      32      567      123        7      405
 1920 mplayer                            	      32      488      444       12        0
 1921 parole                             	      32     1509     1364      113        0
 1922 python3-augeas                     	      32       90       58        0        0
 1923 python3-zope.component             	      32       75       43        0        0
 1924 qml-module-org-kde-prison          	      32      712      164       14      502
 1925 qterminal                          	      32      288      234       22        0
 1926 redshift                           	      32      206      170        4        0
 1927 snmpd                              	      32       91       59        0        0
 1928 tex-common                         	      32      952      893       27        0
 1929 tnftp                              	      32     1078      992       54        0
 1930 vim-gtk3                           	      32      201      156       12        1
 1931 xfce4-cpugraph-plugin              	      32     1673       82        1     1558
 1932 apt-show-versions                  	      31      119       86        2        0
 1933 audacious                          	      31      559      489       39        0
 1934 brave-browser                      	      31      195      132       31        1
 1935 cgroupfs-mount                     	      31      256      222        3        0
 1936 debhelper                          	      31      914      871       12        0
 1937 fonts-lmodern                      	      31      667       51        0      585
 1938 gnutls-bin                         	      31      558      493       34        0
 1939 kwin-data                          	      31      569      155        5      378
 1940 libaccounts-glib0                  	      31      813       88        1      693
 1941 libbpf1                            	      31     3075       42        0     3002
 1942 libcapture-tiny-perl               	      31      851      780       40        0
 1943 libcdio-cdda2                      	      31     2044       63        1     1949
 1944 libcgi-pm-perl                     	      31     1258     1186       41        0
 1945 libcvc0                            	      31      274      125        8      110
 1946 libevent-core-2.1-7t64             	      31      166       64        2       69
 1947 libhttp-negotiate-perl             	      31     3597     3343      223        0
 1948 libimagequant0                     	      31     1811       60        1     1719
 1949 libkf5akonadinotes5                	      31      492       85        0      376
 1950 libkf5ldap5abi1                    	      31      488       85        0      372
 1951 libkf5pimcommonakonadi5abi1        	      31      475       85        0      359
 1952 libkf5xmlgui-data                  	      31     1196      137        4     1024
 1953 libmoo-perl                        	      31      852      785       36        0
 1954 libmozjs-78-0                      	      31      314      129        8      146
 1955 libmpc3                            	      31     3764       63        1     3669
 1956 libmuffin0                         	      31      279      125        8      115
 1957 lp-solve                           	      31     2842     2625      186        0
 1958 mesa-va-drivers                    	      31     3170       89        4     3046
 1959 ncdu                               	      31     1201     1107       63        0
 1960 network-manager-openvpn            	      31      175      142        2        0
 1961 p11-kit-modules                    	      31     2805       92        2     2680
 1962 plasma-desktop-data                	      31      560      155        5      369
 1963 python3-pyxattr                    	      31     1228     1114       83        0
 1964 python3-zope.hookable              	      31       74       43        0        0
 1965 remmina                            	      31      479      413       35        0
 1966 sqlite3                            	      31      584      529       24        0
 1967 tcl                                	      31     1177     1116       29        1
 1968 texlive-latex-extra                	      31      432      386       15        0
 1969 vim-runtime                        	      31     1359     1250       78        0
 1970 x11-session-utils                  	      31     3051     2809      211        0
 1971 xcvt                               	      31     2416     2173      212        0
 1972 cifs-utils                         	      30      375      340        5        0
 1973 docker-ce                          	      30      100       68        2        0
 1974 dunst                              	      30      173      140        3        0
 1975 fonts-linuxlibertine               	      30      785       45        0      710
 1976 gir1.2-accountsservice-1.0         	      30      442      136       11      265
 1977 hunspell-en-us                     	      30     3035     2712      213       80
 1978 java-common                        	      30     1642     1584       28        0
 1979 kde-config-screenlocker            	      30      550      153        5      362
 1980 libboost-regex1.74.0               	      30      813       38        0      745
 1981 libcdio-paranoia2                  	      30     2017       59        1     1927
 1982 libclass-method-modifiers-perl     	      30     1216     1143       43        0
 1983 libdisplay-info2                   	      30      122       44        4       44
 1984 libefiboot1                        	      30     3607      185       19     3373
 1985 libefivar1                         	      30     3608      185       19     3374
 1986 libgcab-1.0-0                      	      30      777      185       19      543
 1987 libgtksourceview-4-0               	      30     2127       71        3     2023
 1988 libio-html-perl                    	      30     3697     3441      226        0
 1989 libjaylink0                        	      30      836      179       20      607
 1990 libkf5akonadisearch-bin            	      30      477      409       38        0
 1991 libnet-smtp-ssl-perl               	      30     3586     3330      226        0
 1992 libqt6svg6                         	      30      389       31        6      322
 1993 libsmbios-c2                       	      30      763      185       19      529
 1994 libsub-quote-perl                  	      30     1131     1062       39        0
 1995 liburing1                          	      30      272       42        1      199
 1996 libwww-robotrules-perl             	      30     3600     3350      220        0
 1997 libyaml-tiny-perl                  	      30      478      443        5        0
 1998 mate-calc                          	      30      524      452       42        0
 1999 minicom                            	      30     1162     1069       63        0
 2000 mosh                               	      30     1056      974       52        0
 2001 mutter-common                      	      30      383      309       33       11
 2002 nvidia-kernel-common               	      30      220      179       11        0
 2003 postgresql-13                      	      30       91       61        0        0
 2004 printer-driver-gutenprint          	      30      643      602       11        0
 2005 python3-distro                     	      30     2306     2145      131        0
 2006 python3-sympy                      	      30      487      429       28        0
 2007 qml-module-org-kde-kitemmodels     	      30      935      192       15      698
 2008 rename                             	      30     1320     1235       55        0
 2009 tk                                 	      30     1140     1082       28        0
 2010 xfce4-xkb-plugin                   	      30     1670      106        0     1534
 2011 avahi-utils                        	      29     1824     1642      153        0
 2012 containerd.io                      	      29      102       72        1        0
 2013 dh-autoreconf                      	      29      906      865       12        0
 2014 dovecot-imapd                      	      29      115       86        0        0
 2015 efibootmgr                         	      29     1624     1475      120        0
 2016 eog                                	      29      460      396       35        0
 2017 geany                              	      29      266      233        4        0
 2018 gnome-disk-utility                 	      29      692      619       44        0
 2019 kinfocenter                        	      29      547      477       41        0
 2020 knotes                             	      29      463      396       38        0
 2021 libavfilter8                       	      29     2312       75        1     2207
 2022 libdvdread8                        	      29     2738       73        0     2636
 2023 libgdbm6                           	      29     3655       42        1     3583
 2024 libgts-bin                         	      29     1632     1520       83        0
 2025 libjpeg-turbo-progs                	      29     2609     2390      190        0
 2026 libkf5akonadisearch-plugins        	      29      482      111       10      332
 2027 libkf5baloowidgets5                	      29      582       86        4      463
 2028 libmail-spf-perl                   	      29      131      102        0        0
 2029 libmenu-cache-bin                  	      29      564      495       40        0
 2030 libmro-compat-perl                 	      29      687      651        7        0
 2031 libnpth0t64                        	      29      279       63        1      186
 2032 libphonon4qt5-data                 	      29      842      136        4      673
 2033 libpipewire-0.3-modules            	      29     1427       71        3     1324
 2034 libprotobuf32t64                   	      29      208       30        4      145
 2035 libssl-dev                         	      29      943      888       26        0
 2036 libtracker-sparql-3.0-0            	      29      464      105        6      324
 2037 libxml-sax-perl                    	      29     1399     1322       48        0
 2038 libxt6t64                          	      29      272       69        2      172
 2039 libxv1                             	      29     3554       61        1     3463
 2040 mariadb-server                     	      29      304      163       16       96
 2041 nscd                               	      29       77       47        1        0
 2042 nvidia-settings                    	      29      172      128       15        0
 2043 pcmanfm                            	      29      324      273       22        0
 2044 php8.2-fpm                         	      29       75       46        0        0
 2045 python3-certbot                    	      29      191      161        1        0
 2046 python3-markupsafe                 	      29     1156     1057       70        0
 2047 qemu-utils                         	      29      706      645       32        0
 2048 rake                               	      29     1061     1011       21        0
 2049 spamassassin                       	      29      116       86        1        0
 2050 tcpdump                            	      29      708      672        7        0
 2051 unrar                              	      29      454      406       19        0
 2052 xserver-xorg-input-synaptics       	      29      243      211        3        0
 2053 audacity                           	      28      515      472       15        0
 2054 autopoint                          	      28      990      947       15        0
 2055 catdoc                             	      28     1009      917       64        0
 2056 dh-strip-nondeterminism            	      28      905      862       15        0
 2057 file-roller                        	      28      484      415       41        0
 2058 gcc-14                             	      28      209      149       32        0
 2059 gcc-14-x86-64-linux-gnu            	      28      203      144       31        0
 2060 gtk3-nocsd                         	      28     2248     2025      195        0
 2061 iftop                              	      28     1229     1148       53        0
 2062 kpartx                             	      28      236      205        3        0
 2063 libc++1-16                         	      28      342      303       11        0
 2064 libc++abi1-16                      	      28      342      303       11        0
 2065 libclang-cpp14                     	      28      346      315        3        0
 2066 libdolphinvcs5                     	      28      589       85        4      472
 2067 libexporter-tiny-perl              	      28      725      688        9        0
 2068 libffado2                          	      28      309      258       23        0
 2069 libisl23                           	      28     3411       59        1     3323
 2070 libkf5wallet-data                  	      28     1200      125        4     1043
 2071 libmbim-utils                      	      28     2175     1941      206        0
 2072 libmemcached11                     	      28      151       38        1       84
 2073 libnet-ip-perl                     	      28      286      256        2        0
 2074 libnet-libidn-perl                 	      28      388       35        0      325
 2075 libpath-tiny-perl                  	      28      783      718       37        0
 2076 libqmi-utils                       	      28     2173     1939      206        0
 2077 libqt6core6t64                     	      28      162       35        6       93
 2078 libqt6xml6                         	      28      471       26        6      411
 2079 libunwind-16                       	      28      345      305       12        0
 2080 libwine                            	      28      522      455        7       32
 2081 mtr-tiny                           	      28     1142     1060       54        0
 2082 nodejs                             	      28      448      401       19        0
 2083 po-debconf                         	      28      930      891       11        0
 2084 powerdevil-data                    	      28      558      149        5      376
 2085 python3-debconf                    	      28     3611     3332      251        0
 2086 python3-reportbug                  	      28     3721     3433      260        0
 2087 python3.5-minimal                  	      28      209      180        1        0
 2088 qt6-translations-l10n              	      28      553       35        6      484
 2089 smbclient                          	      28      809      725       56        0
 2090 tcpd                               	      28      482      449        5        0
 2091 texlive-base                       	      28      625      578       19        0
 2092 virtualbox-7.0                     	      28      129      101        0        0
 2093 gcc-x86-64-linux-gnu               	      27      223      165       31        0
 2094 gddrescue                          	      27     1110     1029       54        0
 2095 gparted                            	      27      899      855       16        1
 2096 libaccounts-qt5-1                  	      27      772       84        1      660
 2097 libapache2-mod-php7.4              	      27       72       45        0        0
 2098 libc-devtools                      	      27     2002     1807      168        0
 2099 libcbor0.8                         	      27     2797      167       18     2585
 2100 libfaad2                           	      27     3357       64        0     3266
 2101 libgccjit0                         	      27      368       50        0      291
 2102 libkaccounts2                      	      27      746       83        1      635
 2103 libmail-dkim-perl                  	      27      124       97        0        0
 2104 liborcus-0.17-0                    	      27     2052       53        1     1971
 2105 liborcus-parser-0.17-0             	      27     2053       53        1     1972
 2106 libpython3-dev                     	      27     1062      993       42        0
 2107 libqt5sql5-mysql                   	      27      598       60        0      511
 2108 libreoffice-java-common            	      27      878      756       95        0
 2109 libsignon-qt5-1                    	      27      773       83        1      662
 2110 libutf8proc2                       	      27      747       62        5      653
 2111 libxmlsec1-nss                     	      27     2611       51        0     2533
 2112 llvm-14-dev                        	      27      257      230        0        0
 2113 mariadb-server-core-10.5           	      27      126       93        6        0
 2114 mono-runtime-sgen                  	      27      312      276        9        0
 2115 orca                               	      27     2414     2193      194        0
 2116 php8.2-bz2                         	      27       64       37        0        0
 2117 python3-netifaces                  	      27      375      333       15        0
 2118 quodlibet                          	      27     1521     1379      115        0
 2119 bind9-utils                        	      26      212      182        4        0
 2120 clamav                             	      26      279      247        6        0
 2121 firefox-esr-l10n-es-es             	      26      184      136       22        0
 2122 gir1.2-vte-2.91                    	      26      922       47        1      848
 2123 hddtemp                            	      26      626      589       11        0
 2124 icu-devtools                       	      26      939      888       25        0
 2125 isc-dhcp-server                    	      26       99       73        0        0
 2126 kdeplasma-addons-data              	      26      512      144        5      337
 2127 kwin-wayland                       	      26      438      373       39        0
 2128 libc-ares2                         	      26      800       55        0      719
 2129 libcaca0                           	      26     3561       57        1     3477
 2130 libeval-closure-perl               	      26      589      556        7        0
 2131 libfam0                            	      26      336       77        1      232
 2132 libfdk-aac2                        	      26      129       36        0       67
 2133 libfile-desktopentry-perl          	      26     3245     3001      218        0
 2134 libkf5package-data                 	      26     1121      148        5      942
 2135 liblibreoffice-java                	      26      989      854      108        1
 2136 libm17n-0                          	      26      404       53        0      325
 2137 libnginx-mod-http-echo             	      26       78       52        0        0
 2138 libntfs-3g89                       	      26     2290       67        2     2195
 2139 libntlm0                           	      26     1998       56        0     1916
 2140 libpeas-1.0-0                      	      26     1116       56        1     1033
 2141 libperl5.40                        	      26      215      154       35        0
 2142 libpsm-infinipath1                 	      26      343      307        3        7
 2143 libpython3.5-stdlib                	      26      218      191        1        0
 2144 libreoffice-gnome                  	      26      408      319       63        0
 2145 libreoffice-l10n-de                	      26      303      248       29        0
 2146 libtirpc-dev                       	      26     2180     2076       77        1
 2147 libunoloader-java                  	      26      987      852      109        0
 2148 libwebkit2gtk-4.0-37               	      26     2201       70        1     2104
 2149 libxcb1-dev                        	      26     1201     1147       28        0
 2150 libxfce4ui-utils                   	      26     1746     1599      121        0
 2151 libxml-twig-perl                   	      26     3232     2987      219        0
 2152 parcellite                         	      26      153      119        8        0
 2153 php7.4-xml                         	      26       73       47        0        0
 2154 python-gobject-2                   	      26      224      196        2        0
 2155 python3-dev                        	      26     1052      984       42        0
 2156 python3-msgpack                    	      26      449      396       27        0
 2157 python3-pip                        	      26      782      738       18        0
 2158 sane-airscan                       	      26     2226     2006      194        0
 2159 tini                               	      26      178      148        4        0
 2160 usb.ids                            	      26     3161     2692      207      236
 2161 vlc-plugin-qt                      	      26     1185     1104       55        0
 2162 wodim                              	      26      758      693       39        0
 2163 xclip                              	      26      397      356       15        0
 2164 xfce4-systemload-plugin            	      26     1671       63        0     1582
 2165 xfce4-taskmanager                  	      26     1676     1532      118        0
 2166 zsh-common                         	      26     1223       59        1     1137
 2167 acpi                               	      25      582      548        9        0
 2168 bison                              	      25      563      534        4        0
 2169 claws-mail                         	      25      173      145        3        0
 2170 cpufrequtils                       	      25      262      237        0        0
 2171 engrampa-common                    	      25      579       68        3      483
 2172 figlet                             	      25     1090     1007       58        0
 2173 gdm3                               	      25      163      128        9        1
 2174 geoip-bin                          	      25     1072      995       52        0
 2175 icinga2-bin                        	      25       48       23        0        0
 2176 info                               	      25      615      587        3        0
 2177 iso-codes                          	      25     4026      171        8     3822
 2178 kde-spectacle                      	      25      521      451       45        0
 2179 keditbookmarks                     	      25      968      887       56        0
 2180 khotkeys-data                      	      25      524      145        4      350
 2181 konqueror                          	      25      554      487       42        0
 2182 libavif16                          	      25      278       38        3      212
 2183 libcamel-1.2-64t64                 	      25       98       26        4       43
 2184 libebackend-1.2-11t64              	      25       83       26        4       28
 2185 libebook-1.2-21t64                 	      25       83       26        4       28
 2186 libebook-contacts-1.2-4t64         	      25       89       26        4       34
 2187 libedata-book-1.2-27t64            	      25       83       26        4       28
 2188 libedataserver-1.2-27t64           	      25       96       26        4       41
 2189 libemail-address-xs-perl           	      25      673       33        0      615
 2190 libext2fs2                         	      25     3671       58        2     3586
 2191 libfile-find-rule-perl             	      25      932      856       51        0
 2192 libfreeaptx0                       	      25     2391       58        2     2306
 2193 libhttp-daemon-perl                	      25     3532     3281      226        0
 2194 libjson-maybexs-perl               	      25      677      616       36        0
 2195 libkf5akonadiagentbase5            	      25      490       65        0      400
 2196 libkf5dnssd5                       	      25      693       71        0      597
 2197 libkf5libkleo5                     	      25      499       65        1      408
 2198 libkf5screen-data                  	      25      589      143        6      415
 2199 liblouisutdml-bin                  	      25     2751     2524      202        0
 2200 libmad0                            	      25     2378       53        1     2299
 2201 libnamespace-autoclean-perl        	      25      618      585        8        0
 2202 libnet-domain-tld-perl             	      25      759      695       39        0
 2203 libnvidia-egl-gbm1                 	      25      129       63        4       37
 2204 libparams-util-perl                	      25     1190       38        0     1127
 2205 libpython3.5-minimal               	      25      220      194        1        0
 2206 libreoffice-style-elementary       	      25      437      342       70        0
 2207 libstrictures-perl                 	      25      759      698       36        0
 2208 libswitch-perl                     	      25      724      697        2        0
 2209 linux-image-6.1.0-31-amd64         	      25      503      311      166        1
 2210 lzop                               	      25      837      774       38        0
 2211 msmtp                              	      25     1068      991       52        0
 2212 neofetch                           	      25      437      393       19        0
 2213 perl-tk                            	      25     2436     2214      197        0
 2214 plasma-discover-common             	      25      527      139        5      358
 2215 postgresql-client-15               	      25      159      123       11        0
 2216 python-is-python3                  	      25      150      122        3        0
 2217 python2.7                          	      25     1157     1126        6        0
 2218 qt6-svg-plugins                    	      25       99       19        6       49
 2219 runc                               	      25      171      137        9        0
 2220 suckless-tools                     	      25      304      273        6        0
 2221 tumbler                            	      25     1832     1320      114      373
 2222 xapp-sn-watcher                    	      25      329      103        8      193
 2223 xkbset                             	      25     2364     2146      193        0
 2224 deborphan                          	      24      261      232        5        0
 2225 dwz                                	      24      869      833       12        0
 2226 firefox                            	      24      117       85        7        1
 2227 fonts-vlgothic                     	      24      272       69        2      177
 2228 glib-networking-services           	      24     3443     3196      219        4
 2229 ibus-data                          	      24      783       70        1      688
 2230 kpackagetool5                      	      24     1117     1032       61        0
 2231 lftp                               	      24     1091     1011       56        0
 2232 libapache2-mod-dnssd               	      24      456      397       35        0
 2233 libavc1394-0                       	      24     3325       51        1     3249
 2234 libbrlapi0.8                       	      24     2768       23        2     2719
 2235 libclass-singleton-perl            	      24      377      349        4        0
 2236 libconst-fast-perl                 	      24      578      520       34        0
 2237 libdata-dump-perl                  	      24     3332     3086      222        0
 2238 libdatetime-locale-perl            	      24      377      349        4        0
 2239 libdatetime-timezone-perl          	      24      377      348        5        0
 2240 libdavs2-16                        	      24       74       35        0       15
 2241 libdbusmenu-gtk4                   	      24      349       79        5      241
 2242 libiec61883-0                      	      24     3296       51        1     3220
 2243 libilbc3                           	      24       76       34        0       18
 2244 libipc-system-simple-perl          	      24     3291     3048      219        0
 2245 libkf5akonadisearchcore5           	      24      475       64        0      387
 2246 libkf5akonadisearchxapian5         	      24      475       64        0      387
 2247 libkf5dbusaddons-bin               	      24     1152     1063       65        0
 2248 libkf5gravatar5abi2                	      24      477       65        1      387
 2249 libkf5mbox5                        	      24      500       65        1      410
 2250 libkf5messagecore5abi1             	      24      463       64        1      374
 2251 libkf5messageviewer5abi1           	      24      461       64        1      372
 2252 libkf5mimetreeparser5abi1          	      24      466       64        1      377
 2253 libkf5webengineviewer5abi1         	      24      463       64        1      374
 2254 libkf6configcore6                  	      24       97       25        4       44
 2255 libkf6windowsystem6                	      24       98       26        6       42
 2256 liblua5.1-0                        	      24     1377       41        0     1312
 2257 liblxqt-globalkeys1                	      24      200       75        6       95
 2258 liblxqt1                           	      24      210       76        6      104
 2259 libparams-validationcompiler-perl  	      24      549      518        7        0
 2260 libqt5multimedia5                  	      24     1547       41        0     1482
 2261 libraw1394-11                      	      24     3342       52        1     3265
 2262 libspecio-perl                     	      24      550      509       17        0
 2263 libv4l-0                           	      24     2994       21        1     2948
 2264 libv4lconvert0                     	      24     2997       21        1     2951
 2265 libvncclient1                      	      24     1367       34        0     1309
 2266 libwww-mechanize-perl              	      24      551      495       32        0
 2267 libxavs2-13                        	      24       74       35        0       15
 2268 links2                             	      24     1097     1017       56        0
 2269 lrzsz                              	      24     1165     1087       54        0
 2270 lxqt-config                        	      24      284      236       24        0
 2271 mkdocs                             	      24      122       98        0        0
 2272 mono-runtime                       	      24      312      279        9        0
 2273 php8.2-imagick                     	      24       75       51        0        0
 2274 pwgen                              	      24      309      283        2        0
 2275 python3-ldb                        	      24     1282     1178       80        0
 2276 rfkill                             	      24      689      640       25        0
 2277 ruby-gettext                       	      24      109       85        0        0
 2278 ruby-locale                        	      24      110       86        0        0
 2279 subversion                         	      24      384      342       18        0
 2280 texlive-fonts-recommended          	      24      494       43        0      427
 2281 7zip                               	      23      315      243       47        2
 2282 apt-file                           	      23      538      508        7        0
 2283 aptitude-common                    	      23     1160     1096       40        1
 2284 cabextract                         	      23      569      541        5        0
 2285 firefox-esr-l10n-en-gb             	      23      167      132       12        0
 2286 firefox-esr-l10n-es-ar             	      23      175      128       24        0
 2287 firmware-amd-graphics              	      23     1002      940       39        0
 2288 fonts-noto-cjk-extra               	      23      850       41        0      786
 2289 gedit                              	      23      401      347       31        0
 2290 gir1.2-packagekitglib-1.0          	      23     2715     2483      209        0
 2291 gnumeric                           	      23      135      109        3        0
 2292 i3-wm                              	      23      155      129        3        0
 2293 ibus-gtk4                          	      23      279       79        7      170
 2294 irssi                              	      23     1052      974       55        0
 2295 joe                                	      23      185      161        1        0
 2296 libabsl20200923                    	      23      126       50        0       53
 2297 libabsl20230802                    	      23      261       59        1      178
 2298 libayatana-appindicator1           	      23      222       78        5      116
 2299 libayatana-indicator7              	      23      222       78        5      116
 2300 libcacard0                         	      23      731       29        0      679
 2301 libdata-validate-ip-perl           	      23      547      489       35        0
 2302 libexpat1-dev                      	      23     1388     1323       42        0
 2303 libfont-afm-perl                   	      23     3527     3279      225        0
 2304 libgeoip1                          	      23     1758       31        1     1703
 2305 libhandy-1-0                       	      23     2338       36        1     2278
 2306 libhtml-format-perl                	      23     3524     3277      224        0
 2307 libicu-dev                         	      23      933      884       26        0
 2308 libio-stringy-perl                 	      23     3292     3052      217        0
 2309 libipc-run-perl                    	      23      474      443        8        0
 2310 libiw30                            	      23     2506       79        3     2401
 2311 libkf5akonadicalendar-data         	      23      483      135        4      321
 2312 libkf5mailcommon5abi2              	      23      466       65        0      378
 2313 libkf5mailimporter5                	      23      480       65        0      392
 2314 libkf5messagecomposer5abi1         	      23      454       64        0      367
 2315 libkf5templateparser5              	      23      464       64        0      377
 2316 libldacbt-enc2                     	      23     2422       55        2     2342
 2317 liblxqt-globalkeys-ui1             	      23      189       75        6       85
 2318 libmagic1                          	      23     3860       43        3     3791
 2319 libmspack0t64                      	      23      100       12        2       63
 2320 libnginx-mod-stream                	      23       72       49        0        0
 2321 libnumber-compare-perl             	      23      946      872       51        0
 2322 libpython3.11-dev                  	      23      793      743       27        0
 2323 libqgpgme15                        	      23      388       58        1      306
 2324 libref-util-perl                   	      23      710      676       11        0
 2325 libreoffice-sdbc-hsqldb            	      23      764      669       72        0
 2326 libtext-glob-perl                  	      23      951      876       52        0
 2327 libxext-dev                        	      23      974      932       19        0
 2328 locales-all                        	      23       66       42        1        0
 2329 moc                                	      23     1021      941       57        0
 2330 munin-common                       	      23       75       52        0        0
 2331 nvidia-smi                         	      23      172      136       13        0
 2332 php7.4-mysql                       	      23       64       41        0        0
 2333 python3-lib2to3                    	      23     1832     1741       67        1
 2334 python3-samba                      	      23      939      846       70        0
 2335 python3-speechd                    	      23     2572     2345      204        0
 2336 python3-talloc                     	      23     1279     1178       78        0
 2337 python3-webencodings               	      23     1446     1361       62        0
 2338 qt6-image-formats-plugins          	      23      221       18        4      176
 2339 r-base-core                        	      23      147      116        8        0
 2340 rkhunter                           	      23      167      142        2        0
 2341 rpm                                	      23      216      184        9        0
 2342 rpm-common                         	      23      337      300       14        0
 2343 scrot                              	      23      517      464       30        0
 2344 steam-launcher                     	      23      142      115        4        0
 2345 t1utils                            	      23     1104     1036       45        0
 2346 telnet                             	      23     1472      975       14      460
 2347 toilet                             	      23     1290     1198       69        0
 2348 caja-open-terminal                 	      22       96       46        1       27
 2349 cmake-data                         	      22      680      634       24        0
 2350 cmatrix                            	      22     1035      962       51        0
 2351 cron-apt                           	      22       57       35        0        0
 2352 discord                            	      22      125      100        3        0
 2353 firefox-esr-l10n-es-cl             	      22      171      125       24        0
 2354 firefox-esr-l10n-es-mx             	      22      175      129       24        0
 2355 gir1.2-gtksource-4                 	      22     1083       35        1     1025
 2356 korganizer                         	      22      476      412       42        0
 2357 libaugeas0                         	      22      395       42        0      331
 2358 libavif9                           	      22      101       46        0       33
 2359 libdbi1                            	      22      278       21        0      235
 2360 libfm-qt12                         	      22      204       74        6      102
 2361 libgav1-0                          	      22      101       46        0       33
 2362 libglib2.0-dev-bin                 	      22      793      721       50        0
 2363 libgpg-error-l10n                  	      22      912       66        0      824
 2364 libgtk-4-bin                       	      22      959      846       91        0
 2365 libhashkit2                        	      22       98       29        1       46
 2366 libhfstospell11                    	      22     1125      118       12      973
 2367 libhtml-tokeparser-simple-perl     	      22      571      516       33        0
 2368 libkf5iconthemes-bin               	      22     1115     1028       65        0
 2369 libkf5identitymanagementwidgets5   	      22      395       62        0      311
 2370 libkf5pimcommonautocorrection5     	      22      397       60        1      314
 2371 libkf6coreaddons-data              	      22       97       22        4       49
 2372 libkf6coreaddons6                  	      22       93       22        4       45
 2373 libkf6crash6                       	      22       88       20        4       42
 2374 libkf6notifications6               	      22       96       25        4       45
 2375 libkf6service6                     	      22       83       18        4       39
 2376 libldacbt-abr2                     	      22      511       54        3      432
 2377 liblist-compare-perl               	      22      649      591       36        0
 2378 liblist-utilsby-perl               	      22      745      686       37        0
 2379 libminiupnpc17                     	      22      687       41        0      624
 2380 libnetcf1                          	      22      150       42        0       86
 2381 libnvidia-cfg1                     	      22      177       40        2      113
 2382 libotf1                            	      22      318       45        0      251
 2383 libqt6printsupport6                	      22      393       20        2      349
 2384 libreoffice-uiconfig-common        	      22      266      197       47        0
 2385 libtag2                            	      22      115        9        1       83
 2386 libvoikko1                         	      22     1172      118       12     1020
 2387 libxau-dev                         	      22     1201     1150       29        0
 2388 libxdmcp-dev                       	      22     1201     1150       29        0
 2389 libxenmisc4.14                     	      22      122       43        0       57
 2390 libxenstore3.0                     	      22      222       44        0      156
 2391 libxml-libxml-perl                 	      22     1386       35        0     1329
 2392 lintian                            	      22      671      605       44        0
 2393 llvm-14-linker-tools               	      22      279      257        0        0
 2394 logtail                            	      22       78       55        1        0
 2395 lxpolkit                           	      22      214      167       25        0
 2396 patchutils                         	      22      762      701       39        0
 2397 php8.2-apcu                        	      22       57       35        0        0
 2398 php8.2-gmp                         	      22       56       34        0        0
 2399 pidgin                             	      22      391      338       30        1
 2400 postgresql-11                      	      22       61       39        0        0
 2401 python3-dateutil                   	      22     1360     1274       63        1
 2402 python3-distutils                  	      22     1656     1581       52        1
 2403 python3-html5lib                   	      22     1322     1254       45        1
 2404 python3-systemd                    	      22      219      195        2        0
 2405 wine32                             	      22      321      294        5        0
 2406 xfce4-netload-plugin               	      22     1668       55        0     1591
 2407 zile                               	      22     1004      929       53        0
 2408 caca-utils                         	      21     1257     1168       68        0
 2409 caja-extensions-common             	      21      106       41        1       43
 2410 debsecan                           	      21       54       32        1        0
 2411 dh-elpa-helper                     	      21      172      142        9        0
 2412 dracut-install                     	      21      250      189       40        0
 2413 easy-rsa                           	      21      469      442        6        0
 2414 espeak                             	      21     1033      958       54        0
 2415 evolution-data-server-common       	      21      629       99        6      503
 2416 filezilla                          	      21      295      272        2        0
 2417 ienglish-common                    	      21     2235     1798      124      292
 2418 libaliased-perl                    	      21      626      569       36        0
 2419 libao4                             	      21     2888      102        1     2764
 2420 libcurl4t64                        	      21      282       25        4      232
 2421 libdata-validate-domain-perl       	      21      643      585       37        0
 2422 libdc1394-25                       	      21     3010       50        1     2938
 2423 libfcgi-bin                        	      21      955      892       42        0
 2424 libfm-qt-l10n                      	      21      287       59        5      202
 2425 libgl-dev                          	      21      822      780       21        0
 2426 libio-interactive-perl             	      21      539      483       35        0
 2427 libipc-run3-perl                   	      21      713      655       37        0
 2428 libipc-signal-perl                 	      21       78       56        1        0
 2429 libkf5i18n-data                    	      21     1250      137        4     1088
 2430 libkf5messagelist5abi1             	      21      453       60        0      372
 2431 libkf6authcore6                    	      21       83       18        4       40
 2432 libkf6i18n6                        	      21       93       22        4       46
 2433 libkf6kiocore6                     	      21       83       18        4       40
 2434 libkf6solid6                       	      21       85       20        6       38
 2435 liblist-moreutils-perl             	      21      639      490        6      122
 2436 libnsl-dev                         	      21     2165     2071       73        0
 2437 libproc-waitstat-perl              	      21       78       56        1        0
 2438 libqt6waylandclient6               	      21      304       29        4      250
 2439 libregexp-common-perl              	      21      333      310        2        0
 2440 libtevent0t64                      	      21      236       38        1      176
 2441 libxcb-xrm0                        	      21      235       65        1      148
 2442 libxfce4windowing-0-0              	      21       71       20        2       28
 2443 lshw                               	      21      551      524        6        0
 2444 lxcfs                              	      21       84       61        2        0
 2445 mate-system-monitor                	      21      523      462       40        0
 2446 nvidia-persistenced                	      21       78       55        1        1
 2447 pcmanfm-qt-l10n                    	      21      282       61        5      195
 2448 python3-brlapi                     	      21     2491     2254      216        0
 2449 python3-logilab-common             	      21       98       75        2        0
 2450 python3-pyqt6                      	      21      261      223       17        0
 2451 python3-pyqt6.sip                  	      21      263      220       22        0
 2452 python3-regex                      	      21      346      311       14        0
 2453 sendmail-bin                       	      21       52       31        0        0
 2454 sonnet-plugins                     	      21     1162      115       12     1014
 2455 sysuser-helper                     	      21      317      280       16        0
 2456 texlive-science                    	      21      260      232        7        0
 2457 tlp                                	      21       92       67        4        0
 2458 totem                              	      21      398      342       35        0
 2459 transmission-gtk                   	      21      603      542       40        0
 2460 wine64                             	      21      452      425        6        0
 2461 wireguard-tools                    	      21      201      174        6        0
 2462 xfburn                             	      21     1690     1551      118        0
 2463 xfce4-places-plugin                	      21     1662     1525      116        0
 2464 xpdf                               	      21      238      212        5        0
 2465 abook                              	      20     1002      929       53        0
 2466 apt-xapian-index                   	      20      114       93        1        0
 2467 arj                                	      20      200      179        1        0
 2468 cdrdao                             	      20      838      773       45        0
 2469 cinnamon-l10n                      	      20      318       93        6      199
 2470 crda                               	      20      384      362        2        0
 2471 doc-base                           	      20      179      158        1        0
 2472 fbi                                	      20     1010      939       51        0
 2473 featherpad                         	      20      289      244       25        0
 2474 fonts-lyx                          	      20      706       43        0      643
 2475 galternatives                      	      20      301      255       26        0
 2476 gconf2                             	      20      358      337        1        0
 2477 gpsd                               	      20       84       64        0        0
 2478 inxi                               	      20      620      544       56        0
 2479 ipset                              	      20      120       99        1        0
 2480 kdeconnect-libs                    	      20       45       15        4        6
 2481 ksystemstats                       	      20      446      382       44        0
 2482 libappstream5                      	      20      184       38        5      121
 2483 libauthen-pam-perl                 	      20       69       26        0       23
 2484 libdata-dpath-perl                 	      20      624      568       36        0
 2485 libdigest-hmac-perl                	      20      547      520        7        0
 2486 libdynaloader-functions-perl       	      20     1220     1155       45        0
 2487 libencode-perl                     	      20      258       16        0      222
 2488 libflac12t64                       	      20      216      133        0       63
 2489 libfont-ttf-perl                   	      20      688      632       36        0
 2490 libhtml-html5-entities-perl        	      20      625      569       36        0
 2491 libhtml-template-perl              	      20      394      372        2        0
 2492 libid3tag0                         	      20     2050       57        0     1973
 2493 libilmbase25                       	      20      589       46        0      523
 2494 libimage-exiftool-perl             	      20      340      313        7        0
 2495 libio-pty-perl                     	      20      564       23        0      521
 2496 libiterator-perl                   	      20      624      568       36        0
 2497 libiterator-util-perl              	      20      624      568       36        0
 2498 libkf6dbusaddons6                  	      20       92       22        4       46
 2499 libkf6kiogui6                      	      20       83       18        4       41
 2500 liblist-someutils-perl             	      20      650      595       35        0
 2501 libmldbm-perl                      	      20      533      479       34        0
 2502 libmono-security4.0-cil            	      20      312      283        9        0
 2503 libmoox-aliases-perl               	      20      625      569       36        0
 2504 libmpcdec6                         	      20     3334       38        0     3276
 2505 libnet-idn-encode-perl             	      20       75       32        0       23
 2506 libopenexr25                       	      20      585       46        0      519
 2507 libpcap0.8t64                      	      20      255       20        3      212
 2508 libproxy1-plugin-networkmanager    	      20      137       61        0       56
 2509 libproxy1-plugin-webkit            	      20      138       61        0       57
 2510 libqt5script5                      	      20      818       33        0      765
 2511 libqt6bluetooth6                   	      20       48       15        4        9
 2512 libqt6qml6                         	      20      399       24        4      351
 2513 libsort-versions-perl              	      20      572      519       33        0
 2514 libsrt1.4-gnutls                   	      20      633       47        0      566
 2515 libtk8.6                           	      20     1522       26        0     1476
 2516 libuno-cppu3t64                    	      20      193      136       37        0
 2517 libuno-cppuhelpergcc3-3t64         	      20      193      136       37        0
 2518 libuno-sal3t64                     	      20      193      136       37        0
 2519 libuno-salhelpergcc3-3t64          	      20      193      136       37        0
 2520 libva-wayland2                     	      20     1957       55        0     1882
 2521 libvlc5                            	      20     1677       44        1     1612
 2522 libxml-xpathengine-perl            	      20     3217     2977      220        0
 2523 lighttpd                           	      20       69       49        0        0
 2524 linux-image-6.12.12-amd64          	      20       37       12        5        0
 2525 logcheck                           	      20       64       43        1        0
 2526 logwatch                           	      20       48       28        0        0
 2527 mime-construct                     	      20       76       55        1        0
 2528 mtools                             	      20      583      539       24        0
 2529 multitail                          	      20     1017      940       57        0
 2530 nextcloud-desktop                  	      20       91       69        2        0
 2531 nm-connection-editor               	      20       97       65       12        0
 2532 php7.4-gd                          	      20       65       45        0        0
 2533 php7.4-mbstring                    	      20       66       46        0        0
 2534 policycoreutils                    	      20      102       82        0        0
 2535 python-cairo                       	      20      218      196        2        0
 2536 python-dbus                        	      20      232      210        2        0
 2537 python3-babel                      	      20      505      479        6        0
 2538 python3-multidict                  	      20      120       94        6        0
 2539 python3-ply                        	      20      822      765       37        0
 2540 python3-pyasn1-modules             	      20      343      310       13        0
 2541 ruby-net-telnet                    	      20     1063     1022       21        0
 2542 sc                                 	      20     1015      942       53        0
 2543 strongswan-starter                 	      20       71       51        0        0
 2544 taskwarrior                        	      20     1014      941       53        0
 2545 texinfo                            	      20      669      640        9        0
 2546 tigervnc-viewer                    	      20      164      143        1        0
 2547 unhide                             	      20      157      135        2        0
 2548 wavemon                            	      20     1030      957       53        0
 2549 wsdd                               	      20       97       66       11        0
 2550 xdm                                	      20       90       70        0        0
 2551 ant                                	      19      839      809       11        0
 2552 apcupsd                            	      19       56       37        0        0
 2553 attr                               	      19      538      503       16        0
 2554 avrdude                            	      19      135      115        1        0
 2555 dc                                 	      19      797      766       12        0
 2556 diffstat                           	      19      763      704       40        0
 2557 dlocate                            	      19       61       42        0        0
 2558 dvtm                               	      19      999      928       52        0
 2559 entr                               	      19     1004      930       55        0
 2560 fbterm                             	      19     1038      964       55        0
 2561 gir1.2-caja-2.0                    	      19       89       46        1       23
 2562 gnome-session-bin                  	      19      329      285       25        0
 2563 growisofs                          	      19      706      651       36        0
 2564 kcalc                              	      19      537      479       39        0
 2565 libalgorithm-diff-perl             	      19     2171     2069       83        0
 2566 libavformat58                      	      19      800       46        0      735
 2567 libcgi-fast-perl                   	      19     1197     1137       41        0
 2568 libclass-accessor-perl             	      19      562      535        8        0
 2569 libgmp-dev                         	      19      577      551        7        0
 2570 libgnome-bg-4-2                    	      19      308       71        6      212
 2571 libgnome-desktop-4-2               	      19      360       71        6      264
 2572 libkf5holidays5                    	      19      569       54        0      496
 2573 libkf5syntaxhighlighting-data      	      19      740       57        1      663
 2574 libkvazaar7                        	      19       57       23        0       15
 2575 libmilter1.0.1                     	      19       65       20        0       26
 2576 libnet-server-perl                 	      19      161      141        1        0
 2577 libpam-wtmpdb                      	      19       63       33        9        2
 2578 libqt5core5t64                     	      19      211       52        2      138
 2579 libqt5dbus5t64                     	      19      210       51        2      138
 2580 libqt5gui5t64                      	      19      207       51        2      135
 2581 libqt5widgets5t64                  	      19      207       51        2      135
 2582 libspa-0.2-bluetooth               	      19      470       52        3      396
 2583 libtag-c2                          	      19       67        9        1       38
 2584 libvlccore9                        	      19     1659       44        1     1595
 2585 libwtmpdb0                         	      19       66       33        9        5
 2586 libx11-protocol-perl               	      19     3230     2993      218        0
 2587 libxcb-screensaver0                	      19      295       57        2      217
 2588 libxfce4util-bin                   	      19     2082     1924      139        0
 2589 libxml-namespacesupport-perl       	      19     1401     1334       48        0
 2590 light-locker                       	      19      152      127        6        0
 2591 lsscsi                             	      19      273      249        5        0
 2592 lxc                                	      19       89       68        2        0
 2593 lxterminal                         	      19      258      222       17        0
 2594 mate-applets-common                	      19      512       40        1      452
 2595 mcabber                            	      19      995      923       53        0
 2596 ntpdate                            	      19      686      222        1      444
 2597 nut-server                         	      19       65       45        1        0
 2598 php7.4-curl                        	      19       56       37        0        0
 2599 plasma-workspace-wayland           	      19      408      353       36        0
 2600 python3-caja                       	      19       86       46        1       20
 2601 python3-frozenlist                 	      19       96       72        5        0
 2602 python3-jsonschema                 	      19      343      315        9        0
 2603 python3-markdown                   	      19      731      703        9        0
 2604 python3-yarl                       	      19      108       83        6        0
 2605 python3.11-dev                     	      19      782      736       27        0
 2606 qbittorrent                        	      19      162      136        7        0
 2607 rtmpdump                           	      19      930      868       43        0
 2608 ruby-debian                        	      19       89       68        2        0
 2609 simple-scan                        	      19      557      495       43        0
 2610 tcsh                               	      19      117       96        2        0
 2611 uml-utilities                      	      19       60       41        0        0
 2612 xdg-desktop-portal-gnome           	      19      114       87        8        0
 2613 xtrans-dev                         	      19     1197     1149       29        0
 2614 zoom                               	      19      185      160        5        1
 2615 calcurse                           	      18      995      926       51        0
 2616 calibre                            	      18      225      194       13        0
 2617 cdparanoia                         	      18      524      498        8        0
 2618 clex                               	      18     1000      930       52        0
 2619 cpp-8                              	      18      268      247        2        1
 2620 edbrowse                           	      18     1004      929       57        0
 2621 fig2dev                            	      18      680      640       22        0
 2622 firefox-esr-l10n-ru                	      18      133      104       11        0
 2623 fping                              	      18      153      135        0        0
 2624 galera-4                           	      18      274      251        5        0
 2625 gir1.2-gstreamer-1.0               	      18     2756       71        1     2666
 2626 i2c-tools                          	      18      125      105        2        0
 2627 jhead                              	      18     1025      954       53        0
 2628 kwalletmanager                     	      18      569      507       44        0
 2629 libalgorithm-merge-perl            	      18     2161     2062       81        0
 2630 libarchive-cpio-perl               	      18      726      699        9        0
 2631 libavutil58                        	      18      180       40        0      122
 2632 libcrack2                          	      18      984       62        3      901
 2633 libdata-validate-uri-perl          	      18      518      467       33        0
 2634 libdebhelper-perl                  	      18      825      793       14        0
 2635 libfarstream-0.2-5                 	      18      457       20        0      419
 2636 libfile-copy-recursive-perl        	      18      290      269        3        0
 2637 libfreetype-dev                    	      18      726      670       38        0
 2638 libgc1                             	      18     2577       21        1     2537
 2639 libgee-0.8-2                       	      18     1432       46        0     1368
 2640 libglx-dev                         	      18      821      782       21        0
 2641 libio-string-perl                  	      18     1005      948       39        0
 2642 libjpeg62-turbo-dev                	      18      716      669       29        0
 2643 libkf6archive6                     	      18       88       17        2       51
 2644 liblog-log4perl-perl               	      18      374      352        4        0
 2645 libmime-types-perl                 	      18      683      648       17        0
 2646 libminizip1t64                     	      18      160       31        0      111
 2647 libmodplug1                        	      18     3291       35        0     3238
 2648 libmono-corlib4.5-dll              	      18      261      234        9        0
 2649 libostree-1-1                      	      18      707       57        6      626
 2650 libpam-tmpdir                      	      18       49       28        3        0
 2651 libpurple0                         	      18      389      103        2      266
 2652 libqt5network5t64                  	      18      209       51        2      138
 2653 libregexp-wildcards-perl           	      18      512      461       33        0
 2654 libreoffice-l10n-es                	      18      224      172       34        0
 2655 libreoffice-style-breeze           	      18      506      420       68        0
 2656 libreoffice-uiconfig-writer        	      18      259      195       46        0
 2657 librest-0.7-0                      	      18      980       53        1      908
 2658 librewolf                          	      18      109       70       21        0
 2659 libsoup-gnome2.4-1                 	      18     2376       51        1     2306
 2660 libsys-hostname-long-perl          	      18      992      961       13        0
 2661 libtie-ixhash-perl                 	      18     3235     2996      221        0
 2662 libtumbler-1-0                     	      18     1726      116       14     1578
 2663 libwmf-bin                         	      18      645      616       11        0
 2664 libxml2-dev                        	      18      671      631       22        0
 2665 libzephyr4                         	      18      429       14        0      397
 2666 packagekit-tools                   	      18     1472     1354      100        0
 2667 pacman4console                     	      18      968      900       50        0
 2668 pdftk-java                         	      18      283      261        4        0
 2669 php8.2-bcmath                      	      18       52       32        2        0
 2670 python3-apsw                       	      18      216      186       12        0
 2671 python3-configobj                  	      18      513      478       17        0
 2672 python3-evdev                      	      18      121      100        3        0
 2673 python3-pycares                    	      18       47       25        4        0
 2674 python3-ruamel.yaml                	      18      155      135        2        0
 2675 qpdfview                           	      18      337      290       29        0
 2676 qtwayland5                         	      18     2012      131       12     1851
 2677 rxvt-unicode                       	      18      170      150        2        0
 2678 telegram-desktop                   	      18      145      121        6        0
 2679 ttyrec                             	      18     1008      929       61        0
 2680 vlc-plugin-skins2                  	      18     1103     1033       52        0
 2681 x11vnc                             	      18      182      157        7        0
 2682 xfce4-dict                         	      18     1661     1526      117        0
 2683 xfce4-weather-plugin               	      18     1665       54        3     1590
 2684 xsel                               	      18      268      247        3        0
 2685 yasr                               	      18      994      922       54        0
 2686 zfs-zed                            	      18       62       43        1        0
 2687 btop                               	      17      177      138       22        0
 2688 command-not-found                  	      17      106       89        0        0
 2689 cpp-6                              	      17      408      390        1        0
 2690 debsums                            	      17      221      200        4        0
 2691 dos2unix                           	      17      227      209        1        0
 2692 eflite                             	      17      988      919       52        0
 2693 espeakup                           	      17      966      896       53        0
 2694 gdebi                              	      17      473      421       35        0
 2695 gir1.2-peas-1.0                    	      17     1034       36        1      980
 2696 gkrellm                            	      17      126      108        1        0
 2697 gnome-calculator                   	      17      452      397       38        0
 2698 gnome-screenshot                   	      17      472      420       35        0
 2699 guile-3.0-libs                     	      17     1076       18        1     1040
 2700 hasciicam                          	      17      971      902       52        0
 2701 kactivities-bin                    	      17     1037      961       59        0
 2702 kpackagelauncherqml                	      17     1047      967       63        0
 2703 lame                               	      17      385      363        5        0
 2704 libavahi-ui-gtk3-0                 	      17      554       18        0      519
 2705 libavcodec60                       	      17      144       38        0       89
 2706 libboost-context1.74.0             	      17      116       19        0       80
 2707 libcpanel-json-xs-perl             	      17      740      684       39        0
 2708 libfile-stripnondeterminism-perl   	      17      906      873       16        0
 2709 libgtkspell0                       	      17      501       18        1      465
 2710 libhiredis0.14                     	      17      211       23        1      170
 2711 libice-dev                         	      17      937      902       18        0
 2712 libkf6breezeicons6                 	      17       84       16        1       50
 2713 libkf6codecs6                      	      17       85       16        1       51
 2714 libkf6colorscheme6                 	      17       93       20        1       55
 2715 libkf6configgui6                   	      17       93       20        1       55
 2716 libkf6configwidgets6               	      17       84       16        1       50
 2717 libkf6globalaccel6                 	      17       75       16        1       41
 2718 libkf6iconthemes6                  	      17       84       16        1       50
 2719 libkf6iconwidgets6                 	      17       84       16        1       50
 2720 libkf6itemviews6                   	      17       84       16        1       50
 2721 libkf6widgetsaddons-data           	      17       95       20        1       57
 2722 libkf6widgetsaddons6               	      17       93       20        1       55
 2723 libkf6xmlgui6                      	      17       75       16        1       41
 2724 liblxqt-l10n                       	      17      290       49        5      219
 2725 libmeanwhile1                      	      17      471       14        0      440
 2726 libmpdec3                          	      17      749       36        0      696
 2727 libnginx-mod-http-subs-filter      	      17       54       37        0        0
 2728 libpython3.12-stdlib               	      17      196      174        5        0
 2729 libqt6opengl6                      	      17      432       20        2      393
 2730 libqt6qmlmodels6                   	      17      397       20        2      358
 2731 libqt6quick6                       	      17      396       20        2      357
 2732 libqt6webenginecore6-bin           	      17      250      218       15        0
 2733 libreoffice-l10n-en-gb             	      17      202      161       24        0
 2734 libreoffice-report-builder         	      17      712      621       74        0
 2735 libsm-dev                          	      17      937      902       18        0
 2736 libstrongswan                      	      17       77       60        0        0
 2737 libvmaf1                           	      17       61       34        0       10
 2738 libwireplumber-0.4-0               	      17      848       45        1      785
 2739 mate-terminal-common               	      17      561       56        4      484
 2740 moreutils                          	      17      164      146        1        0
 2741 par2                               	      17      540      481       42        0
 2742 parallel                           	      17     1042      972       53        0
 2743 php5-common                        	      17       63       46        0        0
 2744 php5-json                          	      17       59       41        0        1
 2745 php7.3-cli                         	      17       59       42        0        0
 2746 php7.3-common                      	      17       60       43        0        0
 2747 php7.3-json                        	      17       59       42        0        0
 2748 php7.3-opcache                     	      17       59       42        0        0
 2749 php7.3-readline                    	      17       59       42        0        0
 2750 php7.4-intl                        	      17       45       28        0        0
 2751 php8.2-ldap                        	      17       49       29        3        0
 2752 printer-driver-postscript-hp       	      17      665      632       16        0
 2753 pv                                 	      17      469      449        3        0
 2754 python-support                     	      17      125      107        1        0
 2755 python3-acme                       	      17      191      173        1        0
 2756 python3-aiohttp                    	      17      104       81        6        0
 2757 python3-argcomplete                	      17      266      244        5        0
 2758 python3-attr                       	      17     1009      957       34        1
 2759 python3-coloredlogs                	      17       72       53        2        0
 2760 python3-libxml2                    	      17      401      368       16        0
 2761 python3-uvloop                     	      17       41       22        2        0
 2762 reiserfsprogs                      	      17      161      141        3        0
 2763 remmina-plugin-vnc                 	      17      471       17        0      437
 2764 rpl                                	      17      998      928       53        0
 2765 squid                              	      17       45       28        0        0
 2766 strongswan-charon                  	      17       71       54        0        0
 2767 strongswan-libcharon               	      17       73       56        0        0
 2768 terminator                         	      17      101       81        3        0
 2769 tin                                	      17      987      912       58        0
 2770 tk8.6-blt2.5                       	      17      909      859       33        0
 2771 vlc-plugin-base                    	      17     1618       40        0     1561
 2772 webmin                             	      17       64       45        2        0
 2773 x2goserver                         	      17       65       48        0        0
 2774 xdotool                            	      17      256      236        3        0
 2775 xinput                             	      17      284      265        1        1
 2776 xserver-xorg-input-evdev           	      17      252      231        4        0
 2777 zabbix-agent                       	      17       51       32        2        0
 2778 zutty                              	      17      399      344       38        0
 2779 akonadi-mime-data                  	      16      510       35        0      459
 2780 auditd                             	      16       53       37        0        0
 2781 bsdgames                           	      16     1031      962       53        0
 2782 caja-image-converter               	      16       63       25        1       21
 2783 conky-std                          	      16       81       65        0        0
 2784 debtags                            	      16       54       38        0        0
 2785 dovecot-sieve                      	      16       54       38        0        0
 2786 emacs-bin-common                   	      16      455      375       64        0
 2787 flex                               	      16      527      505        6        0
 2788 foomatic-db-engine                 	      16      547      524        7        0
 2789 galculator                         	      16      323      298        9        0
 2790 geeqie                             	      16      190      170        4        0
 2791 gnome-software-common              	      16      397       98        8      275
 2792 grub-efi-amd64-bin                 	      16     1602     1462      124        0
 2793 gtk2-engines-murrine               	      16      940       31        1      892
 2794 icoutils                           	      16      307      286        5        0
 2795 iperf3                             	      16      201      179        6        0
 2796 kio6                               	      16       82       45       21        0
 2797 kwallet6                           	      16       92       54       22        0
 2798 kwayland-integration               	      16     1225      119       10     1080
 2799 ldap-utils                         	      16      155      137        2        0
 2800 libabsl20240722                    	      16       36        0        4       16
 2801 libapr1t64                         	      16       84       17        0       51
 2802 libbatik-java                      	      16      595      569        9        1
 2803 libboost-coroutine1.74.0           	      16       98       17        0       65
 2804 libcompfaceg1                      	      16      468       28        1      423
 2805 libcscreensaver0                   	      16      273       49        1      207
 2806 libffi-dev                         	      16     1046     1004       26        0
 2807 libglib2.0-dev                     	      16      810      695       27       72
 2808 libglu1-mesa-dev                   	      16      664      642        6        0
 2809 libkdecorations2private7           	      16      103       30        0       57
 2810 libkf5calendarsupport5abi1         	      16      470       40        0      414
 2811 libkf5eventviews5abi1              	      16      470       40        0      414
 2812 libkf5guiaddons-bin                	      16      842      768       58        0
 2813 libkf5incidenceeditor5abi1         	      16      469       38        0      415
 2814 libkf5screen7                      	      16      170       34        0      120
 2815 libkf5waylandserver5               	      16      120       31        0       73
 2816 libkgantt2                         	      16      481       40        0      425
 2817 libkwaylandserver5                 	      16       88       30        0       42
 2818 libkwin4-effect-builtins1          	      16      106       30        0       60
 2819 libkwineffects12a                  	      16       87       29        0       42
 2820 libkwinglutils12                   	      16       88       29        0       43
 2821 libkwinxrenderutils12              	      16       88       29        0       43
 2822 liblua5.4-0                        	      16      685       30        2      637
 2823 liblzma-dev                        	      16      726      680       30        0
 2824 libmono-system-configuration4.0-cil	      16      312      287        9        0
 2825 libmono-system-xml4.0-cil          	      16      312      287        9        0
 2826 libmono-system4.0-cil              	      16      312      287        9        0
 2827 libnginx-mod-http-auth-pam         	      16       55       39        0        0
 2828 libnginx-mod-http-dav-ext          	      16       54       38        0        0
 2829 libnginx-mod-http-geoip            	      16       53       37        0        0
 2830 libnginx-mod-http-image-filter     	      16       53       37        0        0
 2831 libnginx-mod-http-upstream-fair    	      16       53       37        0        0
 2832 libnginx-mod-http-xslt-filter      	      16       52       36        0        0
 2833 libnginx-mod-mail                  	      16       52       36        0        0
 2834 libpam-cgfs                        	      16       74       36        1       21
 2835 libpng-tools                       	      16      773      727       30        0
 2836 libpwquality1                      	      16      941       61        2      862
 2837 libqt6quickwidgets6                	      16      313       17        1      279
 2838 libqt6sql6                         	      16      398       21        1      360
 2839 libruby3.3                         	      16       37       17        4        0
 2840 libscalar-list-utils-perl          	      16      140       18        0      106
 2841 libstrongswan-standard-plugins     	      16       75       59        0        0
 2842 libswscale5                        	      16      763       38        0      709
 2843 libxrender-dev                     	      16      778      745       17        0
 2844 lxpanel                            	      16      228      195       17        0
 2845 lxqt-panel-l10n                    	      16      259       48        5      190
 2846 lxqt-runner-l10n                   	      16      260       48        5      191
 2847 lxqt-session-l10n                  	      16      277       48        5      208
 2848 lxsession                          	      16      197      165       16        0
 2849 ncftp                              	      16      137      117        4        0
 2850 nextcloud-desktop-l10n             	      16      100       36        1       47
 2851 nfacct                             	      16     1253     1183       54        0
 2852 nut-client                         	      16       70       53        1        0
 2853 palemoon                           	      16       59       41        2        0
 2854 pavucontrol-qt                     	      16      201      170       15        0
 2855 php5-cli                           	      16       58       42        0        0
 2856 php7.0-cli                         	      16       46       30        0        0
 2857 php7.0-common                      	      16       51       35        0        0
 2858 php7.0-json                        	      16       46       30        0        0
 2859 php7.0-opcache                     	      16       46       30        0        0
 2860 php7.0-readline                    	      16       46       30        0        0
 2861 php7.4-zip                         	      16       51       35        0        0
 2862 php8.2-igbinary                    	      16       37       21        0        0
 2863 printer-driver-foo2zjs-common      	      16      562      537        9        0
 2864 python3-blinker                    	      16     1015      920       79        0
 2865 python3-certbot-apache             	      16       72       56        0        0
 2866 python3-libvirt                    	      16      366      340       10        0
 2867 python3-packaging                  	      16     1169     1104       49        0
 2868 python3-psycopg2                   	      16      144      123        5        0
 2869 python3-soupsieve                  	      16     1292     1229       47        0
 2870 python3-typing-extensions          	      16      555      505       34        0
 2871 python3-tz                         	      16     1197     1104       38       39
 2872 python3.12-minimal                 	      16      191      170        5        0
 2873 qt6-gtk-platformtheme              	      16      542        6        3      517
 2874 radio                              	      16      892      823       53        0
 2875 rar                                	      16      202      180        6        0
 2876 remmina-plugin-rdp                 	      16      468       16        0      436
 2877 remmina-plugin-secret              	      16      461       16        0      429
 2878 ruby-xmlrpc                        	      16     1018      981       21        0
 2879 sasl2-bin                          	      16       57       41        0        0
 2880 steam-installer                    	      16      128      109        3        0
 2881 tdb-tools                          	      16      430      392       22        0
 2882 texlive-formats-extra              	      16      164      145        3        0
 2883 unar                               	      16      769      702       51        0
 2884 uptimed                            	      16       54       38        0        0
 2885 zfsutils-linux                     	      16       71       53        2        0
 2886 adb                                	      15      301      282        4        0
 2887 anydesk                            	      15       55       40        0        0
 2888 apper                              	      15       89       72        2        0
 2889 apt-listbugs                       	      15       68       52        1        0
 2890 arandr                             	      15      170      148        7        0
 2891 bogofilter-bdb                     	      15      299      266       18        0
 2892 caja-sendto                        	      15       68       51        2        0
 2893 calibre-bin                        	      15      226      197       14        0
 2894 cheese                             	      15      501      448       38        0
 2895 code                               	      15      118       90       13        0
 2896 devscripts                         	      15      269      244       10        0
 2897 docker-ce-cli                      	      15      100       77        8        0
 2898 dragonplayer                       	      15      494      439       40        0
 2899 expect                             	      15      177      159        3        0
 2900 fonts-ipafont-gothic               	      15      155       19        0      121
 2901 fonts-noto-ui-extra                	      15      855       28        0      812
 2902 fonts-sil-gentium-basic            	      15      809       24        0      770
 2903 frameworkintegration               	      15      536       32        2      487
 2904 g++-14                             	      15      194      142       37        0
 2905 g++-14-x86-64-linux-gnu            	      15      188      137       36        0
 2906 g++-x86-64-linux-gnu               	      15      211      160       36        0
 2907 gfortran                           	      15      343      317       11        0
 2908 gnome-shell                        	      15      178      149       14        0
 2909 gnustep-base-runtime               	      15      784      717       52        0
 2910 gnustep-common                     	      15      800      730       55        0
 2911 gucharmap                          	      15      441      391       35        0
 2912 ibus-gtk                           	      15      372       26        0      331
 2913 kded6                              	      15       83       46       22        0
 2914 khelpcenter                        	      15      628      565       48        0
 2915 libaprutil1t64                     	      15       83       17        0       51
 2916 libcharon-extauth-plugins          	      15       67       52        0        0
 2917 libclone-perl                      	      15     3372       21        0     3336
 2918 libconfig-inifiles-perl            	      15      554      536        3        0
 2919 libconfuse2                        	      15      279       42        0      222
 2920 libdee-1.0-4                       	      15      521       43        0      463
 2921 libemail-date-format-perl          	      15      637      610       12        0
 2922 libemail-simple-perl               	      15       91       76        0        0
 2923 libfakekey0                        	      15      782       23        1      743
 2924 libfm-modules                      	      15      329       61        2      251
 2925 libfontconfig-dev                  	      15      685      647       23        0
 2926 libfreerdp2-2                      	      15      685       15        0      655
 2927 libgadu3                           	      15      442       13        0      414
 2928 libgpgmepp6t64                     	      15      234       32        2      185
 2929 libhpmud0                          	      15      707       51        0      641
 2930 libicu63                           	      15      365       21        0      329
 2931 libicu76                           	      15       25        0        6        4
 2932 libimport-into-perl                	      15      854      800       39        0
 2933 libinih1                           	      15      565       34        1      515
 2934 libkf6guiaddons6                   	      15       94       21        2       56
 2935 libkf6windowsystem-data            	      15      100       11        4       70
 2936 libluajit-5.1-2                    	      15      458       26        2      415
 2937 libmms0                            	      15     1297       36        0     1246
 2938 libmono-system-core4.0-cil         	      15      311      287        9        0
 2939 libnatpmp1                         	      15      631       34        0      582
 2940 libnextcloudsync0                  	      15       91       30        1       45
 2941 libnvpair3linux                    	      15       78       37        0       26
 2942 libopenblas0-pthread               	      15      484       37        0      432
 2943 libopendkim11                      	      15       39       17        0        7
 2944 libpam-pwquality                   	      15       59       39        0        5
 2945 libqt6sql6-sqlite                  	      15      372       16        1      340
 2946 librbl1                            	      15       39       17        0        7
 2947 libreadline-dev                    	      15      445      420        5        5
 2948 libregexp-assemble-perl            	      15      542      517       10        0
 2949 libreoffice-kf5                    	      15      408      337       56        0
 2950 libsasl2-modules-kdexoauth2        	      15      488       22        1      450
 2951 libuchardet0                       	      15     3927       34        0     3878
 2952 libunity9                          	      15      446      395       36        0
 2953 libuutil3linux                     	      15       79       37        0       27
 2954 libvbr2                            	      15       39       17        0        7
 2955 libwinpr2-2                        	      15      689       15        0      659
 2956 libxml-sax-expat-perl              	      15     1330     1269       46        0
 2957 lximage-qt                         	      15      285      245       25        0
 2958 lxqt-notificationd-l10n            	      15      278       45        5      213
 2959 mariadb-client-10.1                	      15       38       23        0        0
 2960 milou                              	      15      560       37        2      506
 2961 minissdpd                          	      15       76       61        0        0
 2962 nvme-cli                           	      15       89       71        3        0
 2963 open-iscsi                         	      15       53       32        6        0
 2964 opendkim                           	      15       35       20        0        0
 2965 pandoc                             	      15      315      296        4        0
 2966 php5-readline                      	      15       53       37        0        1
 2967 picom                              	      15      152      128        9        0
 2968 pinentry-gtk2                      	      15      371      353        3        0
 2969 printer-driver-m2300w              	      15      547      523        9        0
 2970 printer-driver-pxljr               	      15      549      525        9        0
 2971 privoxy                            	      15       52       37        0        0
 2972 python3-bs4                        	      15     1296     1219       62        0
 2973 python3-cap-ng                     	      15       47       31        1        0
 2974 python3-decorator                  	      15      879      848       16        0
 2975 python3-distro-info                	      15      949      870       64        0
 2976 python3-html5-parser               	      15      214      187       12        0
 2977 python3-markdown-it                	      15      493      460       18        0
 2978 python3-more-itertools             	      15      790      744       31        0
 2979 python3-olefile                    	      15     1569     1489       64        1
 2980 python3-pyqt6.qtqml                	      15      202      175       12        0
 2981 python3-pyqt6.qtquick              	      15      185      158       12        0
 2982 python3-reportlab                  	      15      648      623       10        0
 2983 python3-tk                         	      15      862      752       14       81
 2984 quota                              	      15       57       42        0        0
 2985 redis-server                       	      15       84       69        0        0
 2986 redshift-gtk                       	      15      113       94        4        0
 2987 remmina-common                     	      15      481       14        0      452
 2988 ruby-soap4r                        	      15       68       53        0        0
 2989 ruby-xmlparser                     	      15       70       55        0        0
 2990 shotwell                           	      15      447      393       39        0
 2991 spamc                              	      15      113       96        2        0
 2992 steam-devices                      	      15      153      134        4        0
 2993 supervisor                         	      15       58       43        0        0
 2994 swh-plugins                        	      15      234      218        1        0
 2995 tftpd-hpa                          	      15       58       42        1        0
 2996 tightvncserver                     	      15      126      110        1        0
 2997 unrar-free                         	      15      236      217        4        0
 2998 vcdimager                          	      15      319      297        7        0
 2999 virt-manager                       	      15      337      318        4        0
 3000 wireshark-common                   	      15      366      340       11        0
 3001 xpra                               	      15       77       62        0        0
 3002 xserver-xorg-video-qxl             	      15     2939     2694      230        0
 3003 aisleriot                          	      14      423      378       31        0
 3004 apticron                           	      14       47       32        1        0
 3005 aspell-de                          	      14      388      355       19        0
 3006 caja-seahorse                      	      14       54       38        2        0
 3007 caja-wallpaper                     	      14       57       24        1       18
 3008 db5.3-util                         	      14      210      193        3        0
 3009 debootstrap                        	      14      490      464       12        0
 3010 dvd+rw-tools                       	      14      379      360        5        0
 3011 evolution-common                   	      14      257       70        4      169
 3012 firmware-intel-misc                	      14      120       93       13        0
 3013 flashrom                           	      14       78       64        0        0
 3014 fluxbox                            	      14      103       88        1        0
 3015 fonts-unifont                      	      14      159       27        0      118
 3016 frei0r-plugins                     	      14      250      231        5        0
 3017 g++-10                             	      14      547      527        6        0
 3018 gnome-system-monitor               	      14      416      364       38        0
 3019 gnupg-agent                        	      14      640      192        2      432
 3020 gnupg-l10n                         	      14     3949      119       22     3794
 3021 gnuplot-qt                         	      14      186      171        1        0
 3022 gsettings-desktop-schemas          	      14     3763       17        2     3730
 3023 gstreamer1.0-libav                 	      14     3041       28        0     2999
 3024 kdepim-addons                      	      14      468      413       41        0
 3025 libboost-iostreams1.83.0           	      14      253       14        1      224
 3026 libboost-thread1.83.0              	      14      229       12        0      203
 3027 libc-client2007e                   	      14       98       23        0       61
 3028 libcairo2-dev                      	      14      567      536       17        0
 3029 libecap3                           	      14       51       26        0       11
 3030 libemail-messageid-perl            	      14       81       67        0        0
 3031 libemail-mime-contenttype-perl     	      14       81       67        0        0
 3032 libemail-mime-encodings-perl       	      14       83       69        0        0
 3033 libemail-mime-perl                 	      14       81       67        0        0
 3034 libevdocument3-4                   	      14     1097       24        0     1059
 3035 libfm-gtk4                         	      14      315       59        2      240
 3036 libfm4                             	      14      317       59        2      242
 3037 libfmt9                            	      14     1031       38        1      978
 3038 libfreerdp-client2-2               	      14      537       15        0      508
 3039 libgail-3-0t64                     	      14       55       15        4       22
 3040 libgoffice-0.10-10                 	      14      169      151        4        0
 3041 libgtksourceview-4-common          	      14     2130       37        3     2076
 3042 libical3t64                        	      14       98       30        2       52
 3043 libip4tc2                          	      14     2132       30        0     2088
 3044 libkf5unitconversion5              	      14      494       86       10      384
 3045 libkf6auth-data                    	      14       85       10        4       57
 3046 libkf6solid-data                   	      14       87       12        4       57
 3047 libmail-dmarc-perl                 	      14       53       39        0        0
 3048 libmime-lite-perl                  	      14      594      568       12        0
 3049 libmtp9                            	      14     2211       30        0     2167
 3050 libnginx-mod-http-geoip2           	      14       34       20        0        0
 3051 libnss-winbind                     	      14       65       23        1       27
 3052 libotr5                            	      14     1503       14        0     1475
 3053 libpam-winbind                     	      14       57       30        1       12
 3054 libpcre3-dev                       	      14      386      367        5        0
 3055 libpoppler-qt5-1                   	      14     1103       25        0     1064
 3056 libqt5webengine-data               	      14     1171       55       10     1092
 3057 libqt5websockets5                  	      14      297       34        1      248
 3058 libqt6multimedia6                  	      14      158       18        1      125
 3059 libraw23t64                        	      14      243       24        2      203
 3060 libreoffice-plasma                 	      14      429      339       56       20
 3061 libreoffice-qt5                    	      14      543      454       75        0
 3062 librrd8                            	      14      136       20        0      102
 3063 librtlsdr0                         	      14       72       57        1        0
 3064 libruby3.1t64                      	      14       76       62        0        0
 3065 libsrtp2-1                         	      14     2994       48        0     2932
 3066 libtext-xslate-perl                	      14      620      569       37        0
 3067 libvirglrenderer1                  	      14      551       16        0      521
 3068 libwacom-bin                       	      14     1334     1303       17        0
 3069 libwpe-1.0-1                       	      14      623       46        0      563
 3070 libwpebackend-fdo-1.0-1            	      14      623       47        0      562
 3071 libxt-dev                          	      14      715      693        8        0
 3072 libzfs4linux                       	      14       75       38        0       23
 3073 linux-image-6.1.0-10-amd64         	      14     1274     1090      169        1
 3074 linux-image-6.12.17-amd64          	      14       32        0       18        0
 3075 lxqt-powermanagement-l10n          	      14      275       48        5      208
 3076 mariadb-server-10.1                	      14       33       19        0        0
 3077 mate-polkit-common                 	      14      562       30        2      516
 3078 mate-user-share                    	      14       56       39        3        0
 3079 obconf                             	      14      335      298       23        0
 3080 openjdk-11-jre-headless            	      14      719      687       17        1
 3081 php-pear                           	      14      173      158        1        0
 3082 php7.0-xml                         	      14       40       26        0        0
 3083 php8.2-soap                        	      14       43       27        2        0
 3084 plasma-runners-addons              	      14      493       72       11      396
 3085 plasma-systemmonitor               	      14      439      383       42        0
 3086 plzip                              	      14      405      350       41        0
 3087 postgresql-client-11               	      14       76       62        0        0
 3088 postgresql-client-13               	      14      113       97        2        0
 3089 printer-driver-hpcups              	      14      683      652       17        0
 3090 proj-bin                           	      14      644      616       14        0
 3091 python3-jaraco.functools           	      14      245      213       18        0
 3092 python3-notify2                    	      14      190      175        1        0
 3093 python3-tqdm                       	      14      245      223        8        0
 3094 python3-xapian                     	      14      143      124        5        0
 3095 qt5ct                              	      14       89       72        3        0
 3096 rcs                                	      14      129      115        0        0
 3097 realmd                             	      14      412      370       28        0
 3098 rsnapshot                          	      14       49       35        0        0
 3099 ruby-minitest                      	      14      662      635       13        0
 3100 ruby-power-assert                  	      14      661      636       11        0
 3101 ruby-test-unit                     	      14      659      634       11        0
 3102 ruby-unicode                       	      14       69       54        1        0
 3103 screengrab                         	      14      270      232       24        0
 3104 shim-signed                        	      14     1520     1378      128        0
 3105 slapd                              	      14       35       21        0        0
 3106 slick-greeter                      	      14       75       55        6        0
 3107 snmp                               	      14      152      136        2        0
 3108 systemd-standalone-sysusers        	      14      353      289       50        0
 3109 virtinst                           	      14      363      341        2        6
 3110 virtualbox-6.1                     	      14       54       40        0        0
 3111 vulkan-tools                       	      14      227      202       11        0
 3112 wicd-daemon                        	      14      130      115        1        0
 3113 xfonts-scalable                    	      14     3062       38        2     3008
 3114 xsltproc                           	      14      443      415       14        0
 3115 alsa-topology-conf                 	      13     3232     2493      226      500
 3116 arch-test                          	      13      420      396       11        0
 3117 bsdmainutils                       	      13      917      453        4      447
 3118 caja-eiciel                        	      13       59       44        2        0
 3119 caja-gtkhash                       	      13       62       27        2       20
 3120 chromium-l10n                      	      13      136      110       13        0
 3121 cinnamon-desktop-data              	      13      329       79        5      232
 3122 clamdscan                          	      13       94       79        2        0
 3123 dh-python                          	      13      356      338        5        0
 3124 dictd                              	      13       38       25        0        0
 3125 emacs-nox                          	      13      107       77       16        1
 3126 equivs                             	      13      271      254        4        0
 3127 flameshot                          	      13       93       75        5        0
 3128 fonts-sil-gentium                  	      13      808       21        0      774
 3129 freerdp2-x11                       	      13      183      168        2        0
 3130 fvwm                               	      13       67       54        0        0
 3131 gamemode-daemon                    	      13       80       65        2        0
 3132 gfortran-12                        	      13      251      235        3        0
 3133 gir1.2-rsvg-2.0                    	      13      728       56        8      651
 3134 gir1.2-soup-2.4                    	      13     1910       48        1     1848
 3135 gthumb                             	      13      137      120        4        0
 3136 hwinfo                             	      13      340      316       11        0
 3137 i3status                           	      13      160      142        5        0
 3138 imagemagick-7.q16                  	      13      100       75       12        0
 3139 iwd                                	      13       35       22        0        0
 3140 kdialog                            	      13      540      484       43        0
 3141 konq-plugins                       	      13      482      426       43        0
 3142 libapache2-mod-fcgid               	      13       41       28        0        0
 3143 libavcodec-extra59                 	      13       71       48        0       10
 3144 libberkeleydb-perl                 	      13      551       10        0      528
 3145 libc6-dbg                          	      13      632      567       46        6
 3146 libclass-isa-perl                  	      13      684      669        2        0
 3147 libdatetime-format-strptime-perl   	      13      284      267        4        0
 3148 libevview3-3                       	      13     1096        8        0     1075
 3149 libextutils-depends-perl           	      13     2452     2254      185        0
 3150 libfm-extra4                       	      13      532       59        2      458
 3151 libimath-3-1-29t64                 	      13      258       24        2      219
 3152 libinstpatch-1.0-2                 	      13     2888       30        0     2845
 3153 libkf5akonadi-data                 	      13      535       35        0      487
 3154 libkf6bookmarks6                   	      13       64       15        1       35
 3155 libkf6bookmarkswidgets6            	      13       62       15        1       33
 3156 libkf6completion6                  	      13       73       15        1       44
 3157 libkf6jobwidgets-data              	      13       84       15        1       55
 3158 libkf6jobwidgets6                  	      13       83       15        1       54
 3159 libkf6kiowidgets6                  	      13       71       15        1       42
 3160 libkf6notifications-data           	      13       98       10        4       71
 3161 libkf6sonnetcore6                  	      13       57       14        1       29
 3162 libkf6sonnetui6                    	      13       49       14        1       21
 3163 libkf6statusnotifieritem6          	      13       55       18        2       22
 3164 libkf6textwidgets6                 	      13       49       14        1       21
 3165 liblc3-0                           	      13      421       42        1      365
 3166 liblockfile1                       	      13     1528       25        0     1490
 3167 libmaa4                            	      13      113       19        0       81
 3168 libmateweather-common              	      13      538       23        1      501
 3169 libmime-tools-perl                 	      13      339      323        3        0
 3170 libmpdclient2                      	      13      148       33        1      101
 3171 libnet1                            	      13      308       13        0      282
 3172 libnginx-mod-stream-geoip          	      13       31       18        0        0
 3173 libnginx-mod-stream-geoip2         	      13       33       20        0        0
 3174 libparse-recdescent-perl           	      13      497      475        9        0
 3175 libperl4-corelibs-perl             	      13     1124     1068       43        0
 3176 libpython3.12-minimal              	      13      198      179        6        0
 3177 libqt6texttospeech6                	      13       68       14        1       40
 3178 libreadonly-perl                   	      13      762      736       13        0
 3179 libreoffice-uiconfig-calc          	      13      254      191       50        0
 3180 libsctp1                           	      13      361       30        0      318
 3181 libvirt-daemon-driver-network      	      13       27       12        2        0
 3182 libvirt-daemon-driver-nodedev      	      13       27       11        2        1
 3183 libvirt-daemon-driver-nwfilter     	      13       27       11        2        1
 3184 libvirt-daemon-driver-secret       	      13       27       11        2        1
 3185 libvirt-daemon-driver-storage      	      13       27       12        2        0
 3186 libxml-simple-perl                 	      13      256      239        4        0
 3187 lirc                               	      13       45       32        0        0
 3188 llvm-11-dev                        	      13       96       82        1        0
 3189 maven                              	      13       97       84        0        0
 3190 memcached                          	      13       53       40        0        0
 3191 mksh                               	      13       77       63        1        0
 3192 mlock                              	      13      166      150        3        0
 3193 mono-xsp4                          	      13       54       40        1        0
 3194 partitionmanager                   	      13      542      485       44        0
 3195 php7.3-xml                         	      13       40       27        0        0
 3196 php8.2-pgsql                       	      13       42       28        1        0
 3197 phpmyadmin                         	      13       65       52        0        0
 3198 python2                            	      13      557      541        3        0
 3199 python3-future                     	      13      469      427       29        0
 3200 python3-httpx                      	      13      475      434       28        0
 3201 python3-json-pointer               	      13      276      252       11        0
 3202 python3-nacl                       	      13      481      431       37        0
 3203 python3-openssl                    	      13      707      679       14        1
 3204 python3-rencode                    	      13      262      241        8        0
 3205 python3-software-properties        	      13     1056      967       76        0
 3206 rdesktop                           	      13      208      193        2        0
 3207 re2c                               	      13      123      109        1        0
 3208 rrdtool                            	      13      105       89        3        0
 3209 schroot                            	      13       54       41        0        0
 3210 shim-helpers-amd64-signed          	      13     1519     1379      127        0
 3211 shim-unsigned                      	      13     1525     1384      128        0
 3212 software-properties-gtk            	      13      453      401       39        0
 3213 spamd                              	      13       62       48        1        0
 3214 tigervnc-standalone-server         	      13      104       90        1        0
 3215 unbound                            	      13       42       29        0        0
 3216 virt-viewer                        	      13      370      353        4        0
 3217 volumeicon-alsa                    	      13       89       74        2        0
 3218 vsftpd                             	      13       63       50        0        0
 3219 wicd-gtk                           	      13      122      108        1        0
 3220 wtmpdb                             	      13       66       39       14        0
 3221 xbindkeys                          	      13       59       45        1        0
 3222 xfce4-battery-plugin               	      13     1670       49        0     1608
 3223 xl2tpd                             	      13       60       47        0        0
 3224 apg                                	      12      526      476       38        0
 3225 baloo6                             	      12       28       12        4        0
 3226 bup                                	      12      502      433       57        0
 3227 clang                              	      12      195      178        5        0
 3228 cpufreqd                           	      12       30       18        0        0
 3229 cvs                                	      12      232      215        5        0
 3230 daemon                             	      12       89       77        0        0
 3231 db-util                            	      12      186      171        3        0
 3232 dselect                            	      12      143      129        2        0
 3233 firebird3.0-utils                  	      12      700      667       21        0
 3234 gcc-6                              	      12      372      360        0        0
 3235 gstreamer1.0-alsa                  	      12     1975       21        0     1942
 3236 hexchat                            	      12      380      336       32        0
 3237 kde-style-oxygen-qt5               	      12      526      470       44        0
 3238 kimageformat6-plugins              	      12       30       11        3        4
 3239 kmenuedit                          	      12      550      490       48        0
 3240 libaudio2                          	      12     2877       23        3     2839
 3241 libauparse0                        	      12       43       14        0       17
 3242 libavdevice59                      	      12     1041       25        1     1003
 3243 libc6-dev-i386                     	      12      192      164       16        0
 3244 libconfig-simple-perl              	      12       86       73        1        0
 3245 libconfig9                         	      12      346       34        0      300
 3246 libecryptfs1                       	      12       47       30        2        3
 3247 libegl-dev                         	      12      742      715       15        0
 3248 libetpan20                         	      12      166       21        0      133
 3249 libexiv2-28                        	      12      146       20        0      114
 3250 libfile-homedir-perl               	      12      521      502        7        0
 3251 libgexiv2-2                        	      12     2794       39        2     2741
 3252 libgps28                           	      12      620       45        3      560
 3253 libinireader0                      	      12      154       20        0      122
 3254 libixml10                          	      12     1450       36        0     1402
 3255 libjsoncpp25                       	      12      718       27        1      678
 3256 libkf6attica6                      	      12       47       13        1       21
 3257 libkf6dbusaddons-data              	      12       93       10        4       67
 3258 libkf6kiofilewidgets6              	      12       61       15        1       33
 3259 libkf6newstuffcore6                	      12       47       13        1       21
 3260 libkf6newstuffwidgets6             	      12       46       12        1       21
 3261 libkf6package6                     	      12       57       15        1       29
 3262 libkf6syndication6                 	      12       47       13        1       21
 3263 libkf6wallet6                      	      12       92       18        1       61
 3264 libksba8                           	      12     4129       26        0     4091
 3265 liblcms2-utils                     	      12      643      622        9        0
 3266 libltdl-dev                        	      12     1092     1042       38        0
 3267 libnet-snmp-perl                   	      12      144      129        3        0
 3268 libopendbx1                        	      12       39       17        0       10
 3269 libplasma-geolocation-interface5   	      12      540       46        3      479
 3270 libpostproc55                      	      12      746       24        0      710
 3271 libpython3.9                       	      12      658       31        0      615
 3272 libqt6core5compat6                 	      12      172       21        1      138
 3273 libqt6positioning6                 	      12      260       16        1      231
 3274 libqt6qmlworkerscript6             	      12      164       18        2      132
 3275 libraqm0                           	      12     1437       12        0     1413
 3276 libruby2.5                         	      12      115      103        0        0
 3277 libtokyocabinet9                   	      12     1489       11        0     1466
 3278 libtorrent-rasterbar2.0            	      12      306       21        0      273
 3279 libtype-tiny-perl                  	      12      370      353        5        0
 3280 libupnp13                          	      12     1448       36        0     1400
 3281 libx2go-log-perl                   	      12       65       53        0        0
 3282 libx2go-server-db-perl             	      12       65       53        0        0
 3283 libxdo3                            	      12      498       32        0      454
 3284 libzxing3                          	      12      225       23        1      189
 3285 linux-headers-6.1.0-31-amd64       	      12      142       95       35        0
 3286 linux-headers-6.1.0-31-common      	      12      145       97       36        0
 3287 mc-data                            	      12     1586       36        1     1537
 3288 mercurial-common                   	      12      197      175       10        0
 3289 mongodb-org-server                 	      12       27       15        0        0
 3290 mono-runtime-common                	      12      312      264        9       27
 3291 mono-xsp4-base                     	      12       56       43        1        0
 3292 nautilus                           	      12      214      187       15        0
 3293 network-manager-ssh                	      12       38       26        0        0
 3294 nslcd                              	      12       34       22        0        0
 3295 ocrmypdf                           	      12       65       51        2        0
 3296 openbox-lxde-session               	      12      184      157       15        0
 3297 package-update-indicator           	      12       29       15        2        0
 3298 php-psr-log                        	      12      101       89        0        0
 3299 php-symfony-filesystem             	      12       95       79        4        0
 3300 php-symfony-service-contracts      	      12       94       81        1        0
 3301 php7.0-mbstring                    	      12       32       20        0        0
 3302 php7.3-gd                          	      12       33       21        0        0
 3303 php7.3-mysql                       	      12       36       24        0        0
 3304 php8.2-imap                        	      12       34       22        0        0
 3305 php8.2-sqlite3                     	      12       43       30        1        0
 3306 pipewire                           	      12     1421     1328       81        0
 3307 printer-driver-pnm2ppa             	      12      553      532        9        0
 3308 python-apt                         	      12      172      159        1        0
 3309 python3-click                      	      12      939      872       55        0
 3310 python3-colorama                   	      12      920      881       27        0
 3311 python3-docutils                   	      12      376      360        4        0
 3312 python3-geoip                      	      12      208      188        8        0
 3313 python3-kiwisolver                 	      12      557      520       24        1
 3314 python3-libtorrent                 	      12      201      182        7        0
 3315 python3-mako                       	      12      778      717       49        0
 3316 python3-ptyprocess                 	      12     1160     1098       50        0
 3317 python3-pyqt5.qtopengl             	      12      279      263        4        0
 3318 python3-pyqt6.qtwebchannel         	      12      200      177       11        0
 3319 python3-pyqt6.qtwebengine          	      12      200      184        4        0
 3320 python3-pytest                     	      12      304      287        5        0
 3321 python3-scipy                      	      12      555      516       27        0
 3322 python3-twisted                    	      12      294      271       11        0
 3323 python3.13                         	      12      121       70       39        0
 3324 qpdfview-pdf-poppler-plugin        	      12      320      278       30        0
 3325 qps                                	      12      287      250       25        0
 3326 ruby-did-you-mean                  	      12      192      176        4        0
 3327 ruby-domain-name                   	      12       70       58        0        0
 3328 ruby-http-cookie                   	      12       70       58        0        0
 3329 ruby-httpclient                    	      12       59       47        0        0
 3330 ruby-webrick                       	      12      750      712       26        0
 3331 ruby3.3                            	      12       35       16        7        0
 3332 setserial                          	      12       66       54        0        0
 3333 syslog-ng-core                     	      12       30       18        0        0
 3334 tesseract-ocr                      	      12      254      236        6        0
 3335 timidity                           	      12      189      172        5        0
 3336 tint2                              	      12       69       55        2        0
 3337 tlp-rdw                            	      12       65       50        3        0
 3338 uuid-dev                           	      12      956      898       46        0
 3339 virtualbox-7.1                     	      12       46       34        0        0
 3340 vivaldi-stable                     	      12      112       85       15        0
 3341 xfce4-cpufreq-plugin               	      12     1667       30        1     1624
 3342 zeitgeist-core                     	      12       91       79        0        0
 3343 acct                               	      11       44       33        0        0
 3344 binutils-multiarch                 	      11       41       27        3        0
 3345 breeze                             	      11      632      570       51        0
 3346 busybox-static                     	      11       82       68        3        0
 3347 cinnamon-common                    	      11      285      246       28        0
 3348 console-common                     	      11      119      107        1        0
 3349 dillo                              	      11      137      125        1        0
 3350 diodon                             	      11       52       41        0        0
 3351 dovecot-lmtpd                      	      11       41       30        0        0
 3352 ecryptfs-utils                     	      11       47       34        2        0
 3353 evolution                          	      11      242      211       20        0
 3354 exfat-fuse                         	      11      803      775       17        0
 3355 falkon                             	      11       85       70        4        0
 3356 firewalld                          	      11       49       36        2        0
 3357 flac                               	      11      400      372       17        0
 3358 fonts-hosny-amiri                  	      11      118       14        0       93
 3359 gir1.2-atspi-2.0                   	      11     2651       67        9     2564
 3360 gir1.2-polkit-1.0                  	      11     2362       51        9     2291
 3361 gnome-terminal-data                	      11      454       50        3      390
 3362 gufw                               	      11      123      109        3        0
 3363 iceweasel                          	      11       88       73        4        0
 3364 icewm                              	      11       79       67        1        0
 3365 inotify-tools                      	      11      154      142        1        0
 3366 java-wrappers                      	      11      668      649        8        0
 3367 krusader                           	      11       63       51        1        0
 3368 libarchive13t64                    	      11      253       30        3      209
 3369 libasound2-dev                     	      11      462      446        5        0
 3370 libatrilview3                      	      11     1916       19        0     1886
 3371 libavfilter7                       	      11      721       22        0      688
 3372 libcurses-ui-perl                  	      11      220      207        2        0
 3373 libdmtx0t64                        	      11       65       22        1       31
 3374 libeditorconfig0                   	      11      765       33        5      716
 3375 libevent-pthreads-2.1-7            	      11      571       25        0      535
 3376 libflatpak0                        	      11      474       33        5      425
 3377 libfm-data                         	      11      341       25        1      304
 3378 libgsasl18                         	      11     1449       13        0     1425
 3379 libgssglue1                        	      11     1503       13        0     1479
 3380 libhamlib4                         	      11       71       58        2        0
 3381 libharfbuzz-dev                    	      11      521      491       19        0
 3382 libisns0                           	      11       27        9        0        7
 3383 libivykis0                         	      11       28       11        0        6
 3384 libkf5dnssd-data                   	      11      694       40        0      643
 3385 libkf6archive-data                 	      11       88        9        2       66
 3386 libkf6i18nlocaledata6              	      11       49       14        1       23
 3387 libkf6itemmodels6                  	      11       72       14        1       46
 3388 libkf6kcmutilscore6                	      11       82       15        1       55
 3389 libkf6kcmutilsquick6               	      11       82       15        1       55
 3390 libkf6prison6                      	      11       54       13        1       29
 3391 libkscreen-bin                     	      11       38       20        7        0
 3392 liblirc0                           	      11       60       26        0       23
 3393 liblxqt0                           	      11       80       63        2        4
 3394 libmbedtls14                       	      11      757       32        1      713
 3395 libmbedx509-1                      	      11      757       32        1      713
 3396 libmcrypt4                         	      11      165       10        0      144
 3397 libmemcachedutil2                  	      11       58       14        1       32
 3398 libmujs2                           	      11      886       20        0      855
 3399 libnet-netmask-perl                	      11      564      516       37        0
 3400 libnma-common                      	      11     2018       40        0     1967
 3401 libnss-ldapd                       	      11       33       22        0        0
 3402 libodbc2                           	      11      860       21        0      828
 3403 libopeniscsiusr                    	      11       48       10        0       27
 3404 libpoppler102                      	      11      580       38        1      530
 3405 libprotobuf23                      	      11      348       41        1      295
 3406 libqt6quickcontrols2-6             	      11      161       18        1      131
 3407 libqt6quicktemplates2-6            	      11      161       18        1      131
 3408 libqtermwidget5-1                  	      11      242       39        4      188
 3409 libruby2.3                         	      11       69       58        0        0
 3410 libselinux1-dev                    	      11      799      747       40        1
 3411 libsixel1                          	      11     1018       23        0      984
 3412 libsoup-2.4-1                      	      11      189       10        3      165
 3413 libsqlite3-dev                     	      11      395      365       19        0
 3414 libtiff-dev                        	      11      565      533       21        0
 3415 libtime-duration-perl              	      11      739      689       39        0
 3416 libtree-sitter0                    	      11      174       11        1      151
 3417 libuniversal-require-perl          	      11      126      113        2        0
 3418 liburiparser1                      	      11      782       20        0      751
 3419 libvirt-daemon-driver-interface    	      11       24       10        2        1
 3420 libvirt-daemon-driver-storage-disk 	      11       24       10        2        1
 3421 libvirt-daemon-driver-storage-logical	      11       24       10        2        1
 3422 libvirt-daemon-driver-storage-mpath	      11       24       10        2        1
 3423 libvirt-daemon-driver-storage-scsi 	      11       24       10        2        1
 3424 libvirt-daemon-log                 	      11       28       14        3        0
 3425 libx2go-config-perl                	      11       60       49        0        0
 3426 libx2go-utils-perl                 	      11       60       49        0        0
 3427 libzstd-dev                        	      11      587      559       17        0
 3428 linux-image-6.1.0-28-amd64         	      11      594      581        0        2
 3429 lldpd                              	      11       23       12        0        0
 3430 llvm-19-dev                        	      11       44       30        3        0
 3431 lsp-plugins-lv2                    	      11       73       60        1        1
 3432 lxqt-about                         	      11      264      227       26        0
 3433 mate-applet-brisk-menu             	      11      491       17        0      463
 3434 meld                               	      11      198      186        1        0
 3435 meteo-qt                           	      11      243      207       25        0
 3436 mime-support                       	      11     3980      453        4     3512
 3437 molly-guard                        	      11       38       27        0        0
 3438 mpg123                             	      11      175      163        1        0
 3439 mscompress                         	      11      580      558       11        0
 3440 munin                              	      11       25       14        0        0
 3441 nagios-nrpe-server                 	      11       35       24        0        0
 3442 netfilter-persistent               	      11      112      100        1        0
 3443 nvidia-kernel-dkms                 	      11      174      154        9        0
 3444 obs-studio                         	      11      156      140        5        0
 3445 pbzip2                             	      11      275      262        2        0
 3446 perl-doc                           	      11      257      239        7        0
 3447 php-imagick                        	      11       90       13        0       66
 3448 php-psr-container                  	      11       95       84        0        0
 3449 php5-mysql                         	      11       37       25        0        1
 3450 php7.3-mbstring                    	      11       34       23        0        0
 3451 php7.4-fpm                         	      11       35       24        0        0
 3452 pkg-config                         	      11     1544      549       10      974
 3453 postfix-mta-sts-resolver           	      11       28       17        0        0
 3454 postfix-sqlite                     	      11      135      123        1        0
 3455 printer-driver-c2050               	      11      552      532        9        0
 3456 printer-driver-cjet                	      11      558      537       10        0
 3457 printer-driver-cups-pdf            	      11      204      187        6        0
 3458 printer-driver-min12xxw            	      11      559      539        9        0
 3459 proftpd-core                       	      11       30       17        2        0
 3460 psutils                            	      11      419      398       10        0
 3461 python-is-python2                  	      11      131      120        0        0
 3462 python-pkg-resources               	      11      433      417        4        1
 3463 python3-dbus.mainloop.pyqt5        	      11      180      167        2        0
 3464 python3-feedparser                 	      11     1663     1535      117        0
 3465 python3-greenlet                   	      11      217      189       17        0
 3466 python3-jwt                        	      11      992      901       80        0
 3467 python3-musicbrainzngs             	      11     1535     1408      116        0
 3468 python3-pyatspi                    	      11     2356     2163      182        0
 3469 python3-tdb                        	      11      941      861       69        0
 3470 python3-websockets                 	      11      869      796       62        0
 3471 python3-wxgtk4.0                   	      11      273      254        8        0
 3472 qemu-system-gui                    	      11      556      409       30      106
 3473 qtbase5-dev-tools                  	      11      386      357       13        5
 3474 rdate                              	      11       53       42        0        0
 3475 ruby-unf                           	      11       72       61        0        0
 3476 scdaemon                           	      11       69       57        1        0
 3477 smtube                             	      11      295      258       26        0
 3478 sshpass                            	      11      123      112        0        0
 3479 syncthing-gtk                      	      11       50       38        1        0
 3480 syslinux-common                    	      11      414      390       13        0
 3481 sysv-rc-conf                       	      11      205      191        3        0
 3482 testdisk                           	      11      251      238        2        0
 3483 texlive-luatex                     	      11      301      281        9        0
 3484 thunderbird-l10n-de                	      11       81       63        7        0
 3485 uno-libs3                          	      11      175      161        3        0
 3486 uvcdynctrl                         	      11       74       62        1        0
 3487 wmctrl                             	      11      162      149        2        0
 3488 xfce4-clipman-plugin               	      11     1665       27        0     1627
 3489 xss-lock                           	      11      137      123        3        0
 3490 zathura                            	      11      124      111        2        0
 3491 backintime-common                  	      10       34       23        1        0
 3492 boinc-client                       	      10       29       18        1        0
 3493 clang-14                           	      10      199      188        1        0
 3494 clementine                         	      10      115      102        3        0
 3495 compiz-core                        	      10       55       43        2        0
 3496 compiz-plugins                     	      10       55       43        2        0
 3497 compiz-plugins-main                	      10       55       43        2        0
 3498 darktable                          	      10      121      108        3        0
 3499 debconf-kde-helper                 	      10      540      484       46        0
 3500 debian-goodies                     	      10      104       91        3        0
 3501 dnsutils                           	      10     1098      281        2      805
 3502 dovecot-managesieved               	      10       28       18        0        0
 3503 dovecot-mysql                      	      10       28       18        0        0
 3504 dovecot-pop3d                      	      10       48       38        0        0
 3505 drkonqi                            	      10      558      401       47      100
 3506 dvdauthor                          	      10      521      479       32        0
 3507 dvisvgm                            	      10      502      473       19        0
 3508 elinks                             	      10      156      141        5        0
 3509 epiphany-browser                   	      10       84       70        4        0
 3510 exif                               	      10      361      319       32        0
 3511 feathernotes                       	      10      257      221       26        0
 3512 firefox-esr-l10n-fr                	      10       80       63        7        0
 3513 firefox-esr-l10n-it                	      10       81       64        7        0
 3514 firmware-intel-graphics            	      10      123       99       14        0
 3515 fonts-inconsolata                  	      10      195       22        0      163
 3516 fonts-wqy-microhei                 	      10       91       13        0       68
 3517 fuseiso                            	      10      273      255        8        0
 3518 gir1.2-cscreensaver-1.0            	      10      209       24        0      175
 3519 gir1.2-gexiv2-0.10                 	      10      123      113        0        0
 3520 gir1.2-libosinfo-1.0               	      10      377       14        0      353
 3521 gir1.2-libvirt-glib-1.0            	      10      339       18        0      311
 3522 gitk                               	      10      203      186        7        0
 3523 gnome-control-center               	      10      410      369       31        0
 3524 gnome-font-viewer                  	      10      386      340       36        0
 3525 heimdall-flash                     	      10       60       49        1        0
 3526 hplip-data                         	      10      603      528        8       57
 3527 hplip-gui                          	      10      111      101        0        0
 3528 jmtpfs                             	      10      174      164        0        0
 3529 kfind                              	      10      575      518       47        0
 3530 ksshaskpass                        	      10      556      496       50        0
 3531 kwrite                             	      10      552      495       47        0
 3532 libalgorithm-c3-perl               	      10      687      670        7        0
 3533 libaribb24-0t64                    	      10      144        7        0      127
 3534 libatrildocument3t64               	      10       87        8        1       68
 3535 libaudiofile1                      	      10      246       19        1      216
 3536 libavahi-compat-libdnssd1          	      10      141       22        0      109
 3537 libbinutils                        	      10     2707       17        3     2677
 3538 libboinc7                          	      10       26        8        0        8
 3539 libboost-filesystem1.83.0          	      10      147       10        1      126
 3540 libboost-program-options1.83.0     	      10      136       10        0      116
 3541 libclang-common-14-dev             	      10      240      228        2        0
 3542 libclang1-19                       	      10       65       48        7        0
 3543 libclass-c3-perl                   	      10      687      670        7        0
 3544 libcompizconfig0                   	      10       53       41        2        0
 3545 libcpufreq0                        	      10      313       17        0      286
 3546 libcpupower1                       	      10      604       26        2      566
 3547 libcurl4-openssl-dev               	      10      245      220       15        0
 3548 libdvdread8t64                     	      10      252       35        0      207
 3549 libgetopt-long-descriptive-perl    	      10      220      207        3        0
 3550 libgles-dev                        	      10      653      627       16        0
 3551 libgnutls-openssl27                	      10      563       34        1      518
 3552 libhackrf0                         	      10       54       43        1        0
 3553 libio-multiplex-perl               	      10      162      151        1        0
 3554 libiperf0                          	      10      201       22        0      169
 3555 libiso9660-11                      	      10      461       25        0      426
 3556 libkf5holidays-data                	      10      569       28        0      531
 3557 libkf5js5                          	      10      756       18        0      728
 3558 libkf5jsapi5                       	      10      631       18        0      603
 3559 libkf5texteditor5                  	      10      674       33        4      627
 3560 libkf6codecs-data                  	      10       86        8        1       67
 3561 libkf6config-data                  	      10       99        8        1       80
 3562 libkf6globalaccel-data             	      10       76        8        1       57
 3563 libkf6i18nqml6                     	      10       51        5        1       35
 3564 libkf6idletime6                    	      10       40       18        3        9
 3565 libkf6itemviews-data               	      10       86        8        1       67
 3566 libkf6networkmanagerqt6            	      10       36       15        3        8
 3567 libkf6pulseaudioqt5                	      10       48       14        1       23
 3568 libkirigamiplatform6               	      10       83       15        1       57
 3569 libkwaylandclient6                 	      10       33       14        1        8
 3570 liblayershellqtinterface6          	      10       39       19        3        7
 3571 liblirc-client0                    	      10     1905       15        0     1880
 3572 libmail-sendmail-perl              	      10      942      919       13        0
 3573 libmath-base85-perl                	      10      526      479       37        0
 3574 libmath-random-isaac-perl          	      10      221      207        4        0
 3575 libmikmod3                         	      10      592       22        1      559
 3576 libmono-i18n-west4.0-cil           	      10      305      288        7        0
 3577 libmono-i18n4.0-cil                	      10      305      288        7        0
 3578 libmono-system-security4.0-cil     	      10      312      293        9        0
 3579 libnet-ipv6addr-perl               	      10      549      502       37        0
 3580 libokular5core10                   	      10      493       14        0      469
 3581 libpam-ck-connector                	      10       89       10        0       69
 3582 libpam-ldapd                       	      10       32       21        0        1
 3583 libplasmaactivities6               	      10       72       15        1       46
 3584 libpolkit-qt6-1-1                  	      10       86       18        3       55
 3585 libqt5help5                        	      10     1442       23        0     1409
 3586 libqt5webkit5                      	      10     1180       13        0     1157
 3587 libqt5xml5t64                      	      10      168       32        0      126
 3588 libreadline8t64                    	      10      296       17        2      267
 3589 libreoffice-l10n-ru                	      10      141      116       15        0
 3590 libreoffice-librelogo              	      10      269      244       15        0
 3591 libresid-builder0c2a               	      10     2179       16        0     2153
 3592 librnp0                            	      10      119        9        0      100
 3593 libshout3                          	      10     3226       23        0     3193
 3594 libsidplay2                        	      10     2179       16        0     2153
 3595 libsoap-lite-perl                  	      10      244      232        2        0
 3596 libspice-client-glib-2.0-8         	      10      465       14        0      441
 3597 libspice-client-gtk-3.0-5          	      10      455       14        0      431
 3598 libstdc++-14-dev                   	      10      195      143       42        0
 3599 libstroke0                         	      10       88       16        0       62
 3600 libsub-override-perl               	      10      745      725       10        0
 3601 libsysfs2                          	      10      149       15        0      124
 3602 libunibreak6                       	      10      247       34        0      203
 3603 libvirt-daemon-driver-storage-iscsi	      10       21        9        2        0
 3604 libvirt-daemon-system              	      10      404      369        4       21
 3605 libvirt-glib-1.0-0                 	      10      403       15        0      378
 3606 libwildmidi2                       	      10     3016       21        0     2985
 3607 libwnck22                          	      10      377       52        2      313
 3608 libwxbase3.2-1                     	      10      746       21        1      714
 3609 libwxgtk3.2-1                      	      10      740       21        1      708
 3610 libxinerama-dev                    	      10      624      599       15        0
 3611 libyaml-perl                       	      10      267      253        4        0
 3612 limesuite-udev                     	      10       53       42        1        0
 3613 linux-image-6.12.9+bpo-amd64       	      10       32       21        1        0
 3614 localepurge                        	      10       71       61        0        0
 3615 lxqt-policykit-l10n                	      10      276       36        5      225
 3616 lxqt-sudo                          	      10      287      250       27        0
 3617 mate-desktop                       	      10      563      509       44        0
 3618 mate-notification-daemon           	      10      538      486       42        0
 3619 monit                              	      10       26       16        0        0
 3620 monitoring-plugins-common          	      10       97       86        1        0
 3621 mpg321                             	      10      106       96        0        0
 3622 muffin-common                      	      10      305       67        4      224
 3623 munin-node                         	      10       75       64        1        0
 3624 ndiff                              	      10      291      281        0        0
 3625 neovim                             	      10      133      112       11        0
 3626 network-manager-openconnect        	      10       47       37        0        0
 3627 nullmailer                         	      10       25       15        0        0
 3628 openconnect                        	      10       95       85        0        0
 3629 pango1.0-tools                     	      10      473      447       16        0
 3630 pass                               	      10       92       80        2        0
 3631 php-composer-ca-bundle             	      10       80       67        3        0
 3632 php-symfony-deprecation-contracts  	      10       79       68        1        0
 3633 php7.3-curl                        	      10       30       20        0        0
 3634 php8.2-redis                       	      10       28       18        0        0
 3635 printer-driver-escpr               	      10      579      559       10        0
 3636 python-crypto                      	      10      191      180        1        0
 3637 python-imaging                     	      10       58       48        0        0
 3638 python-talloc                      	      10      272      259        3        0
 3639 python3-configargparse             	      10      198      187        1        0
 3640 python3-josepy                     	      10      191      180        1        0
 3641 python3-protobuf                   	      10      249      224       15        0
 3642 python3-pyqt5.qtsvg                	      10      343      329        4        0
 3643 python3-pyrsistent                 	      10      295      275       10        0
 3644 python3-reportlab-accel            	      10      604      585        7        2
 3645 python3-roman                      	      10      377      361        6        0
 3646 python3-serial                     	      10      222      205        7        0
 3647 python3-xapp                       	      10      332      289       33        0
 3648 qml-module-org-kde-pipewire        	      10      411       82        9      310
 3649 qml-module-qtquick-privatewidgets  	      10     1060       52        5      993
 3650 qt5-qmake                          	      10      355      329       13        3
 3651 qterminal-l10n                     	      10      281       20        4      247
 3652 recode                             	      10      134      116        8        0
 3653 sa-compile                         	      10      100       88        2        0
 3654 squashfs-tools                     	      10      408      387       11        0
 3655 sway                               	      10       51       39        2        0
 3656 swaybg                             	      10       54       42        2        0
 3657 swtpm                              	      10      289      273        6        0
 3658 systemd-standalone-tmpfiles        	      10      105       87        8        0
 3659 teamviewer                         	      10       60       50        0        0
 3660 telepathy-mission-control-5        	      10      338      324        4        0
 3661 texlive-lang-polish                	      10       98       87        1        0
 3662 torbrowser-launcher                	      10      108       96        2        0
 3663 transmission-daemon                	      10       40       30        0        0
 3664 tshark                             	      10      126      112        4        0
 3665 unhide.rb                          	      10      139      128        1        0
 3666 valgrind                           	      10      204      193        1        0
 3667 vbetool                            	      10      634      620        4        0
 3668 vnstat                             	      10       46       36        0        0
 3669 vorbis-tools                       	      10      455      426       19        0
 3670 wakeonlan                          	      10      156      144        2        0
 3671 wdiff                              	      10      351      337        4        0
 3672 xfce4-datetime-plugin              	      10      461       22        0      429
 3673 xorgxrdp                           	      10      131      115        6        0
 3674 zam-plugins                        	      10       50       39        1        0
 3675 aha                                	       9      444      395       40        0
 3676 alien                              	       9      122      112        1        0
 3677 amavisd-new                        	       9       28       19        0        0
 3678 apt-transport-tor                  	       9       41       31        1        0
 3679 byobu                              	       9       81       70        2        0
 3680 calf-plugins                       	       9       75       65        1        0
 3681 check-dfsg-status                  	       9       53       42        2        0
 3682 chromium-sandbox                   	       9      756      631      115        1
 3683 compiz-mate                        	       9       41       29        3        0
 3684 conmon                             	       9       58       49        0        0
 3685 courier-authlib                    	       9       37       27        1        0
 3686 dbconfig-common                    	       9      123      113        1        0
 3687 deluge-common                      	       9      213      197        7        0
 3688 deluge-gtk                         	       9      208      191        8        0
 3689 dex                                	       9      138      124        5        0
 3690 dhcpcd-base                        	       9       89       63       17        0
 3691 dict                               	       9       94       79        6        0
 3692 dosbox                             	       9      203      191        3        0
 3693 elpa-markdown-mode                 	       9       59       49        1        0
 3694 encfs                              	       9       53       44        0        0
 3695 etherwake                          	       9       81       72        0        0
 3696 evolution-plugins                  	       9      238      209       20        0
 3697 f2fs-tools                         	       9      238      225        4        0
 3698 firmware-sof-signed                	       9       79       60        9        1
 3699 fonts-arphic-gkai00mp              	       9      110       19        0       82
 3700 fonts-arphic-uming                 	       9      124        8        0      107
 3701 fonts-terminus-otb                 	       9      180       22        0      149
 3702 fonts-wqy-zenhei                   	       9       80       23        0       48
 3703 freeradius                         	       9       30       21        0        0
 3704 gdb-minimal                        	       9      494      442       41        2
 3705 gir1.2-gck-1                       	       9      205       45        8      143
 3706 gir1.2-gcr-3                       	       9      204       45        8      142
 3707 gir1.2-soup-3.0                    	       9      564       51        9      495
 3708 gir1.2-wnck-3.0                    	       9     2535       13        0     2513
 3709 gnome-characters                   	       9      317      275       33        0
 3710 gnome-sound-recorder               	       9      373      327       37        0
 3711 gnucash                            	       9       95       83        3        0
 3712 gnuplot-x11                        	       9      143      134        0        0
 3713 gv                                 	       9      144      131        4        0
 3714 html2text                          	       9      346      304       33        0
 3715 i3lock                             	       9      159      146        4        0
 3716 icewm-common                       	       9       80       70        1        0
 3717 ieee-data                          	       9      393      381        3        0
 3718 isympy-common                      	       9      439      407       23        0
 3719 isympy3                            	       9      439      407       23        0
 3720 k3b                                	       9      291      269       13        0
 3721 kdoctools5                         	       9      620      568       43        0
 3722 kmix                               	       9       51       42        0        0
 3723 ksh93u+m                           	       9       63       52        2        0
 3724 libadplug-2.3.3-0                  	       9       67       21        0       37
 3725 libairspy0                         	       9       54       44        1        0
 3726 libappstreamqt3                    	       9       32       14        1        8
 3727 libapt-pkg7.0                      	       9       61        0       10       42
 3728 libaudio-scrobbler-perl            	       9      104       94        1        0
 3729 libbinio1v5                        	       9       76       21        0       46
 3730 libbladerf2                        	       9       52       42        1        0
 3731 libboost-context1.83.0             	       9       25        6        0       10
 3732 libboost-coroutine1.83.0           	       9       24        6        0        9
 3733 libboost1.74-dev                   	       9      524      508        7        0
 3734 libbytes-random-secure-perl        	       9      211      198        4        0
 3735 libbz2-dev                         	       9      425      405       11        0
 3736 libcares2                          	       9       97       15        1       72
 3737 libclamav12                        	       9       15        5        0        1
 3738 libclang-cpp15                     	       9      121      110        2        0
 3739 libconvert-binhex-perl             	       9      339      327        3        0
 3740 libcrypt-random-seed-perl          	       9      211      198        4        0
 3741 libcrypt-rc4-perl                  	       9      363      347        7        0
 3742 libdeflate-dev                     	       9      554      532       13        0
 3743 libdigest-perl-md5-perl            	       9      363      347        7        0
 3744 libeis1                            	       9       49       18        2       20
 3745 libelf-dev                         	       9      315      303        3        0
 3746 libell0                            	       9       58       10        0       39
 3747 libfluidsynth3                     	       9     2441       26        0     2406
 3748 libfreeradius3                     	       9       33       24        0        0
 3749 libgcr-ui-3-1                      	       9     2935       40        1     2885
 3750 libgtksourceview-3.0-1             	       9     2076       24        1     2042
 3751 libigdgmm11                        	       9      527       27        0      491
 3752 libimage-magick-perl               	       9      651      623       19        0
 3753 libkeybinder0                      	       9      240       55        2      174
 3754 libkf5newstuff-data                	       9      880       61        2      808
 3755 libkf6calendarevents6              	       9       31       14        1        7
 3756 libkf6configqml6                   	       9       57       15        1       32
 3757 libkf6kcmutils6                    	       9       71        7        1       54
 3758 libkf6modemmanagerqt6              	       9       49       13        1       26
 3759 libkf6pty6                         	       9       42       14        1       18
 3760 libkf6runner6                      	       9       71       14        1       47
 3761 libkf6service-bin                  	       9       83       50       24        0
 3762 libkf6style6                       	       9       34       15        1        9
 3763 libkf6svg6                         	       9       52       15        1       27
 3764 libkf6userfeedbackcore6            	       9       36       14        1       12
 3765 libkglobalacceld0                  	       9       54       14        1       30
 3766 libkirigami6                       	       9       83       15        1       58
 3767 libkirigamidelegates6              	       9       83       15        1       58
 3768 libkirigamidialogs6                	       9       83       15        1       58
 3769 libkirigamilayouts6                	       9       83       15        1       58
 3770 libkirigamiprimitives6             	       9       83       15        1       58
 3771 libkirigamiprivate6                	       9       83       15        1       58
 3772 libkpipewire6                      	       9       32       14        2        7
 3773 libkscreenlocker6                  	       9       30       14        1        6
 3774 libllvm14                          	       9      550        5        0      536
 3775 liblxc1                            	       9       92       21        1       61
 3776 libmate-sensors-applet-plugin0     	       9      109       17        0       83
 3777 libmateweather1t64                 	       9       24        6        1        8
 3778 libmath-calc-units-perl            	       9       36       26        1        0
 3779 libmbedcrypto3                     	       9      252       19        0      224
 3780 libmodbus5                         	       9       46        6        0       31
 3781 libnet-cidr-perl                   	       9      173      163        1        0
 3782 libnorm1t64                        	       9      239       31        0      199
 3783 libole-storage-lite-perl           	       9      367      351        7        0
 3784 libopenmpt0t64                     	       9      248       32        0      207
 3785 libosmosdr0                        	       9       51       41        1        0
 3786 libpcre2-posix3                    	       9      777       11        1      756
 3787 libpgm-5.3-0t64                    	       9      241       31        0      201
 3788 libphonon-l10n                     	       9       75       13        0       53
 3789 libplasma5support6                 	       9       30       15        1        5
 3790 libplasma6                         	       9       52       15        1       27
 3791 libplasmaactivitiesstats1          	       9       38       14        1       14
 3792 libplasmaquick6                    	       9       50       15        1       25
 3793 libqaccessibilityclient-qt6-0      	       9       30       14        1        6
 3794 libqrcodegencpp1                   	       9      605       37        1      558
 3795 libqt5printsupport5t64             	       9      155       28        0      118
 3796 libqt6sensors6                     	       9       35       14        1       11
 3797 libqt6shadertools6                 	       9      115       16        1       89
 3798 libqt6webchannel6                  	       9      251        5        0      237
 3799 libqt6webenginecore6               	       9      250        5        0      236
 3800 libqt6webenginewidgets6            	       9      245        5        0      231
 3801 libquickcharts1                    	       9       31       14        1        7
 3802 libreoffice-sdbc-mysql             	       9      547      478       60        0
 3803 libsasl2-modules-gssapi-mit        	       9       47       22        0       16
 3804 libsexpp0                          	       9       89        9        0       71
 3805 libsigc++-3.0-0                    	       9      306       35        0      262
 3806 libsnmp40t64                       	       9      225       10        0      206
 3807 libsphinxbase3t64                  	       9      220       29        0      182
 3808 libspreadsheet-parseexcel-perl     	       9      362      345        8        0
 3809 libssl1.0.0                        	       9      328       13        0      306
 3810 libstdc++-10-dev                   	       9      590      575        6        0
 3811 libstring-shellquote-perl          	       9      334      321        4        0
 3812 libtext-csv-perl                   	       9      294      277        8        0
 3813 libtext-template-perl              	       9      294      282        3        0
 3814 libuno-purpenvhelpergcc3-3t64      	       9      193      138       46        0
 3815 libusbredirhost1                   	       9      438       14        0      415
 3816 libuv1t64                          	       9      274       11        0      254
 3817 libvmaf3                           	       9       19        6        0        4
 3818 libwlroots10                       	       9       69        6        2       52
 3819 libxcb-render0-dev                 	       9      632      609       14        0
 3820 libxcb-shm0-dev                    	       9      592      569       14        0
 3821 libxcb-xtest0                      	       9      288       14        0      265
 3822 libxenstore4t64                    	       9       19       10        0        0
 3823 libxfixes-dev                      	       9      636      610       17        0
 3824 libxft-dev                         	       9      620      598       13        0
 3825 libxml-xpath-perl                  	       9      182      171        2        0
 3826 libzeitgeist-2.0-0                 	       9      108       31        0       68
 3827 libzix-0-0                         	       9      244       30        0      205
 3828 linux-image-6.1.0-30-amd64         	       9      391      372        8        2
 3829 live-tools                         	       9      168      152        7        0
 3830 lxqt-admin                         	       9      256      221       26        0
 3831 lxqt-openssh-askpass               	       9      265      230       26        0
 3832 lxsession-data                     	       9      248       28        1      210
 3833 lz4                                	       9      152      136        7        0
 3834 mate-sensors-applet                	       9      108       17        0       82
 3835 monitoring-plugins-standard        	       9       57       48        0        0
 3836 msmtp-mta                          	       9       62       53        0        0
 3837 mupdf                              	       9      130      116        5        0
 3838 mupdf-tools                        	       9      433      404       20        0
 3839 nala                               	       9       79       59       11        0
 3840 network-manager-config-connectivity-debian	       9       43       32        2        0
 3841 network-manager-l2tp               	       9       37       28        0        0
 3842 network-manager-vpnc               	       9       56       47        0        0
 3843 ninja-build                        	       9      305      286       10        0
 3844 nomarch                            	       9       45       35        1        0
 3845 notification-daemon                	       9     1369     1328       32        0
 3846 npm                                	       9      189      178        2        0
 3847 odt2txt                            	       9      305      267       29        0
 3848 osspd                              	       9       31       22        0        0
 3849 pasystray                          	       9       34       24        1        0
 3850 php-mariadb-mysql-kbs              	       9       52       40        3        0
 3851 php-phpmyadmin-sql-parser          	       9       51       40        2        0
 3852 php-symfony-cache                  	       9       55       42        4        0
 3853 php-symfony-cache-contracts        	       9       54       44        1        0
 3854 php-symfony-config                 	       9       53       40        4        0
 3855 php-symfony-dependency-injection   	       9       53       40        4        0
 3856 php-symfony-expression-language    	       9       55       42        4        0
 3857 php-symfony-var-exporter           	       9       54       41        4        0
 3858 php-twig                           	       9       56       46        1        0
 3859 php-twig-i18n-extension            	       9       51       42        0        0
 3860 php5-gd                            	       9       32       22        0        1
 3861 php7.0-gd                          	       9       23       14        0        0
 3862 php7.0-mysql                       	       9       21       12        0        0
 3863 php7.3-zip                         	       9       23       14        0        0
 3864 php7.4-soap                        	       9       26       17        0        0
 3865 php8.4-cli                         	       9       15        5        1        0
 3866 php8.4-common                      	       9       16        6        1        0
 3867 php8.4-opcache                     	       9       15        5        1        0
 3868 php8.4-readline                    	       9       15        5        1        0
 3869 pipewire-alsa                      	       9      179       20        0      150
 3870 pipx                               	       9      141      131        1        0
 3871 plasma-desktoptheme                	       9       50       15        1       25
 3872 plymouth                           	       9       42       30        3        0
 3873 polybar                            	       9       46       36        1        0
 3874 postgresql-9.4                     	       9       26       17        0        0
 3875 printer-driver-ptouch              	       9      555      537        9        0
 3876 python                             	       9      491      477        5        0
 3877 python-ldb                         	       9       99       89        1        0
 3878 python-samba                       	       9       95       86        0        0
 3879 python3-defusedxml                 	       9      293      281        3        0
 3880 python3-gssapi                     	       9      145      131        5        0
 3881 python3-ipython-genutils           	       9      119      110        0        0
 3882 python3-oauthlib                   	       9      962      875       78        0
 3883 python3-parsedatetime              	       9      209      199        1        0
 3884 python3-pycparser                  	       9      145      133        3        0
 3885 python3-pyqt5.qtsql                	       9      209      196        4        0
 3886 python3-pyqt5.qtwebchannel         	       9      233      216        8        0
 3887 python3-requests-toolbelt          	       9      620      601       10        0
 3888 python3-rfc3339                    	       9      191      181        1        0
 3889 python3-sgmllib3k                  	       9     1362     1245      108        0
 3890 python3-ujson                      	       9       85       72        4        0
 3891 python3-zipp                       	       9      607      575       23        0
 3892 python3.9                          	       9      707      668       29        1
 3893 qml-module-org-kde-sonnet          	       9      476       65        7      395
 3894 qml6-module-org-kde-coreaddons     	       9       50       14        1       26
 3895 qml6-module-org-kde-desktop        	       9       50       14        1       26
 3896 qml6-module-org-kde-draganddrop    	       9       30       14        1        6
 3897 qml6-module-org-kde-kcmutils       	       9       66       14        1       42
 3898 qml6-module-org-kde-kdeconnect     	       9       45       11        1       24
 3899 qml6-module-org-kde-kirigami       	       9       83       15        1       58
 3900 qml6-module-org-kde-kitemmodels    	       9       48       14        1       24
 3901 qml6-module-org-kde-kquickcontrolsaddons	       9       59       14        1       35
 3902 qml6-module-org-kde-ksvg           	       9       51       15        1       26
 3903 qml6-module-org-kde-kwindowsystem  	       9       31       14        1        7
 3904 qml6-module-org-kde-pipewire       	       9       30       14        1        6
 3905 qml6-module-org-kde-plasma-plasma5support	       9       30       15        1        5
 3906 qml6-module-org-kde-quickcharts    	       9       31       14        1        7
 3907 qml6-module-org-kde-sonnet         	       9       50       14        1       26
 3908 qml6-module-qt-labs-platform       	       9       86       15        1       61
 3909 qml6-module-qt-labs-qmlmodels      	       9       73       13        1       50
 3910 qml6-module-qtcore                 	       9       67       12        1       45
 3911 qml6-module-qtquick-controls       	       9      155       18        1      127
 3912 qml6-module-qtquick-dialogs        	       9       90       14        1       66
 3913 qml6-module-qtquick-effects        	       9       37       15        1       12
 3914 qml6-module-qtquick-layouts        	       9      156       18        1      128
 3915 qml6-module-qtquick-templates      	       9      122       18        1       94
 3916 qml6-module-qtquick-window         	       9      118       18        1       90
 3917 qrencode                           	       9      249      236        4        0
 3918 qt5-qmake-bin                      	       9      352      328       15        0
 3919 qtbase5-dev                        	       9      333      313       11        0
 3920 r-cran-rmarkdown                   	       9       42       33        0        0
 3921 r-cran-shiny                       	       9       42       32        0        1
 3922 rhythmbox                          	       9      413      366       38        0
 3923 rng-tools-debian                   	       9       34       25        0        0
 3924 rpm2cpio                           	       9      275      254       12        0
 3925 sakura                             	       9       48       39        0        0
 3926 seahorse                           	       9      475      428       38        0
 3927 sensible-mda                       	       9       40       31        0        0
 3928 smart-notifier                     	       9       58       49        0        0
 3929 switcheroo-control                 	       9      265      230       26        0
 3930 syslinux                           	       9      359      343        7        0
 3931 syslog-ng-mod-mongodb              	       9       30       20        1        0
 3932 syslog-ng-mod-sql                  	       9       30       20        1        0
 3933 texlive-latex-recommended          	       9      506      484       13        0
 3934 texlive-xetex                      	       9      240      224        7        0
 3935 tiger                              	       9       25       15        1        0
 3936 tigervnc-common                    	       9      133      123        1        0
 3937 timeshift                          	       9       66       56        1        0
 3938 tracker                            	       9      266      238       17        2
 3939 unclutter                          	       9       24       15        0        0
 3940 vim-nox                            	       9       98       86        3        0
 3941 vlc-l10n                           	       9     1093       20        0     1064
 3942 vpnc                               	       9       85       75        1        0
 3943 webp-pixbuf-loader                 	       9      773       30        3      731
 3944 winetricks                         	       9      256      245        2        0
 3945 wngerman                           	       9      388      358       21        0
 3946 xfonts-100dpi                      	       9     3086        8        0     3069
 3947 xtightvncviewer                    	       9      153      143        1        0
 3948 yakuake                            	       9       45       33        3        0
 3949 akregator                          	       8      476      425       43        0
 3950 antiword                           	       8       82       73        1        0
 3951 appmenu-gtk3-module                	       8       65       20        0       37
 3952 arc-theme                          	       8       70       16        2       44
 3953 binutils-gold                      	       8       66       41       17        0
 3954 binutils-gold-x86-64-linux-gnu     	       8       65       40       17        0
 3955 caps                               	       8       88       79        1        0
 3956 ccache                             	       8      140      129        3        0
 3957 checksecurity                      	       8       22       14        0        0
 3958 collectd-core                      	       8       29       21        0        0
 3959 compiz-gnome                       	       8       45       34        3        0
 3960 compiz-plugins-extra               	       8       42       30        4        0
 3961 console-cyrillic                   	       8       35       27        0        0
 3962 criu                               	       8       99       82        9        0
 3963 cwp                                	       8       16        6        2        0
 3964 debconf-utils                      	       8      100       90        2        0
 3965 dia                                	       8      169      157        4        0
 3966 easytag                            	       8       98       83        7        0
 3967 enscript                           	       8      133      121        4        0
 3968 exfat-utils                        	       8      662      641       13        0
 3969 exim4-daemon-heavy                 	       8       21       13        0        0
 3970 firmware-atheros                   	       8      285      266       11        0
 3971 fonts-sil-andika                   	       8      202        7        0      187
 3972 foot                               	       8       54       44        2        0
 3973 fzf                                	       8       92       75        9        0
 3974 gamemode                           	       8       64       53        3        0
 3975 gir1.2-geoclue-2.0                 	       8      255       55        8      184
 3976 gir1.2-gtk-vnc-2.0                 	       8      341       15        0      318
 3977 gir1.2-gtksource-3.0               	       8     1732       12        0     1712
 3978 gir1.2-ibus-1.0                    	       8      576       79        8      481
 3979 gir1.2-spiceclientglib-2.0         	       8      315       11        0      296
 3980 gir1.2-spiceclientgtk-3.0          	       8      312       11        0      293
 3981 gnome-system-tools                 	       8      244      217       19        0
 3982 gnuchess                           	       8     1033      962       63        0
 3983 gpicview                           	       8      231      203       20        0
 3984 i2pd                               	       8       25       17        0        0
 3985 ingerman                           	       8      367      340       19        0
 3986 iodine                             	       8       34       26        0        0
 3987 kdiff3                             	       8       82       71        3        0
 3988 libapache2-mod-php5                	       8       43       35        0        0
 3989 libapache2-mod-security2           	       8       20       12        0        0
 3990 libappmenu-gtk3-parser0            	       8       67       20        0       39
 3991 libbrotli-dev                      	       8      741      698       35        0
 3992 libbsd-dev                         	       8      193      182        3        0
 3993 libcaca-dev                        	       8      203      194        1        0
 3994 libcdio19t64                       	       8      238       15        0      215
 3995 libclang-cpp11                     	       8      135      125        1        1
 3996 libclang-cpp19                     	       8       58       42        8        0
 3997 libcolorcorrect6                   	       8       30       13        1        8
 3998 libconvert-asn1-perl               	       8       77       69        0        0
 3999 libdbus-1-dev                      	       8      552      516       28        0
 4000 libdigest-bubblebabble-perl        	       8      327      315        4        0
 4001 libdiodon0                         	       8       53       18        0       27
 4002 libeac3                            	       8       46        8        0       30
 4003 libecal-2.0-3                      	       8       36        6        2       20
 4004 libedata-cal-2.0-2t64              	       8       27        6        2       11
 4005 libedataserverui-1.2-4t64          	       8       26        6        2       10
 4006 libffi6                            	       8     1140       15        0     1117
 4007 libfile-dirlist-perl               	       8      248      237        3        0
 4008 libfile-readbackwards-perl         	       8      109      100        1        0
 4009 libfile-touch-perl                 	       8      253      242        3        0
 4010 libfltk1.3                         	       8      513        4        0      501
 4011 libfreezethaw-perl                 	       8      523      479       36        0
 4012 libgdk-pixbuf-2.0-dev              	       8      485      464       13        0
 4013 libgitlab-api-v4-perl              	       8      199      189        2        0
 4014 libgnome-menu-3-0                  	       8      296       36        0      252
 4015 libgnutls28-dev                    	       8      260      233       19        0
 4016 libgtk2.0-dev                      	       8      267      257        2        0
 4017 libhtml-tableextract-perl          	       8      130      120        2        0
 4018 libjbig2dec0                       	       8     3588       10        0     3570
 4019 libjsoncpp24                       	       8      260       17        0      235
 4020 libjxl0.11                         	       8       17        0        4        5
 4021 libkexiv2qt6-0                     	       8       42       12        1       21
 4022 libkf5pulseaudioqt3                	       8      570       17        0      545
 4023 libkf5purpose-bin                  	       8      783       28        2      745
 4024 libkf6bluezqt6                     	       8       54       13        0       33
 4025 libkf6bookmarks-data               	       8       66        7        1       50
 4026 libkf6completion-data              	       8       75        7        1       59
 4027 libkf6contacts6                    	       8       45        2        0       35
 4028 libkf6notifyconfig6                	       8       41       12        1       20
 4029 libkf6purpose6                     	       8       54        6        0       40
 4030 libkf6purposewidgets6              	       8       54        6        0       40
 4031 libkf6screen8                      	       8       38       16        1       13
 4032 libkf6sonnet-data                  	       8       58        7        1       42
 4033 libkf6texttemplate6                	       8       25        2        0       15
 4034 libkf6textutils1                   	       8       11        2        0        1
 4035 libklipper6                        	       8       30       13        1        8
 4036 libkmpris6                         	       8       30       14        1        7
 4037 libkpim6akonadicontactcore6        	       8       11        2        0        1
 4038 libkpim6akonadicontactwidgets6     	       8       11        2        0        1
 4039 libkpim6akonadicore6               	       8       14        2        0        4
 4040 libkpim6akonadiprivate6            	       8       15        2        0        5
 4041 libkpim6akonadiwidgets6            	       8       14        2        0        4
 4042 libkpim6grantleetheme6             	       8       11        2        0        1
 4043 libkpim6mime6                      	       8       11        2        0        1
 4044 libkwin6                           	       8       30       14        1        7
 4045 libkworkspace6-6                   	       8       31       15        1        7
 4046 liblist-moreutils-xs-perl          	       8      520       16        0      496
 4047 liblxqt-globalkeys-ui0             	       8       70       19        0       43
 4048 liblxqt-globalkeys0                	       8       74       19        0       47
 4049 libmate-desktop-2-17t64            	       8       28        9        2        9
 4050 libmbedcrypto16                    	       8      175       18        0      149
 4051 libmime-charset-perl               	       8      552      535        9        0
 4052 libmojolicious-perl                	       8      139      128        3        0
 4053 libmonitoring-plugin-perl          	       8       30       21        1        0
 4054 libmono-posix4.0-cil               	       8      260      248        4        0
 4055 libneon27-gnutls                   	       8     1372       11        0     1353
 4056 libnet-cidr-lite-perl              	       8       42       34        0        0
 4057 libnet-ldap-perl                   	       8       68       60        0        0
 4058 libnfs13                           	       8     2114       26        0     2080
 4059 libopenh264-8                      	       8       52        0        0       44
 4060 libosinfo-1.0-0                    	       8      605       13        0      584
 4061 libpam0t64                         	       8       16        8        0        0
 4062 libpango1.0-dev                    	       8      477      452       17        0
 4063 libperl5.38t64                     	       8       88       80        0        0
 4064 libphonon4qt6-4t64                 	       8       43        4        1       30
 4065 libpipewire-0.3-common             	       8     2371       23        2     2338
 4066 libplacebo349                      	       8      223       29        0      186
 4067 libptytty0                         	       8      135       28        0       99
 4068 libpython3.13                      	       8      117        3        1      105
 4069 libqalculate22                     	       8      466       62       10      386
 4070 libqca-qt6-2                       	       8       93       18        1       66
 4071 libqcoro6dbus0t64                  	       8       32       14        1        9
 4072 libqt6core6                        	       8      452        6        0      438
 4073 libquickchartscontrols1            	       8       31       13        1        9
 4074 libreoffice-base-drivers           	       8      844      741       95        0
 4075 libreoffice-sdbc-firebird          	       8      706      622       76        0
 4076 libsepol-dev                       	       8      638      604       26        0
 4077 libsoundtouch1                     	       8     3037        6        0     3023
 4078 libspreadsheet-writeexcel-perl     	       8      363      348        7        0
 4079 libswscale8                        	       8      232       32        0      192
 4080 libtaskmanager6                    	       8       55       14        1       32
 4081 libtidy5deb1                       	       8     1117        9        0     1100
 4082 libtommath1                        	       8     1294       33        0     1253
 4083 libunity-protocol-private0         	       8      446       13        0      425
 4084 libwireplumber-0.5-0               	       8      124       13        2      101
 4085 libx2go-server-perl                	       8       65       56        1        0
 4086 libxml-treepp-perl                 	       8       32       24        0        0
 4087 libxpm-dev                         	       8      270      260        2        0
 4088 libxrandr-dev                      	       8      606      582       16        0
 4089 live-boot                          	       8      167      145       14        0
 4090 llvm-15-dev                        	       8       51       43        0        0
 4091 lua-socket                         	       8      253        7        0      238
 4092 luit                               	       8      350      313       29        0
 4093 lxpanel-data                       	       8      228       22        1      197
 4094 mariadb-client-core-10.5           	       8      137      116       13        0
 4095 mediainfo                          	       8      134      126        0        0
 4096 menulibre                          	       8       70       61        1        0
 4097 mono-devel                         	       8       88       78        2        0
 4098 mosquitto                          	       8       28       20        0        0
 4099 most                               	       8       60       51        1        0
 4100 mpd                                	       8       46       36        1        1
 4101 mtr                                	       8      150      141        1        0
 4102 neomutt                            	       8       37       29        0        0
 4103 network-manager-pptp               	       8       45       37        0        0
 4104 nginx-core                         	       8       28       15        0        5
 4105 node-acorn                         	       8      299      283        8        0
 4106 node-js-yaml                       	       8      184      173        3        0
 4107 node-readable-stream               	       8      215      204        3        0
 4108 nvidia-installer-cleanup           	       8      252      230       14        0
 4109 nvidia-support                     	       8      223      202       13        0
 4110 nxagent                            	       8       71       62        1        0
 4111 nxproxy                            	       8       92       83        1        0
 4112 opendoas                           	       8       76       65        2        1
 4113 openresolv                         	       8       54       45        1        0
 4114 optipng                            	       8      301      289        4        0
 4115 pahole                             	       8       78       57       13        0
 4116 pdfarranger                        	       8      105       91        6        0
 4117 php-apcu-bc                        	       8       23       11        0        4
 4118 php-getallheaders                  	       8       41       30        3        0
 4119 php-google-recaptcha               	       8       52       41        3        0
 4120 php-phpmyadmin-motranslator        	       8       54       45        1        0
 4121 php-phpmyadmin-shapefile           	       8       52       42        2        0
 4122 php-phpseclib                      	       8       53       45        0        0
 4123 php-psr-cache                      	       8       58       49        1        0
 4124 php-psr-http-factory               	       8       41       33        0        0
 4125 php-psr-http-message               	       8       42       33        1        0
 4126 php-tcpdf                          	       8       63       55        0        0
 4127 php7.3-intl                        	       8       25       17        0        0
 4128 php8.2-mcrypt                      	       8       16        8        0        0
 4129 php8.2-pspell                      	       8       21       13        0        0
 4130 pidgin-data                        	       8      423        7        0      408
 4131 pmount                             	       8      116      108        0        0
 4132 podman                             	       8       58       46        4        0
 4133 postgresql-17                      	       8       20        9        3        0
 4134 postgresql-client-17               	       8       32       16        8        0
 4135 printer-driver-dymo                	       8      538      520       10        0
 4136 printer-driver-fujixerox           	       8      525      508        9        0
 4137 prosody                            	       8       16        8        0        0
 4138 ps2eps                             	       8      252      240        4        0
 4139 python-chardet                     	       8      273      262        3        0
 4140 python-cryptography                	       8      143      135        0        0
 4141 python-gi                          	       8      214      203        3        0
 4142 python-pycurl                      	       8      105       96        1        0
 4143 python-setuptools                  	       8      175      166        1        0
 4144 python-six                         	       8      365      351        3        3
 4145 python3-cycler                     	       8      561      543       10        0
 4146 python3-dill                       	       8       85       74        3        0
 4147 python3-importlib-metadata         	       8      529      503       18        0
 4148 python3-jeepney                    	       8      398      379       11        0
 4149 python3-pdfminer                   	       8       94       83        3        0
 4150 python3-pikepdf                    	       8      176      157       11        0
 4151 python3-pil.imagetk                	       8      521      486       27        0
 4152 python3-secretstorage              	       8      308      291        9        0
 4153 python3-sniffio                    	       8      515      488       19        0
 4154 python3-stem                       	       8       48       39        1        0
 4155 python3-wheel                      	       8      787      758       19        2
 4156 qalculate-gtk                      	       8       64       54        2        0
 4157 qdbus-qt5                          	       8      591      531       41       11
 4158 qemu-user-static                   	       8       89       76        5        0
 4159 qml6-module-org-kde-bluezqt        	       8       54       13        0       33
 4160 qml6-module-org-kde-networkmanager 	       8       28       12        1        7
 4161 qml6-module-qt5compat-graphicaleffects	       8       71       16        1       46
 4162 qt5-style-plugin-cleanlooks        	       8      312       13        0      291
 4163 quotatool                          	       8       25       17        0        0
 4164 r-cran-bookdown                    	       8       35       27        0        0
 4165 r-cran-crosstalk                   	       8       37       29        0        0
 4166 r-cran-lattice                     	       8      144      131        5        0
 4167 r-cran-spatial                     	       8      141      128        5        0
 4168 razor                              	       8       24       16        0        0
 4169 skypeforlinux                      	       8       59       51        0        0
 4170 slack-desktop                      	       8       45       34        3        0
 4171 spotify-client                     	       8       69       58        3        0
 4172 stunnel4                           	       8       87       79        0        0
 4173 sudoku                             	       8      999      939       52        0
 4174 swtpm-tools                        	       8      285      271        6        0
 4175 sysfsutils                         	       8       69       61        0        0
 4176 syslog-ng-mod-add-contextual-data  	       8       25       17        0        0
 4177 syslog-ng-mod-graphite             	       8       25       17        0        0
 4178 syslog-ng-mod-riemann              	       8       25       17        0        0
 4179 system-tools-backends              	       8      262      233       21        0
 4180 texlive-extra-utils                	       8      307      292        7        0
 4181 texlive-lang-czechslovak           	       8       91       82        1        0
 4182 texlive-pictures                   	       8      439      418       13        0
 4183 tightvncpasswd                     	       8      142      133        1        0
 4184 tix                                	       8      498      480       10        0
 4185 uidmap                             	       8      171      153       10        0
 4186 update-glx                         	       8      271      252       11        0
 4187 v4l-utils                          	       8      112      102        2        0
 4188 vlan                               	       8      115      107        0        0
 4189 vrms                               	       8       51       41        2        0
 4190 watchdog                           	       8       16        8        0        0
 4191 webext-ublock-origin-firefox       	       8       46       38        0        0
 4192 x2goclient                         	       8       75       67        0        0
 4193 x2goserver-extensions              	       8       61       52        1        0
 4194 xdg-desktop-portal-xapp            	       8       31       20        3        0
 4195 xournal                            	       8       85       77        0        0
 4196 zerofree                           	       8      267      252        7        0
 4197 alsa-firmware-loaders              	       7       60       52        1        0
 4198 apt-rdepends                       	       7       71       64        0        0
 4199 apt-transport-https                	       7      710       47        0      656
 4200 aqemu                              	       7       66       58        1        0
 4201 arc                                	       7       38       30        1        0
 4202 audacious-plugins                  	       7      560       15        0      538
 4203 autossh                            	       7       50       43        0        0
 4204 ayatana-indicator-application      	       7       36       27        2        0
 4205 bamfdaemon                         	       7      134      119        8        0
 4206 bastet                             	       7      971      908       56        0
 4207 bleachbit                          	       7      110      100        3        0
 4208 blender                            	       7      167      158        2        0
 4209 caja-actions                       	       7       19       12        0        0
 4210 clamav-unofficial-sigs             	       7       15        7        1        0
 4211 clamtk                             	       7       95       85        3        0
 4212 claws-mail-i18n                    	       7      163       12        0      144
 4213 cpp-13                             	       7      146      137        2        0
 4214 cpp-4.9                            	       7      158      149        1        1
 4215 crawl                              	       7      962      905       50        0
 4216 cryfs                              	       7      448      398       43        0
 4217 debugedit                          	       7      233      219        7        0
 4218 dfu-util                           	       7       43       34        2        0
 4219 doxygen                            	       7      165      156        2        0
 4220 dput                               	       7      211      202        2        0
 4221 dump                               	       7       43       35        1        0
 4222 duplicity                          	       7      304      262       35        0
 4223 dvipng                             	       7      253      235       11        0
 4224 ebtables                           	       7       76       68        1        0
 4225 elpa-async                         	       7       45       37        1        0
 4226 elpa-graphviz-dot-mode             	       7       43       35        1        0
 4227 elpa-htmlize                       	       7       55       47        1        0
 4228 elpa-popup                         	       7       43       35        1        0
 4229 evince-common                      	       7      993        2        0      984
 4230 evolution-ews-core                 	       7       18        6        5        0
 4231 evolution-plugin-bogofilter        	       7      224      197       20        0
 4232 evolution-plugin-pstimport         	       7      228      201       20        0
 4233 exiv2                              	       7      255      233       15        0
 4234 extlinux                           	       7      187      171        9        0
 4235 exuberant-ctags                    	       7      124      115        2        0
 4236 fdupes                             	       7      126      116        3        0
 4237 finger                             	       7      128      120        1        0
 4238 fonts-oflb-asana-math              	       7      199       13        0      179
 4239 fonts-stix                         	       7      274       15        0      252
 4240 fonts-ubuntu                       	       7       50       17        2       24
 4241 fonts-unfonts-core                 	       7      123       16        0      100
 4242 fp-units-rtl-3.2.2                 	       7       88       80        0        1
 4243 gconf-service                      	       7      466       14        0      445
 4244 ghp-import                         	       7      120      113        0        0
 4245 gir1.2-pluma-1.0                   	       7      509       15        0      487
 4246 git-gui                            	       7      133      120        6        0
 4247 gksu                               	       7      184      177        0        0
 4248 gnome-desktop3-data                	       7     1453       54        8     1384
 4249 gnome-mahjongg                     	       7      411      367       37        0
 4250 handbrake                          	       7      217      189        4       17
 4251 ifplugd                            	       7       17       10        0        0
 4252 ifrename                           	       7       29       22        0        0
 4253 incron                             	       7       20       13        0        0
 4254 inputattach                        	       7       70       62        1        0
 4255 iperf                              	       7      156      147        2        0
 4256 iptraf-ng                          	       7      152      144        1        0
 4257 iswiss                             	       7      350      324       19        0
 4258 john                               	       7       85       77        1        0
 4259 joystick                           	       7       67       60        0        0
 4260 kaddressbook                       	       7      480      429       44        0
 4261 kde-config-sddm                    	       7      538      481       46        4
 4262 kpat                               	       7       91       83        1        0
 4263 krita                              	       7      140      130        3        0
 4264 libairspyhf1                       	       7       45       36        1        1
 4265 libapache2-mod-evasive             	       7       16        9        0        0
 4266 libapache2-mod-perl2               	       7       33       26        0        0
 4267 libarchive-tools                   	       7      114      104        3        0
 4268 libart-2.0-2                       	       7      337       18        3      309
 4269 libarts1c2a-trinity                	       7       42       32        3        0
 4270 libattr1                           	       7     4178        7        0     4164
 4271 libaudcore5                        	       7      525       15        0      503
 4272 libaudgui5                         	       7      524       14        0      503
 4273 libaudtag3                         	       7      524       11        0      506
 4274 libavfilter10                      	       7      215       29        0      179
 4275 libavformat61                      	       7      227       30        0      190
 4276 libblkid-dev                       	       7      799      749       43        0
 4277 libcarp-clan-perl                  	       7      447      433        7        0
 4278 libcgmanager0                      	       7      127       17        0      103
 4279 libcharon-extra-plugins            	       7       20       13        0        0
 4280 libclang-14-dev                    	       7       43       34        2        0
 4281 libclang1-11                       	       7      156      148        0        1
 4282 libdate-calc-perl                  	       7      439      425        7        0
 4283 libddcutil5                        	       7       32       14        1       10
 4284 libdecoration0                     	       7       49        7        0       35
 4285 libdevel-globaldestruction-perl    	       7      486      475        4        0
 4286 libdist-checkconflicts-perl        	       7      416      405        4        0
 4287 libebml5                           	       7     1509       14        0     1488
 4288 libeclipse-jdt-core-java           	       7       60       53        0        0
 4289 libemail-valid-perl                	       7      170      161        2        0
 4290 libept1.6.0                        	       7     2182       68        6     2101
 4291 libfcgi0ldbl                       	       7      926        8        0      911
 4292 libfile-slurper-perl               	       7      172      162        3        0
 4293 libfm-qt8                          	       7       60       18        0       35
 4294 libgconf-2-4                       	       7      470       14        0      449
 4295 libgcroots0                        	       7       49       19        2       21
 4296 libgdm1                            	       7      205      179       19        0
 4297 libgjs0g                           	       7      564       61        8      488
 4298 libgksu2-0                         	       7      190      183        0        0
 4299 libglib-perl                       	       7     2626        9        0     2610
 4300 libgmime-3.0-0                     	       7      106       13        0       86
 4301 libgpod4                           	       7      565       14        0      544
 4302 libgraphicsmagick-q16-3            	       7      542      528        7        0
 4303 libgweather-4-0t64                 	       7       36        8        2       19
 4304 libhyperscan5                      	       7       23        8        0        8
 4305 libimlib2t64                       	       7      132       16        1      108
 4306 libio-compress-perl                	       7       30       23        0        0
 4307 libjs-codemirror                   	       7      123      110        1        5
 4308 libkdecorations3-6                 	       7       14        0        1        6
 4309 libkf5kontactinterface5            	       7      492       18        1      466
 4310 libkf6calendarcore6                	       7       14        2        0        5
 4311 libkf6config-bin                   	       7       92       61       24        0
 4312 libkf6configwidgets-data           	       7       86        7        1       71
 4313 libkf6i18n-data                    	       7       95        6        1       81
 4314 libkf6statusnotifieritem-data      	       7       56        7        2       40
 4315 libkf6syntaxhighlighting6          	       7       39        2        0       30
 4316 libkf6textaddonswidgets1           	       7       11        2        0        2
 4317 libkf6textautocorrectioncore1      	       7       10        2        0        1
 4318 libkf6textcustomeditor1            	       7       11        2        0        2
 4319 libkf6textedittexttospeech1        	       7       11        2        0        2
 4320 libkf6textemoticonscore1           	       7       11        2        0        2
 4321 libkf6textemoticonswidgets1        	       7       11        2        0        2
 4322 libkf6walletbackend6               	       7       92       17        1       67
 4323 libkgantt2-l10n                    	       7      454       21        0      426
 4324 libkpim6akonadicalendar6           	       7        9        2        0        0
 4325 libkpim6akonadimime6               	       7       11        2        0        2
 4326 libkpim6akonadisearchpim6          	       7        9        2        0        0
 4327 libkpim6calendarutils6             	       7       10        2        0        1
 4328 libkpim6gravatar6                  	       7       10        2        0        1
 4329 libkpim6identitymanagementcore6    	       7       11        2        0        2
 4330 libkpim6identitymanagementwidgets6 	       7       10        2        0        1
 4331 libkpim6imap6                      	       7        9        2        0        0
 4332 libkpim6ldapcore6                  	       7       10        2        0        1
 4333 libkpim6libkdepim6                 	       7       10        2        0        1
 4334 libkpim6libkleo6                   	       7       10        2        0        1
 4335 libkpim6mailtransport6             	       7       10        2        0        1
 4336 libkpim6mbox6                      	       7       10        2        0        1
 4337 libkpim6messagecomposer6           	       7        9        2        0        0
 4338 libkpim6messagecore6               	       7       10        2        0        1
 4339 libkpim6messageviewer6             	       7       10        2        0        1
 4340 libkpim6mimetreeparser6            	       7       10        2        0        1
 4341 libkpim6pimcommon6                 	       7       10        2        0        1
 4342 libkpim6pimcommonakonadi6          	       7        9        2        0        0
 4343 libkpim6templateparser6            	       7        9        2        0        0
 4344 libkpim6textedit6                  	       7       11        2        0        2
 4345 libkpim6webengineviewer6           	       7       10        2        0        1
 4346 libksysguardformatter2             	       7       31       14        1        9
 4347 libksysguardsensorfaces1           	       7      510       26        0      477
 4348 libksysguardsensors1               	       7      511       27        0      477
 4349 libksysguardsystemstats1           	       7      430       24        0      399
 4350 liblc3-1                           	       7      213       11        2      193
 4351 liblog-agent-perl                  	       7       17       10        0        0
 4352 liblwp-useragent-determined-perl   	       7       43       35        1        0
 4353 libmail-authenticationresults-perl 	       7      103       96        0        0
 4354 libmariadb-dev                     	       7      133      115       11        0
 4355 libmatroska7                       	       7     1508       14        0     1487
 4356 libmbedtls12                       	       7      242       14        0      221
 4357 libmbedx509-0                      	       7      243       14        0      222
 4358 libmicrohttpd12                    	       7      178       11        0      160
 4359 libmirisdr0                        	       7       42       34        1        0
 4360 libmodule-pluggable-perl           	       7      323      314        2        0
 4361 libmono-system-windows-forms4.0-cil	       7      192      182        3        0
 4362 libmount-dev                       	       7      780      731       42        0
 4363 libmpfr-dev                        	       7      104       97        0        0
 4364 libnet-dbus-perl                   	       7     3211       11        0     3193
 4365 libnet-libidn2-perl                	       7       84       10        0       67
 4366 libnetfilter-queue1                	       7       41        6        0       28
 4367 libnih-dbus1                       	       7      130       20        0      103
 4368 libnih1                            	       7      130       20        0      103
 4369 libopenobex2                       	       7       38       31        0        0
 4370 libpackagekitqt6-1                 	       7       36       11        1       17
 4371 libpod-parser-perl                 	       7      403      391        5        0
 4372 libpostproc58                      	       7      231       29        0      195
 4373 libprocesscore10                   	       7       31       14        1        9
 4374 libproxy1-plugin-gsettings         	       7      135        6        0      122
 4375 libqgpgmeqt6-15                    	       7       15        2        0        6
 4376 libqt5concurrent5t64               	       7       99       12        0       80
 4377 libqt5waylandcompositor5           	       7     2022       30        0     1985
 4378 libqt6keychain1                    	       7       40        4        0       29
 4379 libqt6qmlmeta6                     	       7       30        0        1       22
 4380 libreoffice-l10n-it                	       7       75       60        8        0
 4381 libreoffice-report-builder-bin     	       7      799      703       89        0
 4382 libreoffice-uiconfig-impress       	       7      247      187       53        0
 4383 librlottie0-1                      	       7      144       32        0      105
 4384 librsvg2-bin                       	       7      177      166        4        0
 4385 libsdl1.2-dev                      	       7      192      180        5        0
 4386 libsdl2-dev                        	       7      222      208        7        0
 4387 libsemanage2                       	       7     3073        1        0     3065
 4388 libsepol2                          	       7     3077        1        0     3069
 4389 libsidplayfp6                      	       7      504       19        0      478
 4390 libspdlog1.10                      	       7      522       12        1      502
 4391 libsubid4                          	       7      122        1        0      114
 4392 libuim-scm0                        	       7       48       19        2       20
 4393 libuim8                            	       7       48       19        2       20
 4394 libunix-syslog-perl                	       7       36       12        0       17
 4395 libwebp-dev                        	       7      549      529       13        0
 4396 libwebpdecoder3                    	       7       99        4        0       88
 4397 libx265-209                        	       7      197       97        0       93
 4398 libxi-dev                          	       7      595      573       15        0
 4399 libxm4                             	       7      409       12        0      390
 4400 libxml-parser-perl                 	       7     3442       12        0     3423
 4401 libxmlrpc-epi0                     	       7       35        7        0       21
 4402 libyubikey-udev                    	       7       92       84        1        0
 4403 licensecheck                       	       7      193      183        3        0
 4404 lightdm-settings                   	       7       74       59        8        0
 4405 links                              	       7      196      183        6        0
 4406 linux-image-6.12.11-amd64          	       7       35       25        3        0
 4407 linux-image-6.12.12+bpo-amd64      	       7       13        0        6        0
 4408 llvm-19-linker-tools               	       7       45       35        3        0
 4409 lrzip                              	       7       69       61        1        0
 4410 lua-expat                          	       7      227        4        0      216
 4411 lua-filesystem                     	       7      108        4        0       97
 4412 lua-sec                            	       7       38        5        0       26
 4413 lutris                             	       7       73       66        0        0
 4414 lxqt-archiver                      	       7       38       31        0        0
 4415 lxsession-logout                   	       7      195      171       17        0
 4416 lynis                              	       7       67       58        2        0
 4417 lzip                               	       7      348      330       11        0
 4418 lzma                               	       7       87       80        0        0
 4419 m17n-db                            	       7      408      390       11        0
 4420 makedev                            	       7       63       56        0        0
 4421 mariadb-client-10.5                	       7       70       59        4        0
 4422 mariadb-client-core-10.1           	       7       45       38        0        0
 4423 mate-menu                          	       7       74       65        2        0
 4424 mate-sensors-applet-common         	       7      109        9        0       93
 4425 mercurial                          	       7      196      179       10        0
 4426 minidlna                           	       7       47       39        1        0
 4427 module-assistant                   	       7      138      124        7        0
 4428 monitoring-plugins-contrib         	       7       31       23        1        0
 4429 mono-gac                           	       7      312      295       10        0
 4430 mpack                              	       7      106       98        1        0
 4431 mtp-tools                          	       7      151      142        2        0
 4432 nasm                               	       7      171      161        3        0
 4433 ncompress                          	       7      134      121        6        0
 4434 netdiag                            	       7       43       36        0        0
 4435 network-manager-strongswan         	       7       27       20        0        0
 4436 nitrogen                           	       7       51       42        2        0
 4437 node-cacache                       	       7      190      180        3        0
 4438 node-rimraf                        	       7      214      204        3        0
 4439 node-semver                        	       7      216      206        3        0
 4440 octave                             	       7       86       78        1        0
 4441 oidentd                            	       7       15        8        0        0
 4442 openjdk-8-jre-headless             	       7      192      183        2        0
 4443 openprinting-ppds                  	       7      479      468        4        0
 4444 pbuilder                           	       7       86       77        2        0
 4445 php-fig-http-message-util          	       7       36       29        0        0
 4446 php-nikic-fast-route               	       7       37       30        0        0
 4447 php-symfony-polyfill-php80         	       7       41       34        0        0
 4448 php-webmozart-assert               	       7       39       31        1        0
 4449 php5-curl                          	       7       15        8        0        0
 4450 php7.0-curl                        	       7       14        7        0        0
 4451 php7.4-bz2                         	       7       24       17        0        0
 4452 php7.4-pgsql                       	       7       22       15        0        0
 4453 php8.2-cgi                         	       7       48       38        3        0
 4454 php8.2-memcached                   	       7       16        9        0        0
 4455 php8.2-msgpack                     	       7       18       11        0        0
 4456 plasma-discover-backend-flatpak    	       7       52       25        1       19
 4457 pngquant                           	       7       89       79        3        0
 4458 postfix-mysql                      	       7       28       21        0        0
 4459 postfix-pcre                       	       7       28       20        1        0
 4460 postgresql-9.6                     	       7       37       30        0        0
 4461 postgresql-client-9.4              	       7       31       24        0        0
 4462 power-profiles-daemon              	       7      342      301       34        0
 4463 pyqt6-dev-tools                    	       7      228      214        7        0
 4464 python-cffi-backend                	       7      138      131        0        0
 4465 python-pil                         	       7      164      155        2        0
 4466 python-zope.interface              	       7       42       35        0        0
 4467 python3-automat                    	       7      296      279       10        0
 4468 python3-certbot-nginx              	       7       26       19        0        0
 4469 python3-compizconfig               	       7       50       39        4        0
 4470 python3-deprecation                	       7      189      175        7        0
 4471 python3-gdal                       	       7      150      135        8        0
 4472 python3-gnucash                    	       7       80       71        2        0
 4473 python3-h11                        	       7      512      487       18        0
 4474 python3-html2text                  	       7      233      222        4        0
 4475 python3-invoke                     	       7      394      353       34        0
 4476 python3-jaraco.text                	       7      113       98        8        0
 4477 python3-jdcal                      	       7      102       95        0        0
 4478 python3-jedi                       	       7      334      322        5        0
 4479 python3-jinja2                     	       7      586      537       42        0
 4480 python3-mysqldb                    	       7       67       60        0        0
 4481 python3-nltk                       	       7      125      118        0        0
 4482 python3-parso                      	       7      334      322        5        0
 4483 python3-platformdirs               	       7      241      224       10        0
 4484 python3-pypdf2                     	       7       64       55        2        0
 4485 python3-pyqt5.qtquick              	       7      184      175        2        0
 4486 python3-pytest-cov                 	       7       38       30        1        0
 4487 python3-pythran                    	       7      419      406        6        0
 4488 python3-renderpm                   	       7      578      561        8        2
 4489 python3-rich                       	       7      494      468       19        0
 4490 python3-selinux                    	       7       74       63        4        0
 4491 python3-tinycss2                   	       7      381      339       35        0
 4492 python3-unidiff                    	       7      198      189        2        0
 4493 python3-virtualenv                 	       7      162      153        2        0
 4494 python3-watchdog                   	       7      175      167        1        0
 4495 python3.5                          	       7      205      197        1        0
 4496 qasmixer                           	       7       63       55        1        0
 4497 qemu-system-misc                   	       7      196      178       11        0
 4498 qml-module-org-kde-ksysguard       	       7      507       27        0      473
 4499 qml6-module-org-kde-notifications  	       7       31       14        1        9
 4500 qtspeech5-speechd-plugin           	       7     1088       34        9     1038
 4501 quilt                              	       7      166      157        2        0
 4502 r-cran-boot                        	       7      141      131        3        0
 4503 r-cran-class                       	       7      141      129        5        0
 4504 r-cran-cluster                     	       7      141      128        6        0
 4505 r-cran-codetools                   	       7      141      131        3        0
 4506 r-cran-foreign                     	       7      141      129        5        0
 4507 r-cran-kernsmooth                  	       7      141      129        5        0
 4508 r-cran-mass                        	       7      143      128        8        0
 4509 r-cran-matrix                      	       7      143      129        7        0
 4510 r-cran-mgcv                        	       7      143      132        4        0
 4511 r-cran-nlme                        	       7      143      131        5        0
 4512 r-cran-nnet                        	       7      141      129        5        0
 4513 r-cran-rpart                       	       7      141      129        5        0
 4514 r-cran-survival                    	       7      141      129        5        0
 4515 rclone                             	       7       87       78        2        0
 4516 rspamd                             	       7       16        9        0        0
 4517 rustc                              	       7       97       87        3        0
 4518 shellcheck                         	       7      104       95        2        0
 4519 sleuthkit                          	       7      175      161        7        0
 4520 slirp4netns                        	       7       64       55        2        0
 4521 sntp                               	       7      370      360        3        0
 4522 solaar                             	       7       36       29        0        0
 4523 spice-client-gtk                   	       7       51       44        0        0
 4524 spice-vdagent                      	       7       21       12        2        0
 4525 sweeper                            	       7      498      448       43        0
 4526 swish++                            	       7       33       26        0        0
 4527 sxiv                               	       7       70       61        2        0
 4528 symlinks                           	       7       39       31        1        0
 4529 syslog-ng-mod-examples             	       7       22       15        0        0
 4530 syslog-ng-mod-geoip2               	       7       22       15        0        0
 4531 syslog-ng-mod-http                 	       7       21       14        0        0
 4532 syslog-ng-mod-python               	       7       25       18        0        0
 4533 syslog-ng-mod-rdkafka              	       7       21       14        0        0
 4534 syslog-ng-mod-slog                 	       7       21       14        0        0
 4535 syslog-ng-mod-snmp                 	       7       21       14        0        0
 4536 syslog-ng-mod-stardate             	       7       21       14        0        0
 4537 syslog-ng-mod-xml-parser           	       7       21       14        0        0
 4538 tailscale                          	       7       14        6        1        0
 4539 tdelibs14-trinity                  	       7       41       31        3        0
 4540 texlive-font-utils                 	       7      260      245        8        0
 4541 texlive-plain-generic              	       7      419      400       12        0
 4542 thunderbird-l10n-en-gb             	       7       29       21        1        0
 4543 tp-smapi-dkms                      	       7       43       35        1        0
 4544 udev                               	       7     1973       53        1     1912
 4545 unace                              	       7       78       59        0       12
 4546 unifi                              	       7       19       12        0        0
 4547 unison                             	       7       95       86        2        0
 4548 universal-ctags                    	       7      121      110        4        0
 4549 util-vserver                       	       7       21       14        0        0
 4550 util-vserver-core                  	       7       21       14        0        0
 4551 v4l2loopback-dkms                  	       7       60       51        2        0
 4552 wspanish                           	       7      302      267       28        0
 4553 x11-xfs-utils                      	       7      148      141        0        0
 4554 x2goserver-x2goagent               	       7       64       56        1        0
 4555 xapps-common                       	       7      420      375       37        1
 4556 xdelta                             	       7      216      207        2        0
 4557 xdelta3                            	       7      216      207        2        0
 4558 xfce4-diskperf-plugin              	       7     1662       23        0     1632
 4559 xfce4-fsguard-plugin               	       7     1664       12        0     1645
 4560 xfonts-75dpi                       	       7     3058        7        0     3044
 4561 xorriso                            	       7      485      467       11        0
 4562 xscreensaver-gl                    	       7      322       69        0      246
 4563 xvfb                               	       7      173      138       28        0
 4564 a2ps                               	       6       72       66        0        0
 4565 accountwizard                      	       6      468      419       43        0
 4566 ace-of-penguins                    	       6       53       47        0        0
 4567 aide-common                        	       6       13        7        0        0
 4568 alpine                             	       6       69       60        3        0
 4569 amule                              	       6       76       66        4        0
 4570 apcupsd-doc                        	       6       45       39        0        0
 4571 apt-cacher-ng                      	       6       21       15        0        0
 4572 apt-dater-host                     	       6       28       22        0        0
 4573 aspell-es                          	       6      297      264       27        0
 4574 auctex                             	       6       33       26        1        0
 4575 barrier                            	       6       33       27        0        0
 4576 basic256                           	       6       37       31        0        0
 4577 bind9utils                         	       6      114       27        0       81
 4578 binutils-i686-linux-gnu            	       6      130      119        5        0
 4579 ca-certificates-mono               	       6      293      279        8        0
 4580 caja-rename                        	       6       59       47        2        4
 4581 catatonit                          	       6       40       34        0        0
 4582 ccrypt                             	       6       59       53        0        0
 4583 chafa                              	       6      414      385       23        0
 4584 clamav-milter                      	       6       12        6        0        0
 4585 cmst                               	       6      103       90        7        0
 4586 codeblocks                         	       6       66       59        1        0
 4587 comerr-dev                         	       6      196      185        5        0
 4588 connman-gtk                        	       6       94       82        6        0
 4589 conntrack                          	       6       41       34        1        0
 4590 context                            	       6       95       88        1        0
 4591 courier-base                       	       6       22       16        0        0
 4592 daemontools                        	       6       30       24        0        0
 4593 dictzip                            	       6       58       50        2        0
 4594 dnscrypt-proxy                     	       6       19       13        0        0
 4595 docker-compose                     	       6      113      100        5        2
 4596 docx2txt                           	       6       55       47        2        0
 4597 dstat                              	       6       51       45        0        0
 4598 dtach                              	       6       36       29        1        0
 4599 eatmydata                          	       6      103       95        2        0
 4600 echoping                           	       6       15        9        0        0
 4601 elpa-apache-mode                   	       6       41       34        1        0
 4602 elpa-company                       	       6       46       39        1        0
 4603 elpa-dash                          	       6       42       34        2        0
 4604 elpa-muttrc-mode                   	       6       41       34        1        0
 4605 emacs-common                       	       6      455      353       68       28
 4606 enchant                            	       6      299      290        3        0
 4607 fancontrol                         	       6      114      107        1        0
 4608 fastfetch                          	       6       43       28        9        0
 4609 fgetty                             	       6       26       20        0        0
 4610 firefox-esr-l10n-cs                	       6       30       18        6        0
 4611 firefox-esr-l10n-pl                	       6       52       39        7        0
 4612 firejail                           	       6       73       66        1        0
 4613 firmware-nvidia-graphics           	       6      104       86       12        0
 4614 fish                               	       6       97       88        3        0
 4615 fonts-adf-accanthis                	       6      186        5        0      175
 4616 fonts-adf-berenis                  	       6      183        5        0      172
 4617 fonts-baekmuk                      	       6      118        8        0      104
 4618 fonts-inter                        	       6      145       18        0      121
 4619 fonts-lohit-beng-bengali           	       6       70        2        0       62
 4620 fonts-nanum                        	       6       81       11        0       64
 4621 foomatic-db-compressed-ppds        	       6      478      467        5        0
 4622 fp-compiler-3.2.2                  	       6       87       81        0        0
 4623 fp-utils-3.2.2                     	       6       89       83        0        0
 4624 fusion-icon                        	       6       16        7        3        0
 4625 g++-6                              	       6      117      111        0        0
 4626 gamin                              	       6       65       14        0       45
 4627 gcc-4.9                            	       6      139      132        0        1
 4628 gcc-8                              	       6      167      160        1        0
 4629 gir1.2-gst-plugins-base-1.0        	       6     2032       51        1     1974
 4630 gnome-chess                        	       6      379      340       33        0
 4631 gnome-nibbles                      	       6      367      327       34        0
 4632 gnome-settings-daemon              	       6      431       65        8      352
 4633 gnome-shell-common                 	       6      197      166       22        3
 4634 gnote                              	       6      297      262       29        0
 4635 gnucash-common                     	       6       95       87        2        0
 4636 gnuplot-nox                        	       6       45       39        0        0
 4637 gr-funcube                         	       6       32       25        1        0
 4638 graphicsmagick                     	       6      118      108        4        0
 4639 groff                              	       6      166      159        1        0
 4640 gstreamer1.0-x                     	       6     3124        5        0     3113
 4641 guile-3.0                          	       6      120      111        3        0
 4642 handlebars                         	       6      179      170        3        0
 4643 hfsplus                            	       6      125      113        6        0
 4644 ipmitool                           	       6       95       89        0        0
 4645 ispanish                           	       6      289      257       26        0
 4646 juk                                	       6      488      441       41        0
 4647 junit                              	       6      160      153        1        0
 4648 kcontrol-trinity                   	       6       36       27        3        0
 4649 kdesktop-trinity                   	       6       37       28        3        0
 4650 keepassxc-full                     	       6       29       17        6        0
 4651 kglobalacceld                      	       6       54       33       15        0
 4652 kgpg                               	       6       67       60        1        0
 4653 kicker-trinity                     	       6       36       27        3        0
 4654 kio-extras-data                    	       6      680       21        2      651
 4655 kmag                               	       6      459      411       42        0
 4656 kmouth                             	       6      458      411       41        0
 4657 kolourpaint                        	       6      104       94        4        0
 4658 konqueror-trinity                  	       6       36       27        3        0
 4659 konsole-trinity                    	       6       35       26        3        0
 4660 kontrast                           	       6      431      383       42        0
 4661 kross                              	       6      525      479       40        0
 4662 ksmserver-trinity                  	       6       35       26        3        0
 4663 ldmtool                            	       6      112      103        3        0
 4664 lhasa                              	       6       58       52        0        0
 4665 liba52-0.7.4                       	       6     3100        7        0     3087
 4666 liba52-0.7.4-dev                   	       6      115      109        0        0
 4667 libakonadisearch-plugins           	       6        9        2        0        1
 4668 libapache2-mod-php7.3              	       6       38       32        0        0
 4669 libasn1-8-heimdal                  	       6       81        4        0       71
 4670 libatk1.0-dev                      	       6      428      409       13        0
 4671 libavdevice58                      	       6      407       14        0      387
 4672 libbamf3-2                         	       6      110       14        0       90
 4673 libbatterycontrol6                 	       6       30       14        0       10
 4674 libcdio-cdda2t64                   	       6      197       13        0      178
 4675 libcdio-paranoia2t64               	       6      197       13        0      178
 4676 libcfitsio10                       	       6      779       10        0      763
 4677 libclang1-15                       	       6       91       85        0        0
 4678 libclass-load-perl                 	       6      147      140        1        0
 4679 libconfig-general-perl             	       6      102       96        0        0
 4680 libdate-tz3                        	       6       90       11        1       72
 4681 libdatetime-format-builder-perl    	       6      193      185        2        0
 4682 libdbus-1-tqt                      	       6       44       17        3       18
 4683 libdistro-info-perl                	       6      261      250        5        0
 4684 libeudev-dev                       	       6      410      399        5        0
 4685 libexporter-lite-perl              	       6       72       65        1        0
 4686 libffmpegthumbnailer4v5            	       6      968       18        0      944
 4687 libfftw3-single3                   	       6     3376       13        0     3357
 4688 libfile-chdir-perl                 	       6      222      213        3        0
 4689 libfl-dev                          	       6      475      464        5        0
 4690 libfribidi-dev                     	       6      505      486       13        0
 4691 libfuse3-4                         	       6       10        0        1        3
 4692 libgcrypt20-dev                    	       6      179      170        3        0
 4693 libgdiplus                         	       6      246      239        1        0
 4694 libgeoclue-2-0                     	       6      563       56        8      493
 4695 libglibmm-2.68-1                   	       6      111       18        0       87
 4696 libgoa-backend-1.0-2               	       6       34        9        2       17
 4697 libgpg-error-dev                   	       6      196      187        3        0
 4698 libgs10                            	       6     2748        6        0     2736
 4699 libgtk-4-media-gstreamer           	       6      233       17        2      208
 4700 libgtk2.0-0t64                     	       6      227       15        0      206
 4701 libhcrypto5-heimdal                	       6       45        4        0       35
 4702 libheimbase1-heimdal               	       6       79        4        0       69
 4703 libhtml-selector-xpath-perl        	       6       99       91        2        0
 4704 libhtml-treebuilder-xpath-perl     	       6      105       97        2        0
 4705 libiio0                            	       6       43       35        1        1
 4706 libijs-0.35                        	       6     3590        8        0     3576
 4707 libio-sessiondata-perl             	       6      245      236        3        0
 4708 libio-socket-socks-perl            	       6      131      122        3        0
 4709 libipc-shareable-perl              	       6      356      346        4        0
 4710 libirs-export161                   	       6       48       13        0       29
 4711 libisccfg-export163                	       6       48       13        0       29
 4712 libjcode-pm-perl                   	       6      367      354        7        0
 4713 libkf6dbusaddons-bin               	       6       86       52       28        0
 4714 libkf6iconthemes-bin               	       6       79       45       28        0
 4715 libkf6kcmutils-bin                 	       6       70       39       25        0
 4716 libkf6parts6                       	       6       61        8        1       46
 4717 libkf6screendpms8                  	       6       38       14        1       17
 4718 libkf6xmlgui-data                  	       6       76        7        1       62
 4719 libkonq4-trinity                   	       6       37       28        3        0
 4720 libkpimgapicore5abi1               	       6      484       23        0      455
 4721 liblastfm5-1                       	       6      117       12        0       99
 4722 liblayershellqtinterface5          	       6      431       41        3      381
 4723 liblocale-gettext-perl             	       6     4163       18        0     4139
 4724 libmarkdown2                       	       6     1127       20        0     1101
 4725 libmbim-proxy                      	       6     2755      132        1     2616
 4726 libmd-dev                          	       6      176      167        3        0
 4727 libmikmod-dev                      	       6      115      109        0        0
 4728 libmono-corlib4.5-cil              	       6      311       45        0      260
 4729 libmono-system-drawing4.0-cil      	       6      242      233        3        0
 4730 libmono-system-numerics4.0-cil     	       6      309      293       10        0
 4731 libmono-system-web4.0-cil          	       6      123      115        2        0
 4732 libmousepad0                       	       6     1433       32        2     1393
 4733 libmozjs-102-0                     	       6      414       40        7      361
 4734 libmygpo-qt5-1                     	       6      116       12        0       98
 4735 libnettle6                         	       6      941       10        0      925
 4736 libnvidia-tesla-470-eglcore        	       6       17        6        0        5
 4737 libnvidia-tesla-470-glcore         	       6       17        6        0        5
 4738 libopenblas-pthread-dev            	       6      392      378        8        0
 4739 libopenconnect5                    	       6      702       27        0      669
 4740 libopusfile0                       	       6     1462       14        0     1442
 4741 liborcus-0.16-0                    	       6      453       17        0      430
 4742 liborcus-parser-0.16-0             	       6      453       17        0      430
 4743 libpam-ssh                         	       6       12        3        0        3
 4744 libpcrecpp0v5                      	       6      553       11        0      536
 4745 libpixman-1-dev                    	       6      607      588       13        0
 4746 libplank1                          	       6       52       21        0       25
 4747 libpolkit-tqt                      	       6       27       11        1        9
 4748 libportal1                         	       6      413       12        2      393
 4749 libpugixml1v5                      	       6      612       10        0      596
 4750 libpurple-bin                      	       6      405      367       32        0
 4751 libpython3.13-dev                  	       6       37       22        9        0
 4752 libpython3.9-dev                   	       6      181      170        4        1
 4753 libqtermwidget5-0                  	       6       76       11        0       59
 4754 libr1                              	       6       26       11        3        6
 4755 librecad                           	       6      104       98        0        0
 4756 libroken19-heimdal                 	       6       47        4        0       37
 4757 libsignal-protocol-c2.3.2          	       6       56        4        0       46
 4758 libsnmp-session-perl               	       6       27       21        0        0
 4759 libspreadsheet-xlsx-perl           	       6      100       92        2        0
 4760 libstoken1                         	       6      651       23        0      622
 4761 libstring-util-perl                	       6       82       74        2        0
 4762 libstrongswan-extra-plugins        	       6       16       10        0        0
 4763 libtask-weaken-perl                	       6      277      268        3        0
 4764 libtbb12                           	       6      542       12        0      524
 4765 libtcnative-1                      	       6       26        7        0       13
 4766 libterm-readkey-perl               	       6     1324        7        0     1311
 4767 libtest-fatal-perl                 	       6      178      171        1        0
 4768 libticables2-8                     	       6       20       14        0        0
 4769 libtinyxml2.6.2v5                  	       6      357       20        0      331
 4770 libtomcrypt1                       	       6      733       29        0      698
 4771 libtqt3-mt                         	       6       45       24        3       12
 4772 libtqtinterface                    	       6       44       25        3       10
 4773 libtracker-sparql-2.0-0            	       6      140       26        1      107
 4774 libuv1-dev                         	       6      236      225        5        0
 4775 libvncserver1                      	       6      285       19        0      260
 4776 libvpx8                            	       6       35        9        0       20
 4777 libwayland-bin                     	       6      459      434       19        0
 4778 libweather-ion7                    	       6      561       26        0      529
 4779 libweb-scraper-perl                	       6       98       90        2        0
 4780 libwutil5                          	       6       82       20        0       56
 4781 libx11-xcb-dev                     	       6      209      199        4        0
 4782 libxcb-ewmh2                       	       6      190       33        0      151
 4783 libxdamage-dev                     	       6      515      497       12        0
 4784 libxenmisc4.19                     	       6       11        3        1        1
 4785 libytnef0                          	       6      291        9        0      276
 4786 libz3-dev                          	       6      395      387        2        0
 4787 libzzip-0-13                       	       6      607       19        0      582
 4788 linux-image-6.1.0-32-amd64         	       6      150        0      144        0
 4789 llvm-14                            	       6      264      257        1        0
 4790 lua-unbound                        	       6       10        3        0        1
 4791 lua5.2                             	       6       52       46        0        0
 4792 lxdm                               	       6       45       39        0        0
 4793 lyx                                	       6       95       87        2        0
 4794 mariadb-server-10.5                	       6       78       50        4       18
 4795 mariadb-server-core-10.3           	       6       47       41        0        0
 4796 megasync                           	       6       32       25        1        0
 4797 memtest86+                         	       6      149      141        2        0
 4798 memtester                          	       6       82       75        1        0
 4799 moria                              	       6      951      895       50        0
 4800 mozo                               	       6      104       91        7        0
 4801 muffin                             	       6      302      266       30        0
 4802 munin-plugins-core                 	       6       75       68        1        0
 4803 network-manager-iodine             	       6       23       17        0        0
 4804 network-manager-sstp               	       6       20       14        0        0
 4805 newsboat                           	       6       46       38        2        0
 4806 node-babel7                        	       6      179      170        3        0
 4807 node-browserslist                  	       6      181      168        7        0
 4808 node-escodegen                     	       6      179      170        3        0
 4809 node-esprima                       	       6      184      175        3        0
 4810 node-istanbul                      	       6      179      170        3        0
 4811 node-jsesc                         	       6      180      171        3        0
 4812 node-json5                         	       6      182      173        3        0
 4813 node-regjsparser                   	       6      179      170        3        0
 4814 node-sshpk                         	       6       65       59        0        0
 4815 node-tape                          	       6      179      170        3        0
 4816 opendkim-tools                     	       6       38       32        0        0
 4817 openfortivpn                       	       6       64       56        2        0
 4818 openjdk-17-jre                     	       6     1045       17        0     1022
 4819 openmpi-bin                        	       6      191      181        4        0
 4820 pax                                	       6       73       67        0        0
 4821 phonon4qt5-backend-vlc             	       6      933       14        0      913
 4822 php-apcu                           	       6       70       12        0       52
 4823 php-cgi                            	       6       43       33        2        2
 4824 php-php-gettext                    	       6       36       30        0        0
 4825 php-slim-psr7                      	       6       36       27        3        0
 4826 php-symfony-yaml                   	       6       36       29        1        0
 4827 php7.0-bz2                         	       6       14        8        0        0
 4828 php7.0-zip                         	       6       17       11        0        0
 4829 php7.3-pgsql                       	       6       15        9        0        0
 4830 php7.4-gmp                         	       6       15        9        0        0
 4831 php7.4-ldap                        	       6       15        9        0        0
 4832 php8.0-common                      	       6       18       12        0        0
 4833 php8.0-opcache                     	       6       18       12        0        0
 4834 php8.0-readline                    	       6       18       12        0        0
 4835 php8.2-tidy                        	       6       15        9        0        0
 4836 php8.2-xmlrpc                      	       6       11        5        0        0
 4837 pim-data-exporter                  	       6      456      407       43        0
 4838 pixz                               	       6      210      198        6        0
 4839 plank                              	       6       50       42        2        0
 4840 plasma-welcome                     	       6       27       20        1        0
 4841 polkit-agent-tde                   	       6       26       17        3        0
 4842 postgresql-16                      	       6       12        6        0        0
 4843 postgresql-client-9.6              	       6       54       48        0        0
 4844 powertop                           	       6     1592     1485      101        0
 4845 pptp-linux                         	       6       63       57        0        0
 4846 procinfo                           	       6       43       36        1        0
 4847 pstoedit                           	       6      265      254        5        0
 4848 pure-ftpd                          	       6       12        6        0        0
 4849 python-configparser                	       6       42       36        0        0
 4850 python-enum34                      	       6      151      145        0        0
 4851 python-ipaddr                      	       6       24       18        0        0
 4852 python-ipaddress                   	       6      138      132        0        0
 4853 python-xapian                      	       6       27       21        0        0
 4854 python3-dnspython                  	       6      606      584       16        0
 4855 python3-docopt                     	       6      202      187        9        0
 4856 python3-dotenv                     	       6      191      177        8        0
 4857 python3-ipython                    	       6      300      291        3        0
 4858 python3-keyring                    	       6      297      282        9        0
 4859 python3-keyutils                   	       6       24       18        0        0
 4860 python3-livereload                 	       6      124      118        0        0
 4861 python3-lzo                        	       6       64       58        0        0
 4862 python3-magic                      	       6      331      319        6        0
 4863 python3-mdurl                      	       6      493      469       18        0
 4864 python3-newt                       	       6       78       66        6        0
 4865 python3-phply                      	       6       43       37        0        0
 4866 python3-pyqt5.qtwebengine          	       6      222      208        8        0
 4867 python3-scour                      	       6      594      578       10        0
 4868 python3-socks                      	       6      203      196        1        0
 4869 python3-sortedcontainers           	       6      196      189        1        0
 4870 python3-sphinx-rtd-theme           	       6       44       38        0        0
 4871 python3-xlsxwriter                 	       6       24       18        0        0
 4872 python3-zmq                        	       6      235      221        8        0
 4873 qemu-system-arm                    	       6      218      199       13        0
 4874 qemu-system-mips                   	       6      188      171       11        0
 4875 qemu-system-ppc                    	       6      195      178       11        0
 4876 qemu-system-sparc                  	       6      189      172       11        0
 4877 qml-module-org-kde-kcm             	       6      959       39        6      908
 4878 qpdf                               	       6      243      226       11        0
 4879 qtermwidget5-data                  	       6      319       17        4      292
 4880 qttools5-dev-tools                 	       6      212      190        3       13
 4881 r-cran-assertthat                  	       6       41       35        0        0
 4882 r-cran-littler                     	       6       46       39        1        0
 4883 r-cran-r6                          	       6       47       41        0        0
 4884 rcconf                             	       6       62       56        0        0
 4885 rdnssd                             	       6       21       14        1        0
 4886 ruby2.3                            	       6       65       59        0        0
 4887 sane                               	       6      146      139        1        0
 4888 scrub                              	       6      119      110        3        0
 4889 sharutils                          	       6      188      177        5        0
 4890 shorewall                          	       6       20       14        0        0
 4891 shorewall-core                     	       6       20       11        0        3
 4892 signal-desktop                     	       6      168       32        0      130
 4893 simplescreenrecorder               	       6      164      153        5        0
 4894 slashem-common                     	       6       26       20        0        0
 4895 softflowd                          	       6       14        8        0        0
 4896 sshguard                           	       6       25       19        0        0
 4897 ssmtp                              	       6       28       21        1        0
 4898 swaylock                           	       6       37       28        3        0
 4899 syslog-ng-mod-amqp                 	       6       23       17        0        0
 4900 syslog-ng-mod-redis                	       6       23       17        0        0
 4901 syslog-ng-mod-smtp                 	       6       23       17        0        0
 4902 syslog-ng-mod-stomp                	       6       23       17        0        0
 4903 tap-plugins                        	       6       81       74        1        0
 4904 tdebase-tdeio-plugins-trinity      	       6       37       28        3        0
 4905 tdebase-trinity-bin                	       6       38       29        3        0
 4906 tdelibs-data-trinity               	       6       42       33        3        0
 4907 tdm-trinity                        	       6       34       25        3        0
 4908 texlive-lang-greek                 	       6      262      247        9        0
 4909 texlive-pstricks                   	       6      215      204        5        0
 4910 thunderbird-l10n-fr                	       6       34       27        1        0
 4911 tripwire                           	       6       22       15        1        0
 4912 ttf-mscorefonts-installer          	       6      282      271        5        0
 4913 tumbler-common                     	       6     1843       68        7     1762
 4914 twin-trinity                       	       6       36       27        3        0
 4915 udiskie                            	       6       28       21        1        0
 4916 uim                                	       6       37       29        2        0
 4917 uim-xim                            	       6       37       29        2        0
 4918 units                              	       6       75       67        2        0
 4919 unpaper                            	       6      141      133        2        0
 4920 unrtf                              	       6       37       31        0        0
 4921 unscd                              	       6       16       10        0        0
 4922 vainfo                             	       6      120      107        7        0
 4923 variety                            	       6       29       22        1        0
 4924 viewnior                           	       6       55       48        1        0
 4925 vim-addon-manager                  	       6      134      127        1        0
 4926 w3m-img                            	       6      419      394       19        0
 4927 webalizer                          	       6       24       18        0        0
 4928 wireshark-qt                       	       6      280      272        2        0
 4929 wmaker                             	       6       67       61        0        0
 4930 x2goserver-fmbindings              	       6       62       55        1        0
 4931 x2goserver-printing                	       6       62       55        1        0
 4932 xfce4-notes                        	       6      180      167        7        0
 4933 xserver-xorg-video-dummy           	       6      125      119        0        0
 4934 xserver-xorg-video-nvidia-tesla-470	       6       16       10        0        0
 4935 xwaylandvideobridge                	       6       20       12        2        0
 4936 youtubedl-gui                      	       6       53       47        0        0
 4937 zim                                	       6       63       56        1        0
 4938 2to3                               	       5       78       72        1        0
 4939 abcde                              	       5       93       86        2        0
 4940 abiword                            	       5       99       91        3        0
 4941 adequate                           	       5       26       20        1        0
 4942 alacritty                          	       5       45       38        2        0
 4943 alsamixergui                       	       5      192      184        3        0
 4944 analog                             	       5      308      285       18        0
 4945 apulse                             	       5      121      116        0        0
 4946 arp-scan                           	       5       99       94        0        0
 4947 arping                             	       5       70       64        1        0
 4948 arpwatch                           	       5       23       18        0        0
 4949 atril-common                       	       5     2008        9        0     1994
 4950 autogen                            	       5       54       49        0        0
 4951 avidemux-plugins                   	       5       31       23        3        0
 4952 avidemux-qt                        	       5       30       22        3        0
 4953 avr-libc                           	       5      132      126        1        0
 4954 awesome                            	       5       48       41        2        0
 4955 barcode                            	       5       53       45        3        0
 4956 bat                                	       5       41       34        2        0
 4957 biber                              	       5      116      110        1        0
 4958 borgbackup                         	       5       67       62        0        0
 4959 brasero                            	       5      369      331       33        0
 4960 bumblebee                          	       5       20       15        0        0
 4961 caja-share                         	       5       19        7        0        7
 4962 clamsmtp                           	       5        7        2        0        0
 4963 clzip                              	       5       29       21        3        0
 4964 colordiff                          	       5       72       66        1        0
 4965 compton                            	       5       62       54        3        0
 4966 conntrackd                         	       5        7        2        0        0
 4967 copyq                              	       5       21       16        0        0
 4968 copyq-plugins                      	       5       18       13        0        0
 4969 cowsay                             	       5     1039      980       54        0
 4970 cpp-13-x86-64-linux-gnu            	       5      127      120        2        0
 4971 cryptmount                         	       5       40       33        2        0
 4972 dblatex                            	       5       78       72        1        0
 4973 distro-info                        	       5      136      127        4        0
 4974 dnsmasq                            	       5      149      142        2        0
 4975 dpkg-repack                        	       5       48       42        1        0
 4976 dropbox                            	       5       32       27        0        0
 4977 edac-utils                         	       5       29       24        0        0
 4978 elpa-bar-cursor                    	       5       39       33        1        0
 4979 elpa-bm                            	       5       37       31        1        0
 4980 elpa-boxquote                      	       5       37       31        1        0
 4981 elpa-browse-kill-ring              	       5       39       33        1        0
 4982 elpa-csv-mode                      	       5       42       34        3        0
 4983 elpa-diminish                      	       5       41       35        1        0
 4984 elpa-eproject                      	       5       37       31        1        0
 4985 elpa-folding                       	       5       39       33        1        0
 4986 elpa-helm                          	       5       40       34        1        0
 4987 elpa-helm-core                     	       5       40       34        1        0
 4988 elpa-initsplit                     	       5       37       31        1        0
 4989 elpa-let-alist                     	       5       18       13        0        0
 4990 elpa-mutt-alias                    	       5       37       31        1        0
 4991 elpa-pod-mode                      	       5       37       31        1        0
 4992 elpa-session                       	       5       37       31        1        0
 4993 elpa-tabbar                        	       5       38       32        1        0
 4994 empire                             	       5      962      907       50        0
 4995 eskatos-monitoring-plugins         	       5       15       10        0        0
 4996 eskatos-reboot-notifier            	       5       15       10        0        0
 4997 eslint                             	       5      169      161        3        0
 4998 ethstatus                          	       5       28       22        1        0
 4999 evtest                             	       5      108       99        3        1
 5000 exiftags                           	       5       44       38        1        0
 5001 exiftran                           	       5      194      186        3        0
 5002 ext4magic                          	       5       59       54        0        0
 5003 extrepo                            	       5       78       58       15        0
 5004 fairymax                           	       5       58       53        0        0
 5005 fastboot                           	       5      179      173        1        0
 5006 fastjar                            	       5      103       98        0        0
 5007 festival                           	       5      111      103        3        0
 5008 firmware-ath9k-htc                 	       5      267      244       18        0
 5009 firmware-brcm80211                 	       5      230      214       11        0
 5010 fonts-arphic-bkai00mp              	       5      118        8        0      105
 5011 fonts-ebgaramond-extra             	       5      204        2        0      197
 5012 fonts-gujr-extra                   	       5       59        4        0       50
 5013 fonts-lohit-telu                   	       5       60        1        0       54
 5014 fonts-powerline                    	       5       50        8        0       37
 5015 fonts-smc-meera                    	       5       30        3        0       22
 5016 fonts-texgyre-math                 	       5      421       15        0      401
 5017 fp-ide-3.2.2                       	       5       75       70        0        0
 5018 ftp                                	       5     1280      448        5      822
 5019 gcc-13                             	       5      140      133        2        0
 5020 gcc-avr                            	       5      135      129        1        0
 5021 gconf2-common                      	       5      472        7        0      460
 5022 gcr4                               	       5      194      163       26        0
 5023 gfortran-10                        	       5      172      166        1        0
 5024 ghc                                	       5      101       91        5        0
 5025 gir1.2-gdesktopenums-3.0           	       5      478       48        8      417
 5026 gir1.2-gdm-1.0                     	       5      188       48        8      127
 5027 gir1.2-gnomebluetooth-3.0          	       5      147       44        8       90
 5028 gir1.2-gnomedesktop-3.0            	       5      425       44        7      369
 5029 gir1.2-gweather-4.0                	       5      158       44        8      101
 5030 gir1.2-malcontent-0                	       5      400       45        8      342
 5031 gir1.2-mutter-11                   	       5      133       39        7       82
 5032 gkbd-capplet                       	       5      447      404       38        0
 5033 gkrellm-cpufreq                    	       5       31       25        1        0
 5034 gkrellmoon                         	       5       26       20        1        0
 5035 gkrelltop                          	       5       24       19        0        0
 5036 glances                            	       5       43       38        0        0
 5037 gnome-calendar                     	       5      132      113       14        0
 5038 gnome-session-common               	       5      190      159       19        7
 5039 gnome-sudoku                       	       5      389      349       35        0
 5040 gnome-taquin                       	       5      368      329       34        0
 5041 gnome-tetravex                     	       5      378      339       34        0
 5042 gobject-introspection              	       5      163      151        7        0
 5043 gpsd-tools                         	       5       76       70        1        0
 5044 grass-core                         	       5       56       49        2        0
 5045 greed                              	       5      965      909       51        0
 5046 greybird-gtk-theme                 	       5      199       17        4      173
 5047 gsimplecal                         	       5       15        9        1        0
 5048 gstreamer1.0-tools                 	       5      148      132       11        0
 5049 gtk3-automnemonics                 	       5       20        9        0        6
 5050 gyp                                	       5      201      193        3        0
 5051 handbrake-cli                      	       5       73       66        2        0
 5052 haproxy                            	       5       15       10        0        0
 5053 hexchat-lua                        	       5      299        9        0      285
 5054 hexchat-perl                       	       5      376       10        0      361
 5055 hexchat-plugins                    	       5      376       10        0      361
 5056 hexedit                            	       5       90       81        4        0
 5057 hitori                             	       5      376      338       33        0
 5058 hoichess                           	       5      388      348       35        0
 5059 hping3                             	       5       47       41        1        0
 5060 ifupdown-extra                     	       5       14        9        0        0
 5061 inetutils-inetd                    	       5       31       26        0        0
 5062 intltool                           	       5      189      178        6        0
 5063 iputils-tracepath                  	       5       91       85        1        0
 5064 ipython3                           	       5      127      122        0        0
 5065 isenkram-cli                       	       5       24       17        2        0
 5066 iwgtk                              	       5        9        4        0        0
 5067 jackd2                             	       5      163      155        3        0
 5068 jp2a                               	       5      371      347       19        0
 5069 kazam                              	       5       54       49        0        0
 5070 kdenlive                           	       5      140      131        4        0
 5071 keepass2                           	       5       69       64        0        0
 5072 kmailtransport-akonadi             	       5      464       12        0      447
 5073 kmix-trinity                       	       5       32       25        2        0
 5074 kmousetool                         	       5      451      404       42        0
 5075 konqueror-nsplugins-trinity        	       5       35       27        3        0
 5076 konwert                            	       5      246      219       22        0
 5077 kpackagetool6                      	       5       56       30       21        0
 5078 krb5-multidev                      	       5      166      152        9        0
 5079 ksplash-trinity                    	       5       36       28        3        0
 5080 latex-cjk-common                   	       5       96       90        1        0
 5081 libapache2-mod-geoip               	       5        7        2        0        0
 5082 libapache2-mod-php7.0              	       5       20       15        0        0
 5083 libapache2-mod-php8.4              	       5        8        2        1        0
 5084 libaqbanking44                     	       5       99        1        0       93
 5085 libatlas3-base                     	       5      161       12        0      144
 5086 libbaloowidgets-bin                	       5       22       15        2        0
 5087 libblas-dev                        	       5      183      175        3        0
 5088 libcdr-0.1-1                       	       5     2859        5        1     2848
 5089 libclamunrar11                     	       5       17       10        0        2
 5090 libconfig-grammar-perl             	       5       17       12        0        0
 5091 libcourier-unicode4                	       5       32        3        0       24
 5092 libdata-perl-perl                  	       5      110      105        0        0
 5093 libdav1d5                          	       5       35       15        0       15
 5094 libddccontrol0                     	       5       19        9        0        5
 5095 libdlt2                            	       5       25        7        0       13
 5096 libdvbpsi10                        	       5     1634       12        0     1617
 5097 libemail-address-perl              	       5       52       47        0        0
 5098 libfcft4                           	       5       43        3        1       34
 5099 libfinance-quote-perl              	       5      105       97        3        0
 5100 libfltk-images1.3                  	       5      288        2        0      281
 5101 libfmt10                           	       5       92        6        0       81
 5102 libglx-nvidia-tesla-470-0          	       5       17        6        0        6
 5103 libgnome-bluetooth-3.0-13          	       5      331       48        8      270
 5104 libgsl27                           	       5      741        3        0      733
 5105 libgslcblas0                       	       5     1049        3        0     1041
 5106 libgwengui-gtk3-79                 	       5       84        1        0       78
 5107 libgwenhywfar79                    	       5       96        1        0       90
 5108 libhogweed4                        	       5      939        9        0      925
 5109 libio-compress-lzma-perl           	       5       28       23        0        0
 5110 libjbig-dev                        	       5      597      579       13        0
 5111 libjs-popper.js                    	       5      325      316        4        0
 5112 libkcolorpicker0                   	       5      528        9        0      514
 5113 libkdecorations3private2           	       5        7        0        0        2
 5114 libkf5akonadisearchdebug5          	       5      470       16        0      449
 5115 libkf5grantleetheme-plugins        	       5      522        7        1      509
 5116 libkf5kmanagesieve5                	       5      474       11        0      458
 5117 libkf5ksieve5                      	       5      478       11        0      462
 5118 libkf5ksieveui5                    	       5      472       11        0      456
 5119 libkf5texteditor-bin               	       5      665      615       45        0
 5120 libkf6filemetadata3                	       5       45       11        1       28
 5121 libkf6qqc2desktopstyle-data        	       5       51        6        1       39
 5122 libkf6userfeedback-data            	       5       38        7        1       25
 5123 libkimageannotator0                	       5      523        9        0      509
 5124 libkirigami-data                   	       5       85        7        1       72
 5125 libkmime-data                      	       5       13        0        0        8
 5126 libkpimsmtp5abi1                   	       5      485       12        0      468
 5127 libkrb5-dev                        	       5      151      137        9        0
 5128 libkscreen-data                    	       5       40        9        1       25
 5129 libkvazaar6                        	       5       20       12        0        3
 5130 liblerc-dev                        	       5      491      474       12        0
 5131 liblo7                             	       5      205        1        0      199
 5132 liblog-dispatch-perl               	       5      354      345        4        0
 5133 libmail-imapclient-perl            	       5       43       37        1        0
 5134 libmodule-build-perl               	       5      154      149        0        0
 5135 libmono-2.0-dev                    	       5       93       86        2        0
 5136 libmono-accessibility4.0-cil       	       5      192      184        3        0
 5137 libmoox-handlesvia-perl            	       5      110      105        0        0
 5138 libmutter-11-0                     	       5      306       40        7      254
 5139 libnet-patricia-perl               	       5       32       13        0       14
 5140 libnode108                         	       5      259       15        0      239
 5141 libodbcinst2                       	       5      582        6        0      571
 5142 libofx7                            	       5      105        1        0       99
 5143 libogdi4.1                         	       5      625      547       10       63
 5144 libogg-dev                         	       5      310      302        3        0
 5145 libopenh264-6                      	       5       30       12        0       13
 5146 libosp5                            	       5      328        1        0      322
 5147 liboxygenstyle5-5                  	       5      527       25        1      496
 5148 libp8-platform2                    	       5      109       10        0       94
 5149 libpackage-deprecationmanager-perl 	       5      122      117        0        0
 5150 libpam-krb5                        	       5       18       11        0        2
 5151 libphodav-2.0-0                    	       5      150        6        0      139
 5152 libphodav-3.0-0                    	       5      327        8        0      314
 5153 libpipeline1                       	       5     4144       14        1     4124
 5154 libpskc0                           	       5      529       22        0      502
 5155 libpulse-dev                       	       5      390      374       11        0
 5156 libpython2.7-dev                   	       5      133      128        0        0
 5157 libqalculate20                     	       5      114       10        0       99
 5158 libqscintilla2-qt5-15              	       5      273        4        0      264
 5159 libqt5opengl5                      	       5     1245       13        0     1227
 5160 libqt5opengl5-dev                  	       5      299      283       11        0
 5161 libqt5sql5t64                      	       5      154       25        0      124
 5162 libqt5virtualkeyboard5             	       5      510       40        1      464
 5163 libqt6labsplatform6                	       5       18        0        0       13
 5164 libqt6openglwidgets6               	       5      368        2        0      361
 5165 libreoffice-l10n-pl                	       5       56       44        7        0
 5166 libreoffice-uiconfig-draw          	       5      247      187       55        0
 5167 librevenge-0.0-0                   	       5     2927        7        1     2914
 5168 librrds-perl                       	       5       80       11        0       64
 5169 libsdl1.2debian                    	       5     1941       10        1     1925
 5170 libsixel-bin                       	       5      358      333       20        0
 5171 libslang2-dev                      	       5      238      231        2        0
 5172 libsoup-3.0-common                 	       5     2532       11        1     2515
 5173 libspandsp2                        	       5     2868       15        0     2848
 5174 libspf2-2                          	       5       22        9        0        8
 5175 libsvn1                            	       5      497       12        0      480
 5176 libtext-charwidth-perl             	       5     4148       11        0     4132
 5177 libtime-parsedate-perl             	       5       32       26        1        0
 5178 libtinfo5                          	       5      934        9        0      920
 5179 libtss2-tctildr0                   	       5      758       23        0      730
 5180 libuim-custom2                     	       5       39       17        2       15
 5181 libunibreak5                       	       5       56        3        0       48
 5182 libupsclient6                      	       5       48       16        0       27
 5183 libvirt-daemon-common              	       5       28       19        4        0
 5184 libvisio-0.1-1                     	       5     2861        5        1     2850
 5185 libvorbis-dev                      	       5      284      277        2        0
 5186 libvulkan-dev                      	       5      414      398       10        1
 5187 libwebservice-musicbrainz-perl     	       5      102       95        2        0
 5188 libwings3                          	       5       82       15        0       62
 5189 libwnck-common                     	       5      383       19        1      358
 5190 libwpd-0.10-10                     	       5     2908        7        1     2895
 5191 libwpg-0.3-3                       	       5     2906        7        1     2893
 5192 libwraster6                        	       5       79       15        0       59
 5193 libwxbase3.0-0v5                   	       5      375        6        0      364
 5194 libxaw7-dev                        	       5       97       91        1        0
 5195 libxerces-c3.2                     	       5      976       11        0      960
 5196 libxml-dom-perl                    	       5       48       43        0        0
 5197 libxml-perl                        	       5       57       52        0        0
 5198 libxml-regexp-perl                 	       5       50       45        0        0
 5199 libxml-writer-perl                 	       5      152      146        1        0
 5200 libxmlb1                           	       5       79       25        1       48
 5201 libxmlrpc-lite-perl                	       5      236      228        3        0
 5202 libxmlsec1-openssl                 	       5      652       22        0      625
 5203 libxmu-headers                     	       5      163      157        1        0
 5204 libxss-dev                         	       5      357      349        3        0
 5205 libxtrxll0                         	       5       31       25        1        0
 5206 libzip5                            	       5       89        2        0       82
 5207 lightsoff                          	       5      374      335       34        0
 5208 lighttpd-mod-deflate               	       5       53       46        2        0
 5209 lilo                               	       5       38       33        0        0
 5210 linux-headers-6.1.0-28-common      	       5      191      185        1        0
 5211 linux-headers-6.1.0-30-amd64       	       5      116      110        1        0
 5212 linux-headers-6.1.0-30-common      	       5      119      113        1        0
 5213 linux-image-6.1.0-17-amd64         	       5      120      114        0        1
 5214 llvm                               	       5       99       89        5        0
 5215 llvm-14-tools                      	       5      259      253        1        0
 5216 lpr                                	       5       27       22        0        0
 5217 lua-bitop                          	       5      240        8        0      227
 5218 lua-cjson                          	       5       27        8        0       14
 5219 lua5.4                             	       5       48       43        0        0
 5220 matanza                            	       5      967      912       50        0
 5221 mate-tweak                         	       5      114      103        6        0
 5222 mbox-importer                      	       5      455      406       44        0
 5223 mcrypt                             	       5       46       41        0        0
 5224 mda-lv2                            	       5       18       12        0        1
 5225 mdns-scan                          	       5       35       29        1        0
 5226 mediainfo-gui                      	       5       77       72        0        0
 5227 melt                               	       5      193      184        4        0
 5228 memstat                            	       5       30       24        1        0
 5229 mencoder                           	       5      146      134        7        0
 5230 mesa-common-dev                    	       5      206      191       10        0
 5231 meson                              	       5      199      188        6        0
 5232 metacity                           	       5      102       87       10        0
 5233 microcom                           	       5       18       13        0        0
 5234 minisat                            	       5      100       93        2        0
 5235 mkvtoolnix                         	       5      114      104        5        0
 5236 mono-utils                         	       5       72       61        6        0
 5237 myspell-es                         	       5      206      177       24        0
 5238 netdiscover                        	       5       40       33        2        0
 5239 network-manager-fortisslvpn        	       5       44       38        1        0
 5240 ngrep                              	       5       39       33        1        0
 5241 nm-tray                            	       5       40       31        4        0
 5242 node-ajv                           	       5      211      203        3        0
 5243 node-gyp                           	       5      192      184        3        0
 5244 node-opener                        	       5      187      179        3        0
 5245 node-postcss                       	       5      180      163       12        0
 5246 odbcinst                           	       5      263      257        1        0
 5247 openjdk-21-jre-headless            	       5       98       86        7        0
 5248 pcapfix                            	       5       20       14        1        0
 5249 pcaputils                          	       5       25       18        2        0
 5250 pdfcrack                           	       5       49       43        1        0
 5251 pdfgrep                            	       5       67       62        0        0
 5252 php-auth-sasl                      	       5       30       25        0        0
 5253 php-mail-mime                      	       5       30       25        0        0
 5254 php-net-smtp                       	       5       31       26        0        0
 5255 php-net-socket                     	       5       32       27        0        0
 5256 php-symfony-process                	       5       52       46        1        0
 5257 php-wikidiff2                      	       5       13        8        0        0
 5258 php5-mcrypt                        	       5       15        9        0        1
 5259 php5.6-cli                         	       5       11        6        0        0
 5260 php5.6-common                      	       5       12        7        0        0
 5261 php5.6-curl                        	       5        8        3        0        0
 5262 php5.6-json                        	       5       11        6        0        0
 5263 php5.6-opcache                     	       5       11        6        0        0
 5264 php5.6-readline                    	       5       11        6        0        0
 5265 php7.0-fpm                         	       5       12        7        0        0
 5266 php7.0-mcrypt                      	       5       12        7        0        0
 5267 php7.3-bcmath                      	       5        8        3        0        0
 5268 php7.3-bz2                         	       5        8        3        0        0
 5269 php7.3-fpm                         	       5       13        8        0        0
 5270 php7.3-soap                        	       5       11        6        0        0
 5271 php7.4-bcmath                      	       5       18       13        0        0
 5272 php8.0-gd                          	       5       13        8        0        0
 5273 php8.0-intl                        	       5       13        8        0        0
 5274 php8.0-mbstring                    	       5       13        8        0        0
 5275 php8.0-xml                         	       5       15       10        0        0
 5276 php8.0-zip                         	       5       11        6        0        0
 5277 php8.2-memcache                    	       5       15       10        0        0
 5278 php8.4-mbstring                    	       5        7        1        1        0
 5279 php8.4-mysql                       	       5        7        1        1        0
 5280 picocom                            	       5       47       42        0        0
 5281 pidgin-plugin-pack                 	       5       31       23        0        3
 5282 pinentry-qt                        	       5      179      173        1        0
 5283 postfix-policyd-spf-perl           	       5        6        1        0        0
 5284 postgrey                           	       5       23       18        0        0
 5285 printer-driver-brlaser             	       5      572      557       10        0
 5286 printer-driver-hpijs               	       5      248      239        3        1
 5287 pristine-tar                       	       5      200      193        2        0
 5288 prometheus-node-exporter           	       5        8        3        0        0
 5289 pulseaudio-module-jack             	       5       33       28        0        0
 5290 pulsemixer                         	       5       81       74        2        0
 5291 pure-ftpd-common                   	       5       27       22        0        0
 5292 pysolfc                            	       5       21       16        0        0
 5293 python-debianbts                   	       5      114       59        0       50
 5294 python-dnspython                   	       5       89       84        0        0
 5295 python-egenix-mxdatetime           	       5       33       27        1        0
 5296 python-gi-cairo                    	       5      106      100        1        0
 5297 python-httplib2                    	       5       76       71        0        0
 5298 python-idna                        	       5      117      112        0        0
 5299 python-libvirt                     	       5       21       16        0        0
 5300 python-openssl                     	       5      118      113        0        0
 5301 python-psycopg2                    	       5       28       22        1        0
 5302 python-pyasn1                      	       5       98       93        0        0
 5303 python-requests                    	       5       80       75        0        0
 5304 python-urllib3                     	       5       90       84        0        1
 5305 python2.7-dev                      	       5      124      119        0        0
 5306 python3-anyio                      	       5      484      453       26        0
 5307 python3-brotlicffi                 	       5       27       20        2        0
 5308 python3-css-parser                 	       5      269      260        4        0
 5309 python3-gst-1.0                    	       5      214      205        4        0
 5310 python3-h2                         	       5      425      414        6        0
 5311 python3-hpack                      	       5      425      414        6        0
 5312 python3-httpcore                   	       5      476      452       19        0
 5313 python3-hyperframe                 	       5      425      414        6        0
 5314 python3-hyperlink                  	       5      296      282        9        0
 5315 python3-inflect                    	       5      232      209       18        0
 5316 python3-jaraco.classes             	       5      231      218        8        0
 5317 python3-jaraco.context             	       5      237      188       44        0
 5318 python3-lazr.restfulclient         	       5      855      776       74        0
 5319 python3-lazr.uri                   	       5      858      769       84        0
 5320 python3-lz4                        	       5      521      489       27        0
 5321 python3-opengl                     	       5      196      184        7        0
 5322 python3-pyasn1                     	       5      454      435       14        0
 5323 python3-pycountry                  	       5       74       69        0        0
 5324 python3-pyqt5.qtwebkit             	       5      238      229        4        0
 5325 python3-send2trash                 	       5      112      103        4        0
 5326 python3-sip                        	       5      554      519       30        0
 5327 python3-tornado                    	       5      730      668       57        0
 5328 python3-wadllib                    	       5      857      778       74        0
 5329 python3-websocket                  	       5      212      199        8        0
 5330 python3-xlib                       	       5      468      420       43        0
 5331 python3.12                         	       5      189      179        5        0
 5332 python3.9-dev                      	       5      175      164        5        1
 5333 pyzor                              	       5       21       16        0        0
 5334 qemu-block-extra                   	       5      478      391       14       68
 5335 qgis                               	       5       44       37        2        0
 5336 qiv                                	       5       45       40        0        0
 5337 qjackctl                           	       5      157      150        2        0
 5338 qml-module-qtquick-virtualkeyboard 	       5      523       40        1      477
 5339 qml6-module-qtqml-workerscript     	       5      152       18        1      128
 5340 qt5-style-kvantum                  	       5       55       49        1        0
 5341 qt6-base-dev-tools                 	       5      152      134       13        0
 5342 qutebrowser                        	       5       55       48        2        0
 5343 r-cran-cli                         	       5       45       39        1        0
 5344 r-cran-colorspace                  	       5       41       36        0        0
 5345 r-cran-dbi                         	       5       40       35        0        0
 5346 r-cran-dbplyr                      	       5       36       31        0        0
 5347 r-cran-dplyr                       	       5       40       35        0        0
 5348 r-cran-fansi                       	       5       44       38        1        0
 5349 r-cran-farver                      	       5       41       36        0        0
 5350 r-cran-generics                    	       5       39       34        0        0
 5351 r-cran-ggplot2                     	       5       40       35        0        0
 5352 r-cran-glue                        	       5       45       40        0        0
 5353 r-cran-gtable                      	       5       40       35        0        0
 5354 r-cran-lifecycle                   	       5       43       38        0        0
 5355 r-cran-magrittr                    	       5       45       40        0        0
 5356 r-cran-munsell                     	       5       41       36        0        0
 5357 r-cran-pillar                      	       5       44       39        0        0
 5358 r-cran-pkgconfig                   	       5       44       39        0        0
 5359 r-cran-rlang                       	       5       45       40        0        0
 5360 r-cran-scales                      	       5       41       36        0        0
 5361 r-cran-tibble                      	       5       43       38        0        0
 5362 r-cran-tidyselect                  	       5       41       36        0        0
 5363 r-cran-utf8                        	       5       44       39        0        0
 5364 r-cran-vctrs                       	       5       43       38        0        0
 5365 r-cran-withr                       	       5       45       40        0        0
 5366 rdfind                             	       5       48       43        0        0
 5367 read-edid                          	       5      144      137        2        0
 5368 ripgrep                            	       5       80       72        3        0
 5369 rlwrap                             	       5      117      109        3        0
 5370 rofi                               	       5       82       72        5        0
 5371 rox-filer                          	       5       39       33        1        0
 5372 rtorrent                           	       5       89       84        0        0
 5373 rubberband-ladspa                  	       5       48       39        1        3
 5374 ruby-atk                           	       5       36       31        0        0
 5375 ruby-gdk-pixbuf2                   	       5       37       32        0        0
 5376 ruby-gio2                          	       5       37       32        0        0
 5377 ruby-glib2                         	       5       38       33        0        0
 5378 ruby-gobject-introspection         	       5       37       32        0        0
 5379 ruby-pkg-config                    	       5      114      109        0        0
 5380 ruby-text                          	       5      109      103        1        0
 5381 ruby2.7                            	       5      224      202       17        0
 5382 s-nail                             	       5       63       57        1        0
 5383 screenfetch                        	       5       96       82        9        0
 5384 sg3-utils                          	       5       87       82        0        0
 5385 smistrip                           	       5       64       59        0        0
 5386 smokeping                          	       5       16       11        0        0
 5387 sound-juicer                       	       5      302      266       31        0
 5388 soundconverter                     	       5       65       60        0        0
 5389 squashfs-tools-ng                  	       5       27       21        1        0
 5390 sstp-client                        	       5       22       16        1        0
 5391 stacer                             	       5       23       12        6        0
 5392 stellarium                         	       5      101       96        0        0
 5393 stterm                             	       5       69       64        0        0
 5394 sudo-ldap                          	       5       16       11        0        0
 5395 supercat                           	       5       62       55        2        0
 5396 supermin                           	       5      111      101        5        0
 5397 swaks                              	       5       52       46        1        0
 5398 swell-foop                         	       5      377      335       37        0
 5399 sylpheed                           	       5       52       46        1        0
 5400 systemctl                          	       5       44       38        1        0
 5401 systemctl-service-shim             	       5       26       21        0        0
 5402 tcl8.6-dev                         	       5      126      118        3        0
 5403 tcllib                             	       5      112      106        1        0
 5404 thermald                           	       5       32       27        0        0
 5405 tidy                               	       5      111      103        3        0
 5406 tigervnc-tools                     	       5       76       70        1        0
 5407 tigervnc-xorg-extension            	       5       26       21        0        0
 5408 tmpreaper                          	       5       22       17        0        0
 5409 tomcat9-common                     	       5       15        9        1        0
 5410 tvheadend                          	       5        9        4        0        0
 5411 twolame                            	       5       52       47        0        0
 5412 u-boot-tools                       	       5       76       65        6        0
 5413 udftools                           	       5       61       56        0        0
 5414 uim-data                           	       5       39       15        2       17
 5415 uim-gtk3                           	       5       34       27        2        0
 5416 uim-plugins                        	       5       37       15        2       15
 5417 vim-gui-common                     	       5      228      202       21        0
 5418 virtualbox                         	       5       21       16        0        0
 5419 vlc-plugin-video-output            	       5     1610       17        0     1588
 5420 vtun                               	       5       27       22        0        0
 5421 wabt                               	       5       26       20        1        0
 5422 wavpack                            	       5      148      140        3        0
 5423 wayland-utils                      	       5       45       38        2        0
 5424 wbritish                           	       5       62       55        2        0
 5425 webext-ublock-origin-chromium      	       5       39       33        1        0
 5426 webp                               	       5       89       81        3        0
 5427 wipe                               	       5       64       59        0        0
 5428 wv                                 	       5       39       34        0        0
 5429 xboard                             	       5       56       51        0        0
 5430 xdaliclock                         	       5       28       23        0        0
 5431 xfonts-base                        	       5     3258        1        0     3252
 5432 xli                                	       5       48       43        0        0
 5433 xloadimage                         	       5       40       35        0        0
 5434 xzgv                               	       5       41       34        2        0
 5435 0ad                                	       4       58       52        2        0
 5436 acpi-support                       	       4      138      123        2        9
 5437 acpitool                           	       4      110      106        0        0
 5438 alacarte                           	       4       95       80       11        0
 5439 alsaplayer-common                  	       4       77       72        1        0
 5440 android-libbase                    	       4      334        7        0      323
 5441 android-libboringssl               	       4      306        7        0      295
 5442 android-libcutils                  	       4      333        7        0      322
 5443 android-liblog                     	       4      334        7        0      323
 5444 android-sdk                        	       4       26       22        0        0
 5445 anthy-common                       	       4       71        5        0       62
 5446 apache2-suexec-pristine            	       4       30       26        0        0
 5447 apparmor-notify                    	       4       19       15        0        0
 5448 apt-cacher                         	       4        8        4        0        0
 5449 aria2                              	       4      137      129        4        0
 5450 ash                                	       4       12        8        0        0
 5451 atftpd                             	       4       21       17        0        0
 5452 autofirma                          	       4       26       21        1        0
 5453 autorandr                          	       4       14        9        1        0
 5454 avahi-dnsconfd                     	       4       10        6        0        0
 5455 backintime-qt                      	       4       32       27        1        0
 5456 backup2l                           	       4        8        4        0        0
 5457 bgscripts-core                     	       4       16       11        1        0
 5458 binutils-avr                       	       4      135      130        1        0
 5459 brightness-udev                    	       4       53       47        2        0
 5460 brotli                             	       4       35       28        3        0
 5461 bsdiff                             	       4       18       14        0        0
 5462 caja-dropbox                       	       4        9        5        0        0
 5463 caja-xattr-tags                    	       4       12        5        0        3
 5464 ccze                               	       4       38       34        0        0
 5465 chkboot                            	       4        8        4        0        0
 5466 chntpw                             	       4       43       32        7        0
 5467 cinnamon-control-center            	       4      289      254       31        0
 5468 cjs                                	       4      285      251       30        0
 5469 claws-mail-bogofilter              	       4       49        5        0       40
 5470 claws-mail-pdf-viewer              	       4       45        5        0       36
 5471 claws-mail-pgpmime                 	       4       54        5        0       45
 5472 clinfo                             	       4       90       78        8        0
 5473 clipit                             	       4       54       50        0        0
 5474 codelite                           	       4       28       23        1        0
 5475 compiz-plugins-experimental        	       4       11        7        0        0
 5476 composer                           	       4       47       42        1        0
 5477 comprez                            	       4       20       15        1        0
 5478 conky-all                          	       4       41       35        2        0
 5479 coturn                             	       4       15       11        0        0
 5480 cpuid                              	       4       85       81        0        0
 5481 cron-daemon-common                 	       4     3075      238       54     2779
 5482 cwm                                	       4       25       21        0        0
 5483 dact                               	       4       21       16        1        0
 5484 dares                              	       4       25       20        1        0
 5485 davfs2                             	       4       56       52        0        0
 5486 dcfldd                             	       4       43       38        1        0
 5487 dconf-editor                       	       4      185      176        5        0
 5488 dcraw                              	       4       96       90        2        0
 5489 ddrutility                         	       4       41       36        1        0
 5490 debian-security-support            	       4       15       11        0        0
 5491 deluge                             	       4      199      187        8        0
 5492 detox                              	       4       40       36        0        0
 5493 device-tree-compiler               	       4       99       93        2        0
 5494 dhelp                              	       4       14       10        0        0
 5495 diffpdf                            	       4       33       29        0        0
 5496 digikam                            	       4       67       60        3        0
 5497 direwolf                           	       4       13        9        0        0
 5498 dirvish                            	       4       13        9        0        0
 5499 disktype                           	       4       46       41        1        0
 5500 djvulibre-bin                      	       4       93       89        0        0
 5501 dmraid                             	       4       32       27        1        0
 5502 dochelp                            	       4       13        9        0        0
 5503 dupeguru                           	       4       19       15        0        0
 5504 dvgrab                             	       4      148      142        2        0
 5505 dwww                               	       4       22       18        0        0
 5506 easyeffects                        	       4       25       20        1        0
 5507 edid-decode                        	       4       54       49        1        0
 5508 einstein                           	       4       18       14        0        0
 5509 elfutils                           	       4      100       92        4        0
 5510 elpa-notmuch                       	       4       28       21        3        0
 5511 emerald                            	       4       17       10        3        0
 5512 erlang-base                        	       4       66       61        1        0
 5513 evolution-ews                      	       4       18       12        2        0
 5514 extundelete                        	       4       79       75        0        0
 5515 fatcat                             	       4       31       25        2        0
 5516 fbpanel                            	       4       20       16        0        0
 5517 fbreader                           	       4      101       96        1        0
 5518 fcgiwrap                           	       4       15       11        0        0
 5519 firehol                            	       4       21       17        0        0
 5520 firmware-bnx2                      	       4      174      168        2        0
 5521 firmware-intel-sound               	       4      111      105        2        0
 5522 firmware-mediatek                  	       4      112       94       14        0
 5523 fonts-3270                         	       4       62        5        0       53
 5524 fonts-arkpandora                   	       4       22        7        0       11
 5525 fonts-arphic-bsmi00lp              	       4      109        0        0      105
 5526 fonts-comic-neue                   	       4      172       13        0      155
 5527 fonts-lohit-deva                   	       4       60        3        0       53
 5528 fonts-lohit-gujr                   	       4       59        1        0       54
 5529 fonts-lohit-knda                   	       4       59        1        0       54
 5530 fonts-lohit-taml                   	       4       61        3        0       54
 5531 fonts-teluguvijayam                	       4       56        3        0       49
 5532 fonts-terminus                     	       4       82        6        0       72
 5533 fonts-tlwg-loma-otf                	       4      107        8        0       95
 5534 foremost                           	       4       40       34        2        0
 5535 four-in-a-row                      	       4      375      336       35        0
 5536 freecad-python3                    	       4      113      106        3        0
 5537 freeipmi-tools                     	       4       43       38        1        0
 5538 fusioninventory-agent              	       4        9        5        0        0
 5539 gcc-11                             	       4       89       85        0        0
 5540 gcc-13-x86-64-linux-gnu            	       4      124      118        2        0
 5541 giflib-tools                       	       4       31       26        1        0
 5542 gimagereader                       	       4       41       36        1        0
 5543 gir1.2-girepository-2.0            	       4      259       11        2      242
 5544 gir1.2-gsound-1.0                  	       4      283       13        1      265
 5545 gir1.2-gtop-2.0                    	       4       40       11        0       25
 5546 gir1.2-javascriptcoregtk-4.0       	       4     1829        8        0     1817
 5547 gir1.2-webkit2-4.0                 	       4     1813        8        0     1801
 5548 git-svn                            	       4       83       74        5        0
 5549 gitweb                             	       4       51       45        2        0
 5550 gkrellm-leds                       	       4       17       13        0        0
 5551 gkrellm-volume                     	       4       16       11        1        0
 5552 glib-networking-common             	       4     3444       25        1     3414
 5553 gmrun                              	       4       30       26        0        0
 5554 gnat-12                            	       4       32       28        0        0
 5555 gnome-2048                         	       4      345      307       34        0
 5556 gnome-clocks                       	       4      139      121       14        0
 5557 gnome-klotski                      	       4      369      331       34        0
 5558 gnome-logs                         	       4      310      270       36        0
 5559 gnome-robots                       	       4      369      333       32        0
 5560 gnome-software-plugin-flatpak      	       4       43        7        2       30
 5561 gnupg1                             	       4       49       45        0        0
 5562 goodvibes                          	       4       18       14        0        0
 5563 gramps                             	       4       39       35        0        0
 5564 grub-customizer                    	       4       76       68        4        0
 5565 grub-efi-amd64-unsigned            	       4      145      118       23        0
 5566 gsmartcontrol                      	       4      126      117        5        0
 5567 gstreamer1.0-plugins-ugly          	       4     2897        6        0     2887
 5568 guake                              	       4       29       25        0        0
 5569 gvncviewer                         	       4       30       25        1        0
 5570 hexchat-python3                    	       4      372       10        0      358
 5571 iagno                              	       4      373      334       35        0
 5572 idle                               	       4       93       87        2        0
 5573 idle-python3.11                    	       4       80       74        2        0
 5574 img2pdf                            	       4       51       44        3        0
 5575 intel-media-va-driver-non-free     	       4       31        6        0       21
 5576 ipcalc                             	       4      105      100        1        0
 5577 iprange                            	       4       28       24        0        0
 5578 ipv6toolkit                        	       4       25       20        1        0
 5579 isolinux                           	       4      207      201        2        0
 5580 jgmenu                             	       4       10        5        1        0
 5581 jsonlint                           	       4       49       45        0        0
 5582 jupyter-client                     	       4       30       26        0        0
 5583 jupyter-core                       	       4       66       62        0        0
 5584 kate-trinity                       	       4       35       27        4        0
 5585 kdepim-themeeditors                	       4      461      413       44        0
 5586 kdoctools6                         	       4       24       12        8        0
 5587 kicad                              	       4      122      113        5        0
 5588 kitty                              	       4       62       55        3        0
 5589 klipper-trinity                    	       4       35       28        3        0
 5590 kodi                               	       4       86       78        4        0
 5591 kpdf-trinity                       	       4       31       25        2        0
 5592 krb5-user                          	       4       75       71        0        0
 5593 krdc                               	       4       83       79        0        0
 5594 ksnip                              	       4       12        8        0        0
 5595 kstart                             	       4       11        7        0        0
 5596 laptop-mode-tools                  	       4       30       26        0        0
 5597 latex-cjk-thai                     	       4       94       89        1        0
 5598 ledmon                             	       4        6        2        0        0
 5599 libaa1                             	       4     3419        6        0     3409
 5600 libabw-0.1-1                       	       4     2853        5        1     2843
 5601 libaccounts-qt6-1                  	       4       46        2        0       40
 5602 libakonadicalendar-data            	       4        9        0        0        5
 5603 libakonadisearch-bin               	       4        9        3        2        0
 5604 libanthy1                          	       4       64        5        0       55
 5605 libapache2-mpm-itk                 	       4       11        7        0        0
 5606 libappstream-glib8                 	       4      194       24        2      164
 5607 libapt-pkg6.0t64                   	       4      266       37        0      225
 5608 libaudit-dev                       	       4       44       38        2        0
 5609 libavfilter9                       	       4       77        3        0       70
 5610 libavformat60                      	       4       99        7        0       88
 5611 libbackuppc-xs-perl                	       4        8        3        1        0
 5612 libboost-locale1.83.0              	       4      202        5        0      193
 5613 libboost-python1.74.0              	       4      361        7        0      350
 5614 libcairo-gobject-perl              	       4     2431        2        0     2425
 5615 libcairo-perl                      	       4     2619        2        0     2613
 5616 libcanberra-gtk0                   	       4      172        2        1      165
 5617 libcaribou0                        	       4      316       11        0      301
 5618 libcinnamon-desktop4t64            	       4       27        8        1       14
 5619 libclamav9                         	       4       67       13        0       50
 5620 libclang-cpp18                     	       4       27       23        0        0
 5621 libclang1-18                       	       4       23       19        0        0
 5622 libclass-tiny-perl                 	       4      133      129        0        0
 5623 libclass-xsaccessor-perl           	       4     1130        7        0     1119
 5624 libclucene-contribs1t64            	       4      196        4        0      188
 5625 libclucene-core1t64                	       4      197        4        0      189
 5626 libclutter-1.0-0                   	       4      827       25        1      797
 5627 libclutter-gtk-1.0-0               	       4      790       25        1      760
 5628 libcogl-pango20                    	       4      830       25        1      800
 5629 libcogl-path20                     	       4      830       25        1      800
 5630 libcogl20                          	       4      832       25        1      802
 5631 libcompress-raw-zlib-perl          	       4       36        5        0       27
 5632 libconfig-file-perl                	       4       35       31        0        0
 5633 libcpan-changes-perl               	       4       94       90        0        0
 5634 libcpuinfo0                        	       4      175        0        0      171
 5635 libcrypt-passwdmd5-perl            	       4       41       37        0        0
 5636 libcryptx-perl                     	       4      103        4        0       95
 5637 libcuda1                           	       4      183        6        0      173
 5638 libcurl4-gnutls-dev                	       4      145      132        9        0
 5639 libcvc0t64                         	       4       23        7        1       11
 5640 libdatetime-format-iso8601-perl    	       4       82       74        4        0
 5641 libdatrie-dev                      	       4      451      435       12        0
 5642 libdbd-mysql-perl                  	       4      275       11        0      260
 5643 libdca0                            	       4     3154        6        0     3144
 5644 libdevel-overloadinfo-perl         	       4      106      102        0        0
 5645 libdolphinvcs6                     	       4       29        3        1       21
 5646 libdrm-dev                         	       4      373      355       14        0
 5647 libdv4                             	       4     2967        3        0     2960
 5648 libe-book-0.1-1                    	       4     2848        5        1     2838
 5649 libefreet-bin                      	       4       39       34        1        0
 5650 libemail-find-perl                 	       4       24       20        0        0
 5651 libenca0                           	       4      770        6        0      760
 5652 libencode-detect-perl              	       4       47        4        0       39
 5653 libepubgen-0.1-1                   	       4     2790        5        1     2780
 5654 libetonyek-0.1-1                   	       4     2855        5        1     2845
 5655 libev4t64                          	       4       60        4        0       52
 5656 libevent-dev                       	       4      187      183        0        0
 5657 libevent-extra-2.1-7               	       4      163        3        0      156
 5658 libevent-openssl-2.1-7             	       4      162        3        0      155
 5659 libfftw3-bin                       	       4      183      177        2        0
 5660 libfftw3-dev                       	       4      178      172        2        0
 5661 libfile-ncopy-perl                 	       4       24       20        0        0
 5662 libfluidsynth2                     	       4      503        3        0      496
 5663 libfprint-2-2                      	       4       40       35        1        0
 5664 libfreehand-0.1-1                  	       4     2816        4        1     2807
 5665 libfreeipmi17                      	       4      101        7        0       90
 5666 libfuse2t64                        	       4       92        4        1       83
 5667 libgamin0                          	       4       65        8        0       53
 5668 libgd-perl                         	       4       79       67        1        7
 5669 libgdk-pixbuf-xlib-2.0-0           	       4     1208        5        0     1199
 5670 libgeos-c1v5                       	       4      612        7        0      601
 5671 libgeos3.11.1                      	       4      478        5        0      469
 5672 libgif-dev                         	       4      135      129        2        0
 5673 libgit-wrapper-perl                	       4      209      202        3        0
 5674 libglew2.2                         	       4      502       11        0      487
 5675 libglibmm-2.4-1t64                 	       4      199        9        1      185
 5676 libgraphite2-dev                   	       4      528      511       13        0
 5677 libgsf-bin                         	       4      160      135       21        0
 5678 libgsound0                         	       4      505       15        0      486
 5679 libgtkmm-3.0-1t64                  	       4      147        8        0      135
 5680 libgucharmap-2-90-7                	       4      984        9        0      971
 5681 libgupnp-igd-1.0-4                 	       4     2823        4        0     2815
 5682 libhamlib4t64                      	       4       14        9        1        0
 5683 libhtml-fromtext-perl              	       4       23       19        0        0
 5684 libhttp-cache-transparent-perl     	       4       18       14        0        0
 5685 libhttp-parser2.9                  	       4      903       14        0      885
 5686 libhttp-tiny-multipart-perl        	       4      199      192        3        0
 5687 libicu57                           	       4      288        3        0      281
 5688 libiw30t64                         	       4      191        6        0      181
 5689 libkaccounts6-2                    	       4       44        2        0       38
 5690 libkf5dav5                         	       4      466        7        0      455
 5691 libkf5kiontlm5                     	       4     1187       17        4     1162
 5692 libkf5libkdepim-data               	       4      504       12        0      488
 5693 libkf5tnef5                        	       4      471        4        0      463
 5694 libkf5unitconversion-data          	       4      495       16        0      475
 5695 libkf6baloo6                       	       4       36        8        1       23
 5696 libkf6balooengine6                 	       4       36        9        1       22
 5697 libkf6baloowidgets6                	       4       24        3        1       16
 5698 libkf6syntaxhighlighting-data      	       4       40        0        0       36
 5699 libkf6unitconversion6              	       4       31        6        1       20
 5700 libkpim6akonadiagentbase6          	       4        9        0        0        5
 5701 libkpim6akonadisearchcore6         	       4        9        0        0        5
 5702 libkpim6akonadisearchxapian6       	       4        9        0        0        5
 5703 libkpim6mailcommon6                	       4        9        0        0        5
 5704 libkpim6mailimporter6              	       4        9        0        0        5
 5705 libkpim6messagelist6               	       4        9        0        0        5
 5706 libkpimgapi-data                   	       4      489       14        0      471
 5707 libkpimitinerary5                  	       4      458        5        0      449
 5708 libkpimpkpass5                     	       4      462        5        0      453
 5709 libkpipewire-data                  	       4       33        5        0       24
 5710 liblept5                           	       4      463        6        0      453
 5711 liblibreofficekitgtk               	       4       66       56        6        0
 5712 liblingua-preferred-perl           	       4       17       13        0        0
 5713 liblingua-translit-perl            	       4      115      110        1        0
 5714 liblog-any-perl                    	       4      215      207        4        0
 5715 liblog-tracemessages-perl          	       4       17       13        0        0
 5716 libmariadb-dev-compat              	       4      112       97       11        0
 5717 libmediainfo0v5                    	       4      199       13        1      181
 5718 libmodule-runtime-conflicts-perl   	       4      109      105        0        0
 5719 libmodule-scandeps-perl            	       4      172      168        0        0
 5720 libmodule-signature-perl           	       4      143      139        0        0
 5721 libmonosgen-2.0-dev                	       4       90       84        2        0
 5722 libmozjs-115-0t64                  	       4       29        9        1       15
 5723 libmpdclient2t64                   	       4       20        4        0       12
 5724 libmpeg2-4                         	       4     3094        7        0     3083
 5725 libmspub-0.1-1                     	       4     2812        4        1     2803
 5726 libmuffin0t64                      	       4       26        6        1       15
 5727 libmwaw-0.3-3                      	       4     2853        5        1     2843
 5728 libnetfilter-cthelper0             	       4       24        1        0       19
 5729 libnetwork-ipv4addr-perl           	       4       26       21        1        0
 5730 libnfs14                           	       4      237        5        0      228
 5731 libnginx-mod-http-fancyindex       	       4        9        5        0        0
 5732 libnode-dev                        	       4      195      182        9        0
 5733 libntfs-3g883                      	       4      679        7        0      668
 5734 libnuma-dev                        	       4      180      175        1        0
 5735 libnvme1                           	       4       80       10        0       66
 5736 libodfgen-0.1-1                    	       4     2869        5        1     2859
 5737 libomp-11-dev                      	       4       90       84        0        2
 5738 libomp5-11                         	       4       90       84        0        2
 5739 libopenmpt-modplug1                	       4     1616       10        0     1602
 5740 libpagemaker-0.0-0                 	       4     2803        4        1     2794
 5741 libpam-mount                       	       4       18        9        0        5
 5742 libparse-debianchangelog-perl      	       4      234      229        1        0
 5743 libpci-dev                         	       4       92       87        1        0
 5744 libpisock9                         	       4       17       13        0        0
 5745 libpod-markdown-perl               	       4       94       90        0        0
 5746 libpod-readme-perl                 	       4      144      140        0        0
 5747 libportaudio2                      	       4     1649       10        0     1635
 5748 libpostproc57                      	       4       93        3        0       86
 5749 libprocps8                         	       4     1050        9        0     1037
 5750 libproj25                          	       4      574        5        0      565
 5751 libpython-dev                      	       4       77       73        0        0
 5752 libpython3.10-minimal              	       4       29       25        0        0
 5753 libpython3.10-stdlib               	       4       29       25        0        0
 5754 libqt5multimediawidgets5           	       4     1004        3        0      997
 5755 libqt5pdf5                         	       4       43       15        0       24
 5756 libqxp-0.0-0                       	       4     2747        4        1     2738
 5757 librasqal3t64                      	       4      195        4        0      187
 5758 librdf0t64                         	       4      196        4        0      188
 5759 libreoffice-l10n-fr                	       4       90       77        9        0
 5760 libreoffice-style-tango            	       4      163      155        3        1
 5761 libreoffice-uiconfig-math          	       4      252      190       58        0
 5762 libridl-java                       	       4      391      370       17        0
 5763 librsvg2-dev                       	       4      160      153        3        0
 5764 libruby2.1                         	       4       73       69        0        0
 5765 libserf-1-1                        	       4      500        9        0      487
 5766 libsgutils2-1.46-2                 	       4      477        3        0      470
 5767 libsignon-qt6-1                    	       4       44        2        0       38
 5768 libsmbclient0                      	       4      231       13        0      214
 5769 libspa-0.2-libcamera               	       4       12        4        0        4
 5770 libspnav0                          	       4      331        4        0      323
 5771 libssl0.9.8                        	       4       34       30        0        0
 5772 libssl1.0.2                        	       4      541        4        0      533
 5773 libstaroffice-0.0-0                	       4     2796        5        1     2786
 5774 libstdc++-6-dev                    	       4      135      131        0        0
 5775 libstring-escape-perl              	       4      198      190        4        0
 5776 libsub-identify-perl               	       4     1127        7        0     1116
 5777 libsub-uplevel-perl                	       4       51       46        1        0
 5778 libsvtav1enc1d1                    	       4       47       12        0       31
 5779 libsvtav1enc3                      	       4        7        0        0        3
 5780 libswscale7                        	       4      101        8        0       89
 5781 libswt-cairo-gtk-4-jni             	       4       50       45        1        0
 5782 libswt-gtk-4-java                  	       4       58       52        2        0
 5783 libswt-gtk-4-jni                   	       4       64       58        2        0
 5784 libsys-syscall-perl                	       4       11        7        0        0
 5785 libtbbmalloc2                      	       4      543        8        0      531
 5786 libterm-progressbar-perl           	       4       26       22        0        0
 5787 libtfm1                            	       4       72       13        0       55
 5788 libthai-dev                        	       4      451      435       12        0
 5789 libtiff-tools                      	       4      182      175        3        0
 5790 libtime-period-perl                	       4       17       13        0        0
 5791 libtinyxml2-9                      	       4      192        7        0      181
 5792 libtpms0                           	       4      306        2        0      300
 5793 libtracker-miner-2.0-0             	       4       55       25        1       25
 5794 libu2f-udev                        	       4      565      118        2      441
 5795 libubootenv-tool                   	       4       53       48        1        0
 5796 liburcu8t64                        	       4      256        8        0      244
 5797 libvariable-magic-perl             	       4     1127        7        0     1116
 5798 libvorbisidec1                     	       4      549        5        0      540
 5799 libvterm0                          	       4      143        6        1      132
 5800 libwebrtc-audio-processing1        	       4     3110        7        0     3099
 5801 libwps-0.4-4                       	       4     2844        5        1     2834
 5802 libwxgtk3.0-gtk3-0v5               	       4      271        5        0      262
 5803 libxcomposite-dev                  	       4      479      463       12        0
 5804 libxcursor-dev                     	       4      578      559       15        0
 5805 libxencall1t64                     	       4       11        7        0        0
 5806 libxendevicemodel1t64              	       4       11        7        0        0
 5807 libxenevtchn1t64                   	       4       11        7        0        0
 5808 libxenforeignmemory1t64            	       4       11        7        0        0
 5809 libxengnttab1t64                   	       4       11        7        0        0
 5810 libxenhypfs1t64                    	       4       11        7        0        0
 5811 libxenmisc4.17t64                  	       4       12        8        0        0
 5812 libxentoolcore1t64                 	       4       11        7        0        0
 5813 libxentoollog1t64                  	       4       11        7        0        0
 5814 libxmlsec1t64                      	       4      219        8        0      207
 5815 libxmlsec1t64-nss                  	       4      196        4        0      188
 5816 libxslt1-dev                       	       4      132      123        5        0
 5817 libxstring-perl                    	       4      936        7        0      925
 5818 libxvmc1                           	       4     3160        2        0     3154
 5819 libxxf86dga1                       	       4     3461        5        0     3452
 5820 libxxf86vm-dev                     	       4      399      392        3        0
 5821 libykpers-1-1                      	       4       93       14        0       75
 5822 libyubikey0                        	       4       93       11        0       78
 5823 libzmf-0.0-0                       	       4     2745        4        1     2736
 5824 linux-headers-6.1.0-28-amd64       	       4      186      181        1        0
 5825 linux-headers-6.12.12-amd64        	       4       10        3        3        0
 5826 linux-headers-6.12.12-common       	       4       10        3        3        0
 5827 linux-image-4.9.0-11-amd64         	       4       50       44        0        2
 5828 linux-image-5.10.0-30-amd64        	       4       86       82        0        0
 5829 linux-image-6.1.0-0.deb11.21-amd64 	       4       12        8        0        0
 5830 linux-image-6.1.0-26-amd64         	       4      447      443        0        0
 5831 linux-image-6.12.13-amd64          	       4        8        4        0        0
 5832 linux-perf                         	       4       56       37        2       13
 5833 llvm-14-runtime                    	       4      265      260        1        0
 5834 llvm-runtime                       	       4      101       92        5        0
 5835 lua5.1                             	       4       63       57        2        0
 5836 lxappearance                       	       4      288      260       24        0
 5837 lxlock                             	       4      197      177       16        0
 5838 lxrandr                            	       4      232      207       21        0
 5839 lxtask                             	       4      208      187       17        0
 5840 lynx-common                        	       4     2615       15        0     2596
 5841 maildir-utils                      	       4       19       14        1        0
 5842 makepasswd                         	       4       20       16        0        0
 5843 mariadb-client-10.3                	       4       49       45        0        0
 5844 mariadb-server-core-10.1           	       4       40       36        0        0
 5845 mate-netbook                       	       4       10        6        0        0
 5846 mblaze                             	       4       22       16        2        0
 5847 mcp-plugins                        	       4       36       32        0        0
 5848 mdbtools                           	       4       29       25        0        0
 5849 mg                                 	       4       18       13        1        0
 5850 micro                              	       4       49       37        8        0
 5851 minizip                            	       4       22       17        1        0
 5852 mirage                             	       4       55       50        1        0
 5853 miscfiles                          	       4      183      175        4        0
 5854 mjpegtools                         	       4       56       51        1        0
 5855 mono-xbuild                        	       4       93       87        2        0
 5856 motion                             	       4       29       25        0        0
 5857 mozc-server                        	       4       56       48        4        0
 5858 musescore                          	       4       49       44        1        0
 5859 nast                               	       4       22       17        1        0
 5860 navit                              	       4       14        9        1        0
 5861 ncat                               	       4       80       76        0        0
 5862 ndisc6                             	       4       38       34        0        0
 5863 nedit                              	       4       40       34        2        0
 5864 nethogs                            	       4      100       96        0        0
 5865 netperf                            	       4       12        8        0        0
 5866 nginx-light                        	       4       25        6        0       15
 5867 node-ws                            	       4      155      148        3        0
 5868 normalize-audio                    	       4      107       96        7        0
 5869 notepadqq                          	       4       21       16        1        0
 5870 nsis                               	       4       10        6        0        0
 5871 nvi                                	       4       52       47        1        0
 5872 oathtool                           	       4       72       68        0        0
 5873 obsession                          	       4       61       57        0        0
 5874 ocrad                              	       4       58       52        2        0
 5875 odoo                               	       4        4        0        0        0
 5876 oggvideotools                      	       4       28       23        1        0
 5877 openafs-client                     	       4        6        2        0        0
 5878 openocd                            	       4       38       33        1        0
 5879 openscad                           	       4       80       75        1        0
 5880 openshot-qt                        	       4       85       78        3        0
 5881 opensp                             	       4      158      151        3        0
 5882 openssl-blacklist                  	       4       15       11        0        0
 5883 opera-stable                       	       4       70       56       10        0
 5884 owncloud-client                    	       4       21       17        0        0
 5885 p7zip-rar                          	       4      104       88        0       12
 5886 pcmciautils                        	       4       56       51        1        0
 5887 pente                              	       4      963      908       51        0
 5888 pfb2t1c2pfb                        	       4      164      156        4        0
 5889 pgpdump                            	       4       28       23        1        0
 5890 php-composer-class-map-generator   	       4       40       36        0        0
 5891 php-composer-metadata-minifier     	       4       40       36        0        0
 5892 php-composer-pcre                  	       4       40       36        0        0
 5893 php-composer-semver                	       4       50       46        0        0
 5894 php-composer-spdx-licenses         	       4       50       46        0        0
 5895 php-composer-xdebug-handler        	       4       48       44        0        0
 5896 php-json-schema                    	       4       48       44        0        0
 5897 php-luasandbox                     	       4        9        5        0        0
 5898 php-memcache                       	       4       24       20        0        0
 5899 php-react-promise                  	       4       44       40        0        0
 5900 php-seld-signal-handler            	       4       40       36        0        0
 5901 php-symfony-console                	       4       51       46        1        0
 5902 php-symfony-finder                 	       4       53       48        1        0
 5903 php-symfony-string                 	       4       42       37        1        0
 5904 php5.6-gd                          	       4        9        5        0        0
 5905 php5.6-mysql                       	       4        7        3        0        0
 5906 php5.6-xml                         	       4        7        3        0        0
 5907 php5.6-zip                         	       4        6        2        0        0
 5908 php7.0-intl                        	       4       14       10        0        0
 5909 php7.0-soap                        	       4        9        5        0        0
 5910 php7.3-gmp                         	       4        7        3        0        0
 5911 php7.4-pspell                      	       4       15       11        0        0
 5912 php7.4-sqlite3                     	       4       20       16        0        0
 5913 php7.4-xmlrpc                      	       4       17       13        0        0
 5914 php8.0-curl                        	       4       10        6        0        0
 5915 php8.0-mysql                       	       4       12        8        0        0
 5916 php8.1-cli                         	       4       14       10        0        0
 5917 php8.1-common                      	       4       18       14        0        0
 5918 php8.1-curl                        	       4       14       10        0        0
 5919 php8.1-gd                          	       4       14       10        0        0
 5920 php8.1-mbstring                    	       4       14       10        0        0
 5921 php8.1-mysql                       	       4       12        8        0        0
 5922 php8.1-opcache                     	       4       14       10        0        0
 5923 php8.1-readline                    	       4       14       10        0        0
 5924 php8.1-xml                         	       4       13        9        0        0
 5925 php8.1-zip                         	       4       12        8        0        0
 5926 php8.2-uuid                        	       4        6        2        0        0
 5927 php8.3-bz2                         	       4        7        3        0        0
 5928 php8.3-common                      	       4       11        7        0        0
 5929 php8.3-curl                        	       4       10        6        0        0
 5930 php8.3-gd                          	       4       11        7        0        0
 5931 php8.3-imagick                     	       4        9        5        0        0
 5932 php8.3-intl                        	       4       10        6        0        0
 5933 php8.3-mbstring                    	       4       11        7        0        0
 5934 php8.3-mysql                       	       4        8        4        0        0
 5935 php8.3-opcache                     	       4       11        7        0        0
 5936 php8.3-readline                    	       4       11        7        0        0
 5937 php8.3-xml                         	       4       10        6        0        0
 5938 php8.3-zip                         	       4        9        5        0        0
 5939 php8.4-apcu                        	       4        6        2        0        0
 5940 php8.4-curl                        	       4        7        2        1        0
 5941 php8.4-intl                        	       4        5        0        1        0
 5942 php8.4-xml                         	       4        6        1        1        0
 5943 php8.4-zip                         	       4        6        1        1        0
 5944 pidgin-guifications                	       4       14       10        0        0
 5945 postfix-policyd-spf-python         	       4        9        5        0        0
 5946 postgresql-14                      	       4        6        2        0        0
 5947 postgresql-client-16               	       4       18       14        0        0
 5948 postgresql-contrib-9.6             	       4       35       31        0        0
 5949 potrace                            	       4       65       61        0        0
 5950 pppoeconf                          	       4       27       23        0        0
 5951 prerex                             	       4      130      125        1        0
 5952 proftpd-mod-crypto                 	       4       11        7        0        0
 5953 proftpd-mod-wrap                   	       4       11        7        0        0
 5954 pydf                               	       4       18       14        0        0
 5955 pylint                             	       4       95       84        7        0
 5956 pyqt5-dev-tools                    	       4      107      100        3        0
 5957 python-bs4                         	       4      143      137        1        1
 5958 python-debian                      	       4      126      121        1        0
 5959 python-dev                         	       4       75       71        0        0
 5960 python-dev-is-python3              	       4       31       25        2        0
 5961 python-html5lib                    	       4      149      143        1        1
 5962 python-libxml2                     	       4       87       82        1        0
 5963 python-lxml                        	       4      171      166        1        0
 5964 python-numpy                       	       4      220      213        3        0
 5965 python-pygame                      	       4       26       22        0        0
 5966 python-pysimplesoap                	       4       64       60        0        0
 5967 python-simplejson                  	       4       37       33        0        0
 5968 python-tdb                         	       4      100       95        1        0
 5969 python-webencodings                	       4      146      141        1        0
 5970 python-wxgtk3.0                    	       4       46       41        1        0
 5971 python-wxversion                   	       4       49       44        1        0
 5972 python2.6-minimal                  	       4       60       56        0        0
 5973 python3-appdirs                    	       4      516      505        7        0
 5974 python3-autocommand                	       4      233      211       18        0
 5975 python3-backcall                   	       4      259      254        1        0
 5976 python3-bottleneck                 	       4       92       85        3        0
 5977 python3-bsddb3                     	       4       45       40        1        0
 5978 python3-constantly                 	       4      298      285        9        0
 5979 python3-cssselect                  	       4      619      582       33        0
 5980 python3-ephem                      	       4       16       12        0        0
 5981 python3-fasteners                  	       4      346      308       34        0
 5982 python3-flask                      	       4      107      102        1        0
 5983 python3-fonttools                  	       4      481      451       26        0
 5984 python3-gevent                     	       4       34       27        3        0
 5985 python3-hamcrest                   	       4      295      281       10        0
 5986 python3-ifaddr                     	       4      302      286       12        0
 5987 python3-img2pdf                    	       4      162      150        8        0
 5988 python3-incremental                	       4      298      285        9        0
 5989 python3-kerberos                   	       4      142      135        3        0
 5990 python3-libapparmor                	       4       94       88        2        0
 5991 python3-mechanize                  	       4      281      262       15        0
 5992 python3-monotonic                  	       4      353      315       34        0
 5993 python3-nbclient                   	       4       74       70        0        0
 5994 python3-numpy-dev                  	       4       34        7       23        0
 5995 python3-orjson                     	       4       15       10        1        0
 5996 python3-paramiko                   	       4      423      378       41        0
 5997 python3-pastescript                	       4       12        8        0        0
 5998 python3-py7zr                      	       4      216      209        3        0
 5999 python3-pylibacl                   	       4      525      466       55        0
 6000 python3-pyqt5.qsci                 	       4       71       65        2        0
 6001 python3-pyqt5.qtmultimedia         	       4      168      163        1        0
 6002 python3-pyqt5.qtpositioning        	       4      142      135        3        0
 6003 python3-pyside2.qtcore             	       4      136      129        3        0
 6004 python3-pyside2.qtgui              	       4      135      128        3        0
 6005 python3-pyside2.qtnetwork          	       4       98       91        3        0
 6006 python3-pyside2.qtwidgets          	       4      134      127        3        0
 6007 python3-qgis                       	       4       41       35        2        0
 6008 python3-qrtools                    	       4       42       36        2        0
 6009 python3-rpm                        	       4       34       27        3        0
 6010 python3-service-identity           	       4      298      280       14        0
 6011 python3-smartypants                	       4       15       11        0        0
 6012 python3-typeguard                  	       4      218      169       45        0
 6013 python3-wcwidth                    	       4      380      372        4        0
 6014 python3-werkzeug                   	       4      120      113        3        0
 6015 python3-zc.lockfile                	       4       14       10        0        0
 6016 python3.11-venv                    	       4      384      366       14        0
 6017 python3.13-dev                     	       4       37       23       10        0
 6018 q4wine                             	       4       70       65        1        0
 6019 qalc                               	       4       86       80        2        0
 6020 qastools-common                    	       4       69        9        0       56
 6021 qdbus-qt6                          	       4       97       79       14        0
 6022 qemu-kvm                           	       4       64       60        0        0
 6023 qgis-plugin-grass                  	       4       40       34        2        0
 6024 qgis-provider-grass                	       4       40       34        2        0
 6025 qgis-providers                     	       4       46       40        2        0
 6026 qmmp                               	       4       54       47        3        0
 6027 qpdfview-djvu-plugin               	       4      324      290       30        0
 6028 qpdfview-ps-plugin                 	       4      327      293       30        0
 6029 qt5-image-formats-plugin-pdf       	       4       40       15        0       21
 6030 quadrapassel                       	       4      385      345       36        0
 6031 qualitis-utils                     	       4        4        0        0        0
 6032 r-cran-askpass                     	       4       43       39        0        0
 6033 r-cran-backports                   	       4       40       36        0        0
 6034 r-cran-broom                       	       4       37       33        0        0
 6035 r-cran-cellranger                  	       4       36       32        0        0
 6036 r-cran-commonmark                  	       4       42       37        1        0
 6037 r-cran-crayon                      	       4       44       40        0        0
 6038 r-cran-curl                        	       4       40       36        0        0
 6039 r-cran-data.table                  	       4       41       36        1        0
 6040 r-cran-ellipsis                    	       4       42       38        0        0
 6041 r-cran-forcats                     	       4       36       32        0        0
 6042 r-cran-fs                          	       4       41       37        0        0
 6043 r-cran-gridextra                   	       4       38       34        0        0
 6044 r-cran-haven                       	       4       36       32        0        0
 6045 r-cran-hms                         	       4       40       36        0        0
 6046 r-cran-httr                        	       4       39       35        0        0
 6047 r-cran-jquerylib                   	       4       38       34        0        0
 6048 r-cran-jsonlite                    	       4       43       38        1        0
 6049 r-cran-labeling                    	       4       41       37        0        0
 6050 r-cran-lubridate                   	       4       40       36        0        0
 6051 r-cran-markdown                    	       4       42       38        0        0
 6052 r-cran-openxlsx                    	       4       36       32        0        0
 6053 r-cran-plyr                        	       4       38       34        0        0
 6054 r-cran-purrr                       	       4       41       37        0        0
 6055 r-cran-quadprog                    	       4       37       33        0        0
 6056 r-cran-rappdirs                    	       4       42       38        0        0
 6057 r-cran-rcolorbrewer                	       4       41       37        0        0
 6058 r-cran-rcpp                        	       4       45       41        0        0
 6059 r-cran-readr                       	       4       36       32        0        0
 6060 r-cran-reshape2                    	       4       37       33        0        0
 6061 r-cran-sodium                      	       4       39       35        0        0
 6062 r-cran-stringi                     	       4       43       38        1        0
 6063 r-cran-stringr                     	       4       43       39        0        0
 6064 r-cran-sys                         	       4       43       39        0        0
 6065 r-cran-tidyr                       	       4       38       34        0        0
 6066 r-cran-timechange                  	       4       37       33        0        0
 6067 r-cran-timedate                    	       4       38       34        0        0
 6068 r-cran-timeseries                  	       4       38       34        0        0
 6069 r-cran-ttr                         	       4       37       33        0        0
 6070 r-cran-tzdb                        	       4       36       31        1        0
 6071 r-cran-viridislite                 	       4       41       37        0        0
 6072 r-cran-xfun                        	       4       43       38        1        0
 6073 r-cran-xml2                        	       4       39       35        0        0
 6074 r-cran-xtable                      	       4       42       38        0        0
 6075 r-cran-xts                         	       4       37       33        0        0
 6076 r-cran-yaml                        	       4       45       41        0        0
 6077 r-cran-zip                         	       4       38       34        0        0
 6078 r-cran-zoo                         	       4       38       34        0        0
 6079 ranger                             	       4       70       65        1        0
 6080 rarcrack                           	       4       40       35        1        0
 6081 rarian-compat                      	       4       92       87        1        0
 6082 rdiff-backup                       	       4       41       33        4        0
 6083 recollcmd                          	       4       35       30        1        0
 6084 ree                                	       4       32       27        1        0
 6085 remind                             	       4       32       25        3        0
 6086 roundcube-core                     	       4       18       14        0        0
 6087 ruby-addressable                   	       4       64       57        3        0
 6088 ruby-bundler                       	       4       48       43        1        0
 6089 ruby-cairo                         	       4       35       31        0        0
 6090 ruby-cairo-gobject                 	       4       35       31        0        0
 6091 ruby-pango                         	       4       35       31        0        0
 6092 ruby-public-suffix                 	       4       61       54        3        0
 6093 ruby2.1                            	       4       65       61        0        0
 6094 ruby2.5                            	       4       84       80        0        0
 6095 rygel                              	       4      426      384       38        0
 6096 rzip                               	       4       41       36        1        0
 6097 salt-common                        	       4        6        2        0        0
 6098 salt-minion                        	       4        4        0        0        0
 6099 samba-dsdb-modules                 	       4      924       13        0      907
 6100 samba-vfs-modules                  	       4      336        5        0      327
 6101 selinux-utils                      	       4      105      100        1        0
 6102 sendmail-base                      	       4       54       49        1        0
 6103 shotcut                            	       4       62       54        4        0
 6104 smplayer-l10n                      	       4      505        8        0      493
 6105 snap                               	       4       28       23        1        0
 6106 snmp-mibs-downloader               	       4       62       58        0        0
 6107 sqlitebrowser                      	       4      127      123        0        0
 6108 sqv                                	       4        7        3        0        0
 6109 ssh-audit                          	       4       30       25        1        0
 6110 strongswan-swanctl                 	       4       11        7        0        0
 6111 swayidle                           	       4       27       20        3        0
 6112 swtpm-libs                         	       4      289        1        0      284
 6113 sxhkd                              	       4       37       33        0        0
 6114 sysbench                           	       4       71       67        0        0
 6115 tcptraceroute                      	       4       45       41        0        0
 6116 tcpxtract                          	       4       22       17        1        0
 6117 tdegraphics-tdefile-plugins-trinity	       4       29       23        2        0
 6118 teckit                             	       4      236      228        4        0
 6119 terser                             	       4      168      161        3        0
 6120 texlive-bibtex-extra               	       4      199      190        5        0
 6121 texstudio                          	       4       69       64        1        0
 6122 thonny                             	       4       29       23        2        0
 6123 tnef                               	       4       36       32        0        0
 6124 tofrodos                           	       4       76       72        0        0
 6125 tomcat8-common                     	       4        6        2        0        0
 6126 transmission-cli                   	       4       68       64        0        0
 6127 ttf-kochi-gothic                   	       4       10        1        0        5
 6128 ucspi-tcp                          	       4       16       12        0        0
 6129 ufraw-batch                        	       4      108      104        0        0
 6130 uim-gtk3-immodule                  	       4       35       12        2       17
 6131 uim-mozc                           	       4       30       14        2       10
 6132 uni2ascii                          	       4       33       27        2        0
 6133 unicode                            	       4       23       18        1        0
 6134 unixodbc                           	       4       81       75        2        0
 6135 usbip                              	       4       22       16        2        0
 6136 usbredirect                        	       4       19       15        0        0
 6137 usbview                            	       4       35       31        0        0
 6138 uucp                               	       4       11        7        0        0
 6139 varnish                            	       4        5        1        0        0
 6140 vdpauinfo                          	       4       91       86        1        0
 6141 vim-scripts                        	       4      104       98        2        0
 6142 virt-what                          	       4       48       43        1        0
 6143 virtualbox-dkms                    	       4       31       26        1        0
 6144 webpack                            	       4      168      160        4        0
 6145 weechat-curses                     	       4       53       48        1        0
 6146 wfrench                            	       4      131      120        7        0
 6147 wine-stable-i386                   	       4       49       44        1        0
 6148 wine64-preloader                   	       4       36       31        1        0
 6149 winehq-stable                      	       4       44       40        0        0
 6150 wmaker-common                      	       4       81       77        0        0
 6151 x264                               	       4       63       55        4        0
 6152 xautolock                          	       4       23       19        0        0
 6153 xfce4-genmon-plugin                	       4     1661        7        0     1650
 6154 xfce4-timer-plugin                 	       4     1664       15        0     1645
 6155 xfce4-wavelan-plugin               	       4     1660       15        0     1641
 6156 xfe                                	       4       70       66        0        0
 6157 xmltv-util                         	       4       16       12        0        0
 6158 xosview                            	       4       34       29        1        0
 6159 xournalpp                          	       4       41       34        3        0
 6160 xpad                               	       4       21       17        0        0
 6161 xphoon                             	       4       11        7        0        0
 6162 xplanet                            	       4       58       54        0        0
 6163 xserver-xephyr                     	       4      279      224       51        0
 6164 xserver-xorg-dev                   	       4       78       60       14        0
 6165 xserver-xorg-input-kbd             	       4       58       53        1        0
 6166 xserver-xorg-input-mouse           	       4      214      208        2        0
 6167 xserver-xorg-video-mga             	       4      150      145        1        0
 6168 xserver-xorg-video-nvidia-legacy-390xx	       4       13        9        0        0
 6169 xymon-client                       	       4       10        6        0        0
 6170 yad                                	       4      205      190       11        0
 6171 youtube-dl                         	       4      422      224        2      192
 6172 zfs-dkms                           	       4       75       66        5        0
 6173 zoo                                	       4       33       29        0        0
 6174 zpaq                               	       4       31       26        1        0
 6175 7zip-rar                           	       3       22       14        5        0
 6176 aardvark-dns                       	       3       55       51        1        0
 6177 abootimg                           	       3       33       27        3        0
 6178 acpica-tools                       	       3       83       80        0        0
 6179 advancecomp                        	       3       59       56        0        0
 6180 agedu                              	       3        9        6        0        0
 6181 aircrack-ng                        	       3       70       67        0        0
 6182 alsa-oss                           	       3       94       90        1        0
 6183 alsa-tools                         	       3      159      154        2        0
 6184 amarok-engine-xine-trinity         	       3        6        3        0        0
 6185 amarok-trinity                     	       3        6        3        0        0
 6186 ancient                            	       3       17       13        1        0
 6187 android-file-transfer              	       3       47       44        0        0
 6188 antlr                              	       3      108      104        1        0
 6189 apksigner                          	       3       48       43        2        0
 6190 apparmor-utils                     	       3       91       86        2        0
 6191 arduino                            	       3       89       85        1        0
 6192 arduino-builder                    	       3       84       80        1        0
 6193 arduino-ctags                      	       3       85       81        1        0
 6194 arpalert                           	       3        9        6        0        0
 6195 arqiver                            	       3       10        7        0        0
 6196 aspell-fr                          	       3      132      123        6        0
 6197 aspell-ru                          	       3      172      155       14        0
 6198 asterisk                           	       3       11        8        0        0
 6199 asterisk-modules                   	       3       11        8        0        0
 6200 asterisk-voicemail                 	       3       10        7        0        0
 6201 asymptote                          	       3      109      100        6        0
 6202 atomix                             	       3       24       21        0        0
 6203 avfs                               	       3       21       16        2        0
 6204 awstats                            	       3       19       16        0        0
 6205 b43-fwcutter                       	       3       89       77        9        0
 6206 bacula-common                      	       3        9        6        0        0
 6207 bacula-fd                          	       3        8        5        0        0
 6208 baobab                             	       3      207      181       23        0
 6209 bareos-common                      	       3        9        6        0        0
 6210 bareos-filedaemon                  	       3        9        6        0        0
 6211 barman                             	       3        3        0        0        0
 6212 battery-stats                      	       3        9        6        0        0
 6213 bfbtester                          	       3       18       14        1        0
 6214 bitlbee                            	       3        9        6        0        0
 6215 bluemon                            	       3       19       16        0        0
 6216 bluez-tools                        	       3      181      176        2        0
 6217 bnd                                	       3       51       48        0        0
 6218 bombardier                         	       3      974      918       53        0
 6219 bpytop                             	       3       39       34        2        0
 6220 broadcom-sta-dkms                  	       3       35       26        5        1
 6221 bruteforce-luks                    	       3       20       16        1        0
 6222 bvi                                	       3       19       15        1        0
 6223 calendar                           	       3      123      120        0        0
 6224 calligra-libs                      	       3       50       44        3        0
 6225 calligrawords                      	       3       39       33        3        0
 6226 cargo                              	       3       94       87        4        0
 6227 catdvi                             	       3       26       23        0        0
 6228 cavezofphear                       	       3      979      923       53        0
 6229 celluloid                          	       3       27       22        2        0
 6230 checkinstall                       	       3       93       90        0        0
 6231 chrome-remote-desktop              	       3        9        6        0        0
 6232 chromium-driver                    	       3       73       59       11        0
 6233 clang-19                           	       3       42       34        5        0
 6234 clang-format                       	       3       50       45        2        0
 6235 clang-format-14                    	       3       36       33        0        0
 6236 clang-tidy                         	       3       66       61        2        0
 6237 clang-tools-14                     	       3       50       47        0        0
 6238 clangd                             	       3       64       58        3        0
 6239 clangd-14                          	       3       51       48        0        0
 6240 claws-mail-dillo-viewer            	       3       39        1        0       35
 6241 claws-mail-mailmbox-plugin         	       3       38        3        0       32
 6242 claws-mail-multi-notifier          	       3       40        5        0       32
 6243 claws-mail-smime-plugin            	       3       40        3        0       34
 6244 claws-mail-vcalendar-plugin        	       3       43        3        0       37
 6245 cme                                	       3       25       21        1        0
 6246 cmospwd                            	       3       20       16        1        0
 6247 cmt                                	       3       36       33        0        0
 6248 cmus                               	       3       54       51        0        0
 6249 codium                             	       3       56       48        5        0
 6250 compizconfig-settings-manager      	       3       51       42        6        0
 6251 connman-vpn                        	       3       17       14        0        0
 6252 convmv                             	       3       48       45        0        0
 6253 corectrl                           	       3       10        5        2        0
 6254 courier-authdaemon                 	       3       24       21        0        0
 6255 courier-imap                       	       3       16       13        0        0
 6256 courier-mta                        	       3        8        5        0        0
 6257 cowbuilder                         	       3       52       49        0        0
 6258 cowdancer                          	       3       57       54        0        0
 6259 cpanminus                          	       3       39       36        0        0
 6260 cpp-11                             	       3       92       89        0        0
 6261 cpupower-gui                       	       3       16       13        0        0
 6262 cramfsswap                         	       3       48       44        1        0
 6263 crowdsec                           	       3        4        1        0        0
 6264 crun                               	       3       49       42        4        0
 6265 crunch                             	       3       20       16        1        0
 6266 csound                             	       3       34       30        1        0
 6267 csound-utils                       	       3       34       30        1        0
 6268 cstocs                             	       3        6        3        0        0
 6269 cupp                               	       3       17       13        1        0
 6270 curseofwar                         	       3      973      918       52        0
 6271 cutecom                            	       3       22       19        0        0
 6272 cyrus-common                       	       3        4        1        0        0
 6273 cython3                            	       3       63       58        2        0
 6274 dasher                             	       3       12        9        0        0
 6275 dbview                             	       3       31       28        0        0
 6276 ddccontrol                         	       3       19       16        0        0
 6277 ddclient                           	       3       20       16        1        0
 6278 ddcutil                            	       3       13       10        0        0
 6279 debdelta                           	       3       10        7        0        0
 6280 debian-reference-common            	       3      405      369       33        0
 6281 debmirror                          	       3       15       11        1        0
 6282 dehydrated                         	       3       13       10        0        0
 6283 desktop-profiles                   	       3        4        1        0        0
 6284 devilspie2                         	       3        8        5        0        0
 6285 dh-dkms                            	       3       19       15        1        0
 6286 dh-exec                            	       3       67       64        0        0
 6287 dialect                            	       3        7        4        0        0
 6288 dictconv                           	       3       18       14        1        0
 6289 diffmon                            	       3        3        0        0        0
 6290 diffoscope-minimal                 	       3       26       19        4        0
 6291 diffuse                            	       3       32       29        0        0
 6292 digikam-private-libs               	       3       67       60        4        0
 6293 dino-im                            	       3       28       25        0        0
 6294 dino-im-common                     	       3       28        1        0       24
 6295 diskscan                           	       3       14       11        0        0
 6296 djview4                            	       3       46       40        3        0
 6297 dmitry                             	       3       17       13        1        0
 6298 dnstracer                          	       3       20       17        0        0
 6299 dolphin-data                       	       3       29        1        1       24
 6300 dolphin-nextcloud                  	       3       20        8        0        9
 6301 dotnet-host                        	       3       38       32        3        0
 6302 doublecmd-common                   	       3       46       42        1        0
 6303 duperemove                         	       3       30       26        1        0
 6304 duplicati                          	       3        6        3        0        0
 6305 dvb-apps                           	       3       26       23        0        0
 6306 dvdbackup                          	       3       56       53        0        0
 6307 e16                                	       3        6        3        0        0
 6308 e2fsck-static                      	       3       30       27        0        0
 6309 elpa-auto-complete                 	       3        5        2        0        0
 6310 elpa-color-theme-modern            	       3       34       30        1        0
 6311 elpa-git-commit                    	       3       19       16        0        0
 6312 elpa-magit                         	       3       19       16        0        0
 6313 elpa-with-editor                   	       3       23       20        0        0
 6314 enjarify                           	       3       19       15        1        0
 6315 enlightenment                      	       3       30       26        1        0
 6316 epson-printer-utility              	       3       12        9        0        0
 6317 erlang-crypto                      	       3       64       60        1        0
 6318 erofs-utils                        	       3       16       12        1        0
 6319 eskatos-config                     	       3       12        9        0        0
 6320 evemu-tools                        	       3      101       94        3        1
 6321 exa                                	       3       37       33        1        0
 6322 exifprobe                          	       3       45       42        0        0
 6323 ext3grep                           	       3       38       35        0        0
 6324 faad                               	       3       98       89        6        0
 6325 fakechroot                         	       3       77       72        2        0
 6326 fam                                	       3       10        7        0        0
 6327 fcitx-bin                          	       3       21       18        0        0
 6328 fcitx-frontend-gtk3                	       3       22        8        0       11
 6329 fcitx-module-dbus                  	       3       23        7        0       13
 6330 fcitx-module-kimpanel              	       3       22        7        0       12
 6331 fcitx-module-lua                   	       3       22        7        0       12
 6332 fcitx-module-x11                   	       3       23        7        0       13
 6333 fcitx-modules                      	       3       23        7        0       13
 6334 fcitx-ui-classic                   	       3       20        7        0       10
 6335 fcrackzip                          	       3       44       41        0        0
 6336 fdutils                            	       3       37       34        0        0
 6337 fil-plugins                        	       3       27       24        0        0
 6338 filelight                          	       3      111      103        5        0
 6339 firebird-utils                     	       3       19        9        7        0
 6340 firefox-esr-l10n-fi                	       3        6        3        0        0
 6341 firehol-common                     	       3       21       18        0        0
 6342 firmware-bnx2x                     	       3      158      153        2        0
 6343 firmware-nvidia-gsp                	       3      164      146       15        0
 6344 fish-common                        	       3       97       16        0       78
 6345 five-or-more                       	       3      377      338       36        0
 6346 fldiff                             	       3       15       12        0        0
 6347 flowblade                          	       3       27       24        0        0
 6348 fluidsynth                         	       3      111      104        4        0
 6349 font-manager-common                	       3       93       11        0       79
 6350 fontforge-extras                   	       3       52       48        1        0
 6351 fonts-adf-baskervald               	       3       29        0        0       26
 6352 fonts-adf-tribun                   	       3       26        0        0       23
 6353 fonts-arphic-gbsn00lp              	       3      111        0        0      108
 6354 fonts-cabin                        	       3      195        6        0      186
 6355 fonts-clear-sans                   	       3      151        4        0      144
 6356 fonts-deva-extra                   	       3       60        2        0       55
 6357 fonts-firacode                     	       3       74        6        0       65
 6358 fonts-georgewilliams               	       3       28        1        0       24
 6359 fonts-gfs-baskerville              	       3      283        2        0      278
 6360 fonts-gfs-porson                   	       3      282        2        0      277
 6361 fonts-ipafont-mincho               	       3      157        8        0      146
 6362 fonts-liberation-sans-narrow       	       3      214        6        0      205
 6363 fonts-linex                        	       3       34        4        0       27
 6364 fonts-orya-extra                   	       3       57        1        0       53
 6365 fonts-paratype                     	       3      144        8        0      133
 6366 fonts-samyak-deva                  	       3       60        1        0       56
 6367 fonts-sil-charis                   	       3      171        4        0      164
 6368 fonts-takao-gothic                 	       3       66        5        0       58
 6369 fonts-tlwg-typo-otf                	       3      106        1        0      102
 6370 fonts-tlwg-umpush-otf              	       3      106        3        0      100
 6371 fonts-tlwg-waree-otf               	       3      106        1        0      102
 6372 fonts-tuffy                        	       3       82        1        0       78
 6373 fonts-wine                         	       3      523        5        0      515
 6374 fonts-yrsa-rasa                    	       3       57        1        0       53
 6375 fop                                	       3       58       55        0        0
 6376 fp-utils                           	       3       28       24        1        0
 6377 fp-utils-3.2.0                     	       3       23       19        1        0
 6378 freesweep                          	       3      974      918       53        0
 6379 frr                                	       3        6        3        0        0
 6380 fstransform                        	       3        8        5        0        0
 6381 fswatch                            	       3       16       13        0        0
 6382 funcoeszz                          	       3       16       12        1        0
 6383 fuse-overlayfs                     	       3       70       65        2        0
 6384 fwbuilder                          	       3       14       11        0        0
 6385 fxload                             	       3       78       73        2        0
 6386 galera-3                           	       3       75       72        0        0
 6387 gdal-bin                           	       3       87       80        4        0
 6388 gddccontrol                        	       3       14       11        0        0
 6389 geneweb                            	       3        5        2        0        0
 6390 gettext-el                         	       3       10        7        0        0
 6391 gforth                             	       3       22       19        0        0
 6392 gifshuffle                         	       3       17       13        1        0
 6393 gigolo                             	       3       42       38        1        0
 6394 gir1.2-farstream-0.2               	       3       58        6        0       49
 6395 gir1.2-ggit-1.0                    	       3      124      118        3        0
 6396 gir1.2-matedesktop-2.0             	       3       77        1        0       73
 6397 gir1.2-matemenu-2.0                	       3      477       10        0      464
 6398 gir1.2-matepanelapplet-4.0         	       3       83       10        0       70
 6399 gir1.2-spice-client-glib-2.0       	       3       20       17        0        0
 6400 gir1.2-spice-client-gtk-3.0        	       3       19       16        0        0
 6401 git-big-picture                    	       3        9        6        0        0
 6402 git-buildpackage                   	       3       67       64        0        0
 6403 git-lfs                            	       3       83       72        8        0
 6404 gkrellm-bfm                        	       3       22       18        1        0
 6405 gkrellm-hdplop                     	       3       21       17        1        0
 6406 gkrellm-reminder                   	       3       11        8        0        0
 6407 gkrellm-x86info                    	       3       12        9        0        0
 6408 gkrellmwireless                    	       3       17       13        1        0
 6409 gkrellweather                      	       3       14       11        0        0
 6410 glmark2-x11                        	       3       19       16        0        0
 6411 gnome-bluetooth-sendto             	       3      322      287       32        0
 6412 gnome-browser-connector            	       3      138      119       16        0
 6413 gnome-commander                    	       3       16       13        0        0
 6414 gnome-contacts                     	       3      125      107       15        0
 6415 gnome-flashback                    	       3       65       53        9        0
 6416 gnome-genius                       	       3       16       12        1        0
 6417 gnome-mines                        	       3      384      346       35        0
 6418 gnome-package-updater              	       3       31       25        3        0
 6419 gnome-panel                        	       3       67       55        9        0
 6420 golang-1.19-go                     	       3      115      112        0        0
 6421 golang-go                          	       3      168      152       13        0
 6422 google-earth-pro-stable            	       3       55       51        1        0
 6423 gpart                              	       3      123      120        0        0
 6424 gpgv2                              	       3       14       11        0        0
 6425 gphotofs                           	       3       38       35        0        0
 6426 gpsbabel                           	       3       68       65        0        0
 6427 gradle                             	       3       46       43        0        0
 6428 grim                               	       3       98       92        3        0
 6429 gromit-mpx                         	       3       18       15        0        0
 6430 groovy                             	       3       76       72        1        0
 6431 grsync                             	       3       73       69        1        0
 6432 gstreamer1.0-gl                    	       3     2957        5        0     2949
 6433 guile-2.2                          	       3       83       78        2        0
 6434 guvcview                           	       3       89       84        2        0
 6435 havp                               	       3        5        2        0        0
 6436 hcxdumptool                        	       3       25       21        1        0
 6437 hd-idle                            	       3       11        8        0        0
 6438 hdf5-tools                         	       3       36       32        1        0
 6439 heartbleeder                       	       3       16       12        1        0
 6440 heirloom-mailx                     	       3       19       15        0        1
 6441 hexcompare                         	       3       22       17        2        0
 6442 highlight                          	       3       22       19        0        0
 6443 hobbit-plugins                     	       3        5        2        0        0
 6444 hostapd                            	       3       43       40        0        0
 6445 hsetroot                           	       3       27       24        0        0
 6446 html2ps                            	       3       43       40        0        0
 6447 htpdate                            	       3       12        9        0        0
 6448 hugin-tools                        	       3       53       49        1        0
 6449 hunspell-de-de                     	       3      315      291       16        5
 6450 hyperv-daemons                     	       3        8        5        0        0
 6451 ibus-anthy                         	       3       14       11        0        0
 6452 icecc                              	       3       10        7        0        0
 6453 icedax                             	       3       43       40        0        0
 6454 icedtea-netx                       	       3      113       89        0       21
 6455 icinga-core                        	       3        4        1        0        0
 6456 icinga2-common                     	       3       48       45        0        0
 6457 id3                                	       3      264      231       30        0
 6458 imageindex                         	       3       16       12        1        0
 6459 imvirt                             	       3       34       31        0        0
 6460 inn2                               	       3        6        3        0        0
 6461 inn2-inews                         	       3       13       10        0        0
 6462 ioquake3                           	       3       40       37        0        0
 6463 iptux                              	       3        4        1        0        0
 6464 iputils-arping                     	       3       79       76        0        0
 6465 ipv6calc                           	       3       30       27        0        0
 6466 isag                               	       3        9        6        0        0
 6467 isenkram                           	       3        7        4        0        0
 6468 isync                              	       3       35       30        2        0
 6469 jami                               	       3       24       20        1        0
 6470 jdupes                             	       3       51       47        1        0
 6471 jnettop                            	       3       64       60        1        0
 6472 josm                               	       3       20       16        1        0
 6473 jsbeautifier                       	       3       26       20        3        0
 6474 jupyter-console                    	       3       23       20        0        0
 6475 jupyter-nbconvert                  	       3       27       24        0        0
 6476 jupyter-nbformat                   	       3       32       29        0        0
 6477 jupyter-notebook                   	       3       54       51        0        0
 6478 jwm                                	       3       40       37        0        0
 6479 kaccounts-integration              	       3       29        9        0       17
 6480 kaffeine-trinity                   	       3        8        5        0        0
 6481 kalarm                             	       3       57       53        1        0
 6482 kcalc-trinity                      	       3       30       25        2        0
 6483 kde-runtime                        	       3       40       37        0        0
 6484 kde-style-oxygen-qt6               	       3       28       18        7        0
 6485 kdelibs5-plugins                   	       3       41       38        0        0
 6486 keybase                            	       3       11        8        0        0
 6487 keychain                           	       3       39       36        0        0
 6488 kf5-messagelib-data                	       3      487       10        0      474
 6489 kio-gdrive                         	       3       17        4        0       10
 6490 kitty-shell-integration            	       3       61       54        4        0
 6491 klavaro                            	       3       34       31        0        0
 6492 kmahjongg                          	       3       80       76        1        0
 6493 kmilo-trinity                      	       3       25       20        2        0
 6494 knockd                             	       3       14       11        0        0
 6495 kodi-bin                           	       3       85       25        0       57
 6496 kolf-trinity                       	       3       23       18        2        0
 6497 konq-plugins-trinity               	       3       26       21        2        0
 6498 korganizer-trinity                 	       3       29       24        2        0
 6499 krb5-kdc                           	       3       13       10        0        0
 6500 krb5-locales                       	       3     3877        5        0     3869
 6501 krfb                               	       3       53       48        2        0
 6502 krfb-trinity                       	       3       24       19        2        0
 6503 krita-gmic                         	       3      110      106        1        0
 6504 ksnapshot-trinity                  	       3       31       25        3        0
 6505 ksysguardd                         	       3      121      116        2        0
 6506 ktimetracker                       	       3       11        7        1        0
 6507 ladspa-sdk                         	       3       64       60        1        0
 6508 latexdiff                          	       3      112      108        1        0
 6509 latexmk                            	       3      132      128        1        0
 6510 layer-shell-qt                     	       3      463       24        3      433
 6511 leafnode                           	       3        4        1        0        0
 6512 leafpad                            	       3       57       54        0        0
 6513 ledit                              	       3       60       56        1        0
 6514 lib3mf1                            	       3       72        2        0       67
 6515 libaec0                            	       3      815        9        0      803
 6516 libakonadi-data                    	       3       15        0        0       12
 6517 libamtk-5-0                        	       3      355        7        1      344
 6518 libapache2-mod-php5.6              	       3        5        2        0        0
 6519 libapache2-mod-wsgi-py3            	       3       18       15        0        0
 6520 libappconfig-perl                  	       3       82       78        1        0
 6521 libarmadillo11                     	       3      469        4        0      462
 6522 libarpack2                         	       3      667        7        0      657
 6523 libauparse0t64                     	       3       19        1        0       15
 6524 libavahi-tqt-1                     	       3       43       12        1       27
 6525 libavcodec-extra58                 	       3       31        7        0       21
 6526 libavresample4                     	       3      655        3        0      649
 6527 libbabl-0.1-0                      	       3     2720       12        0     2705
 6528 libbasicobjects0t64                	       3       14       11        0        0
 6529 libblosc1                          	       3      624        7        0      614
 6530 libc++1-19                         	       3       24       21        0        0
 6531 libc++abi1-19                      	       3       24       21        0        0
 6532 libcanberra-gtk-module             	       3      164        1        0      160
 6533 libcanberra0t64                    	       3       19        5        0       11
 6534 libcddb2                           	       3     1866        5        0     1858
 6535 libcec6                            	       3       99       10        0       86
 6536 libchamplain-0.12-0                	       3      225       20        1      201
 6537 libchamplain-gtk-0.12-0            	       3      224       20        1      200
 6538 libclang-19-dev                    	       3       11        7        1        0
 6539 libclang-cpp16                     	       3       27       24        0        0
 6540 libclang-rt-14-dev                 	       3      212      207        2        0
 6541 libcollection4t64                  	       3       14       11        0        0
 6542 libconfig-any-perl                 	       3       16       13        0        0
 6543 libconfig11                        	       3       19        2        1       13
 6544 libcontextual-return-perl          	       3      202      196        3        0
 6545 libconvert-tnef-perl               	       3       29       26        0        0
 6546 libcrossguid0                      	       3       93       10        0       80
 6547 libcwidget4                        	       3      948       16        1      928
 6548 libdatetime-format-sqlite-perl     	       3       17       14        0        0
 6549 libdatetime-perl                   	       3      377        3        0      371
 6550 libdazzle-1.0-0                    	       3      118        2        0      113
 6551 libdbd-pg-perl                     	       3      129        1        0      125
 6552 libdecoration0t64                  	       3        7        0        1        3
 6553 libdevel-partialdump-perl          	       3      101       98        0        0
 6554 libdevmapper-event1.02.1           	       3     1301        3        0     1295
 6555 libdhash1t64                       	       3       14       11        0        0
 6556 libdnssec9                         	       3       12        4        0        5
 6557 libdvbcsa1                         	       3       16        4        0        9
 6558 libebur128-1                       	       3      434        6        0      425
 6559 libedit-dev                        	       3       51       47        1        0
 6560 libegl-nvidia-legacy-390xx0        	       3       13        0        0       10
 6561 libegl-nvidia-tesla-470-0          	       3       15        5        0        7
 6562 libemail-abstract-perl             	       3       79       76        0        0
 6563 libemail-sender-perl               	       3       76       73        0        0
 6564 libemeraldengine0t64               	       3        6        1        2        0
 6565 libenchant1c2a                     	       3      315        1        0      311
 6566 libevent-pthreads-2.1-7t64         	       3       51        3        0       45
 6567 libextutils-pkgconfig-perl         	       3      439      425       11        0
 6568 libfcitx-config4                   	       3       27        7        0       17
 6569 libfcitx-core0                     	       3       25        7        0       15
 6570 libfcitx-gclient1                  	       3       26        8        0       15
 6571 libfcitx-utils0                    	       3       28        9        0       16
 6572 libfilesys-df-perl                 	       3       87        4        0       80
 6573 libfl2                             	       3     1403        5        0     1395
 6574 libflac-dev                        	       3      234      228        3        0
 6575 libfreecad-python3-0.20            	       3       82       77        2        0
 6576 libfreexl1                         	       3      669        7        0      659
 6577 libfstrcmp0                        	       3       91       10        0       78
 6578 libfuture-perl                     	       3      172      165        4        0
 6579 libfyba0                           	       3      595        7        0      585
 6580 libgdal32                          	       3      466        4        0      459
 6581 libgdbm-dev                        	       3       88       85        0        0
 6582 libgdbm6t64                        	       3      293        6        0      284
 6583 libgdome2-0                        	       3       27        2        0       22
 6584 libgegl-0.4-0                      	       3     2533       11        0     2519
 6585 libgeotiff5                        	       3      627        7        0      617
 6586 libgimp2.0                         	       3     2604       11        0     2590
 6587 libglut-dev                        	       3      202      198        1        0
 6588 libglvnd-core-dev                  	       3      541      517       15        6
 6589 libglvnd-dev                       	       3      640      106        0      531
 6590 libglx-nvidia-legacy-390xx0        	       3       13        1        0        9
 6591 libgmime-3.0-0t64                  	       3       17        1        0       13
 6592 libgnupg-interface-perl            	       3       20       15        2        0
 6593 libgoogle-perftools4               	       3       38        2        0       33
 6594 libgsf-1-114                       	       3      708        5        0      700
 6595 libgssdp-1.6-0                     	       3     2435        4        0     2428
 6596 libgtksourceview-3.0-common        	       3     2087       11        1     2072
 6597 libgtksourceview-5-0               	       3      371        1        1      366
 6598 libgupnp-1.6-0                     	       3     2433        4        0     2426
 6599 libhash-merge-perl                 	       3      130      125        2        0
 6600 libhashkit2t64                     	       3        9        1        0        5
 6601 libhdf4-0-alt                      	       3      669        7        0      659
 6602 libhdf5-103-1                      	       3      691        8        0      680
 6603 libhdf5-hl-100                     	       3      635        7        0      625
 6604 libhidapi-libusb0                  	       3      263        6        0      254
 6605 libhttp-server-simple-perl         	       3       53       50        0        0
 6606 libhx32                            	       3       25        7        0       15
 6607 libiksemel3                        	       3       25        9        0       13
 6608 libini-config5t64                  	       3       14       11        0        0
 6609 libinotifytools0                   	       3      155       12        0      140
 6610 libio-prompter-perl                	       3      202      194        5        0
 6611 libio-socket-timeout-perl          	       3       20       16        1        0
 6612 libio-stty-perl                    	       3       30       27        0        0
 6613 libipa-hbac0t64                    	       3       14       11        0        0
 6614 libiso9660-11t64                   	       3       33        4        0       26
 6615 libixml11t64                       	       3      144        8        0      133
 6616 libjavascriptcoregtk-6.0-1         	       3      149       12        5      129
 6617 libjson-c2                         	       3      254        5        0      246
 6618 libjuh-java                        	       3      391      371       17        0
 6619 libjxl0.9                          	       3      173       80        0       90
 6620 libkf5coreaddons-dev               	       3       93       90        0        0
 6621 libkf5dbusaddons-dev               	       3       85       82        0        0
 6622 libkf5filemetadata-data            	       3      790        2        0      785
 6623 libkf5i18n-dev                     	       3       93       90        0        0
 6624 libkf5iconthemes-data              	       3     1209       10        0     1196
 6625 libkf5kdcraw5                      	       3      636        5        0      628
 6626 libkf5konq6                        	       3      525       29        9      484
 6627 libkf5ksieve-data                  	       3      477        9        0      465
 6628 libkf5mailcommon-data              	       3      473       11        0      459
 6629 libkf5mailtransport-data           	       3      508        9        0      496
 6630 libkf5pimcommon-data               	       3      481       12        0      466
 6631 libkf5pimtextedit-data             	       3      507       10        0      494
 6632 libkf5sysguard-data                	       3      549       18        0      528
 6633 libkf6colorscheme-data             	       3       94        3        0       88
 6634 libkf6guiaddons-bin                	       3       83       52       28        0
 6635 libkf6threadweaver6                	       3       29        0        0       26
 6636 libkf6userfeedbackwidgets6         	       3       31        3        1       24
 6637 libkf6wallet-data                  	       3       94       10        1       80
 6638 libkissfft-float131                	       3       71       10        0       58
 6639 libkmlbase1                        	       3      608        7        0      598
 6640 libkmldom1                         	       3      608        7        0      598
 6641 libkmlengine1                      	       3      607        7        0      597
 6642 liblinear-tools                    	       3       39       36        0        0
 6643 liblist-allutils-perl              	       3      138      134        1        0
 6644 libllvm18                          	       3       56       18        0       35
 6645 liblog-any-adapter-screen-perl     	       3      211      204        4        0
 6646 liblrdf0                           	       3     2387        4        0     2380
 6647 liblttng-ust-common1t64            	       3       17        3        0       11
 6648 liblttng-ust1t64                   	       3       17        3        0       11
 6649 liblz4-dev                         	       3      101       94        4        0
 6650 libmagic-dev                       	       3       54       50        1        0
 6651 libmath-bigint-perl                	       3       31       28        0        0
 6652 libmath-round-perl                 	       3       17       14        0        0
 6653 libmemcached11t64                  	       3        9        1        0        5
 6654 libmission-control-plugins0        	       3      332        9        0      320
 6655 libmodule-cpanfile-perl            	       3       44       41        0        0
 6656 libmono-btls-interface4.0-cil      	       3      277      266        8        0
 6657 libmono-cil-dev                    	       3       90       85        2        0
 6658 libmono-system-net-http4.0-cil     	       3       93       88        2        0
 6659 libmono-system-runtime-serialization4.0-cil	       3      108      103        2        0
 6660 libmono-system-web-services4.0-cil 	       3      123      118        2        0
 6661 libmoox-late-perl                  	       3       20       17        0        0
 6662 libmoox-types-mooselike-perl       	       3       81       78        0        0
 6663 libmypaint-1.5-1                   	       3     1567       12        0     1552
 6664 libnet-ident-perl                  	       3       17       14        0        0
 6665 libnet-smtp-tls-perl               	       3       18       14        1        0
 6666 libnet-smtpauth-perl               	       3       16       12        1        0
 6667 libnet-telnet-perl                 	       3       25       22        0        0
 6668 libnetcdf19                        	       3      504        5        0      496
 6669 libnetfilter-acct1                 	       3     1266       11        0     1252
 6670 libnetfilter-log1                  	       3       21        9        0        9
 6671 libnginx-mod-http-headers-more-filter	       3        8        5        0        0
 6672 libnice10                          	       3     3021        2        0     3016
 6673 libnotmuch5                        	       3       53        3        0       47
 6674 libnss-db                          	       3        6        3        0        0
 6675 libnss-ldap                        	       3       10        0        0        7
 6676 libnss-sss                         	       3       27       21        0        3
 6677 libnss3-tools                      	       3      125      115        7        0
 6678 libnunit-cil-dev                   	       3       65       61        1        0
 6679 libnvidia-allocator1               	       3      134        7        0      124
 6680 libnvidia-legacy-390xx-eglcore     	       3       15        0        0       12
 6681 libnvidia-legacy-390xx-glcore      	       3       15        1        0       11
 6682 libokular6core3                    	       3       25        0        0       22
 6683 libomp-19-dev                      	       3        4        1        0        0
 6684 libomp5-19                         	       3        4        1        0        0
 6685 libopencsg1                        	       3       82        2        0       77
 6686 libopendbx1t64                     	       3        3        0        0        0
 6687 libopts25-dev                      	       3       55       52        0        0
 6688 liborcus-0.18-0                    	       3      197        3        0      191
 6689 liborcus-parser-0.18-0             	       3      197        3        0      191
 6690 libotf0                            	       3      110        3        0      104
 6691 libpackage-stash-xs-perl           	       3     1093        7        0     1083
 6692 libpam-sss                         	       3       27       22        0        2
 6693 libparse-edid-perl                 	       3       13        9        1        0
 6694 libpath-iterator-rule-perl         	       3      198      191        4        0
 6695 libpath-utils1t64                  	       3       16       11        0        2
 6696 libpciaccess-dev                   	       3      305      296        6        0
 6697 libperlio-via-timeout-perl         	       3       20       16        1        0
 6698 libphp-phpmailer                   	       3       19       16        0        0
 6699 libplank-common                    	       3       52       18        0       31
 6700 libpod-constants-perl              	       3      193      186        4        0
 6701 libpod-pom-perl                    	       3       27       23        1        0
 6702 libpoppler-glib8t64                	       3      229       15        1      210
 6703 libpoppler-qt6-3t64                	       3       58        1        0       54
 6704 libpoppler-tqt                     	       3       31        0        0       28
 6705 libpoppler145                      	       3       67        2        0       62
 6706 libportal-gtk4-1                   	       3      174        9        2      160
 6707 libpq-dev                          	       3      183      161       19        0
 6708 libpresage1v5                      	       3       26        8        0       15
 6709 libproj-dev                        	       3       56       51        2        0
 6710 libpython2-dev                     	       3       77       74        0        0
 6711 libpython2.7                       	       3      492        3        0      486
 6712 libqalculate23                     	       3       57        8        2       44
 6713 libqhull-r8.0                      	       3      771        5        0      763
 6714 libqt5multimedia5-plugins          	       3      909        3        0      903
 6715 libqt5multimediagsttools5          	       3      907        2        0      902
 6716 libqt5test5                        	       3     1375       23        0     1349
 6717 libqt6sql6-mysql                   	       3       17        0        0       14
 6718 libredis-perl                      	       3       20       16        1        0
 6719 libref-array1t64                   	       3       14       11        0        0
 6720 libref-util-xs-perl                	       3      699        7        0      689
 6721 librelp0                           	       3       13        1        0        9
 6722 libreoffice-lightproof-en          	       3       32       27        2        0
 6723 libreoffice-pdfimport              	       3       47       38        1        5
 6724 libreoffice-style-galaxy           	       3       62       57        1        1
 6725 libreoffice-texmaths               	       3       24       21        0        0
 6726 libreoffice-uiconfig-base          	       3      100       71       26        0
 6727 libreoffice-uiconfig-report-builder	       3       79       57       19        0
 6728 libreoffice-writer2latex           	       3       32       29        0        0
 6729 librplay3                          	       3       76        7        0       66
 6730 librttopo1                         	       3      631        7        0      621
 6731 libruby3.0                         	       3       21       18        0        0
 6732 libsane                            	       3      328      208        2      115
 6733 libsass1                           	       3      141        0        0      138
 6734 libsexp1                           	       3       44        3        0       38
 6735 libshairplay0                      	       3       91       10        0       78
 6736 libshiboken2-py3-5.15              	       3      122      117        2        0
 6737 libsidplayfp5                      	       3       97        2        0       92
 6738 libslp1                            	       3       44       11        1       29
 6739 libspatialite7                     	       3      608        6        0      599
 6740 libsss-certmap0                    	       3       28       18        0        7
 6741 libsss-idmap0                      	       3       27       18        0        6
 6742 libstatgrab10                      	       3      260       17        0      240
 6743 libstring-copyright-perl           	       3      196      189        4        0
 6744 libstring-rewriteprefix-perl       	       3       34       30        1        0
 6745 libsub-handlesvia-perl             	       3       18       15        0        0
 6746 libsuperlu5                        	       3      631        6        0      622
 6747 libsvm-tools                       	       3       32       29        0        0
 6748 libsysstat-qt5-0                   	       3      260        8        0      249
 6749 libsz2                             	       3      814        9        0      802
 6750 libtelepathy-glib0                 	       3      382       18        0      361
 6751 libtemplate-perl                   	       3       66       62        1        0
 6752 libtesseract5                      	       3      407        3        0      401
 6753 libtest-exception-perl             	       3       38       34        1        0
 6754 libtext-iconv-perl                 	       3     4159       12        0     4144
 6755 libthrowable-perl                  	       3       79       76        0        0
 6756 libtokyocabinet9t64                	       3      112        1        0      108
 6757 libtotem-plparser18                	       3      741        9        0      729
 6758 libtss2-esys-3.0.2-0t64            	       3      116       17        2       94
 6759 libtss2-mu-4.0.1-0t64              	       3      118       18        2       95
 6760 libtss2-sys1t64                    	       3      117       18        2       94
 6761 libturbojpeg0                      	       3     2675       16        0     2656
 6762 libunique-1.0-0                    	       3      144        3        0      138
 6763 libunwind-19                       	       3       25       22        0        0
 6764 libunwind-dev                      	       3      125      122        0        0
 6765 libupnp17t64                       	       3      144        8        0      133
 6766 liburcu8                           	       3      290        5        0      282
 6767 liburi-encode-perl                 	       3       47       44        0        0
 6768 libusb-1.0-0-dev                   	       3      237      230        4        0
 6769 libva-glx2                         	       3      378        1        0      374
 6770 libwayland-client++1               	       3       74       10        0       61
 6771 libwayland-cursor++1               	       3       75       10        0       62
 6772 libwayland-dev                     	       3      459      436       20        0
 6773 libwayland-egl++1                  	       3       74       10        0       61
 6774 libwebinject-perl                  	       3       17       13        1        0
 6775 libwebkitgtk-6.0-4                 	       3      149       12        5      129
 6776 libwpd-tools                       	       3        9        6        0        0
 6777 libwx-perl                         	       3       54       50        1        0
 6778 libxcb-randr0-dev                  	       3      163      160        0        0
 6779 libxcb-shape0-dev                  	       3      187      183        1        0
 6780 libxcomp3                          	       3      110        4        0      103
 6781 libxdg-basedir1                    	       3       83       13        0       67
 6782 libxdp1                            	       3       21        8        0       10
 6783 libxmltv-perl                      	       3       17       14        0        0
 6784 libxshmfence-dev                   	       3      108      105        0        0
 6785 libyaml-cpp0.7                     	       3      265        5        0      257
 6786 libyaml-pp-perl                    	       3       44       39        2        0
 6787 libyang2                           	       3        7        2        0        2
 6788 libzen0t64                         	       3       31        3        0       25
 6789 libzeroc-ice3.7                    	       3       19       12        0        4
 6790 libzip-dev                         	       3       81       77        1        0
 6791 libzita-convolver4                 	       3       99        5        0       91
 6792 libzscanner4                       	       3       12        4        0        5
 6793 libzzip-0-13t64                    	       3       65        3        0       59
 6794 lighttpd-mod-openssl               	       3       54       49        2        0
 6795 linux-image-5.10.0-31-amd64        	       3       64       61        0        0
 6796 linux-image-5.10.0-9-amd64         	       3      232      220        7        2
 6797 linux-image-6.1.0-27-amd64         	       3      317      313        0        1
 6798 linux-image-6.10.11+bpo-amd64      	       3       23       20        0        0
 6799 linux-image-6.12.10-amd64          	       3       16       13        0        0
 6800 linux-image-6.12.15-amd64          	       3        4        1        0        0
 6801 linux-image-6.13.0                 	       3        4        1        0        0
 6802 lisa-trinity                       	       3       24       19        2        0
 6803 llvm-11                            	       3      102       98        1        0
 6804 llvm-11-runtime                    	       3      104       98        1        2
 6805 llvm-11-tools                      	       3       99       94        1        1
 6806 llvm-13-dev                        	       3       30       27        0        0
 6807 llvm-16-linker-tools               	       3       49       46        0        0
 6808 lolcat                             	       3       48       44        1        0
 6809 lprng                              	       3        9        6        0        0
 6810 lsdvd                              	       3       59       53        3        0
 6811 lua-lgi                            	       3       88       12        0       73
 6812 luametatex                         	       3       12        9        0        0
 6813 lxinput                            	       3      197      176       18        0
 6814 maildrop                           	       3       18       14        1        0
 6815 malcontent                         	       3      399      359       37        0
 6816 malcontent-gui                     	       3      397      357       37        0
 6817 mate-sensors-applet-nvidia         	       3       15        4        0        8
 6818 mboxgrep                           	       3       21       17        1        0
 6819 mbr                                	       3       26       23        0        0
 6820 mbrola                             	       3       17       14        0        0
 6821 mdetect                            	       3       15       12        0        0
 6822 mediathekview                      	       3       37       31        3        0
 6823 megacli                            	       3        9        4        0        2
 6824 megaclisas-status                  	       3        3        0        0        0
 6825 membernator                        	       3       15       11        1        0
 6826 memtest86                          	       3       34       31        0        0
 6827 microsoft-edge-stable              	       3       33       25        5        0
 6828 minecraft-launcher                 	       3       41       38        0        0
 6829 mintmenu                           	       3        4        1        0        0
 6830 mintstick                          	       3       34       30        1        0
 6831 mkvtoolnix-gui                     	       3       62       56        3        0
 6832 mlterm                             	       3       19       16        0        0
 6833 mmdebstrap                         	       3       79       69        7        0
 6834 mmv                                	       3       32       29        0        0
 6835 mono-4.0-gac                       	       3      312      299       10        0
 6836 mosquitto-clients                  	       3       48       44        1        0
 6837 mp3splt                            	       3       26       23        0        0
 6838 mpc                                	       3       57       53        1        0
 6839 mpt-status                         	       3        5        2        0        0
 6840 mrename                            	       3        8        5        0        0
 6841 mrtg                               	       3        7        4        0        0
 6842 mtd-utils                          	       3       77       73        1        0
 6843 mumble-server                      	       3       15       12        0        0
 6844 munin-libvirt-plugins              	       3        7        4        0        0
 6845 musl                               	       3       26       23        0        0
 6846 mypy                               	       3       37       31        3        0
 6847 mythtv-common                      	       3        6        1        0        2
 6848 nbd-server                         	       3       13       10        0        0
 6849 nbtscan                            	       3       30       27        0        0
 6850 netavark                           	       3       59       54        2        0
 6851 nethack-console                    	       3      960      904       53        0
 6852 nettle-dev                         	       3      273      259       11        0
 6853 nginx-full                         	       3       49       16        0       30
 6854 nheko                              	       3       27       24        0        0
 6855 ninvaders                          	       3      968      913       52        0
 6856 nmapsi4                            	       3       35       32        0        0
 6857 nmh                                	       3       18       14        1        0
 6858 nmon                               	       3       63       58        2        0
 6859 nnn                                	       3       48       44        1        0
 6860 nocache                            	       3       25       22        0        0
 6861 node-asn1                          	       3       65       62        0        0
 6862 node-coveralls                     	       3      152      146        3        0
 6863 node-jsonstream                    	       3       41       38        0        0
 6864 node-mkdirp                        	       3      215      190        2       20
 6865 node-nopt                          	       3      215      209        3        0
 6866 node-tap                           	       3      152      146        3        0
 6867 node-tap-mocha-reporter            	       3      152      146        3        0
 6868 node-tap-parser                    	       3      152      146        3        0
 6869 node-undici                        	       3      301      288       10        0
 6870 node-which                         	       3      214      208        3        0
 6871 node-y18n                          	       3      189      180        3        3
 6872 node-yargs                         	       3      188      180        3        2
 6873 nordvpn                            	       3       15       11        1        0
 6874 notify-osd                         	       3       11        0        0        8
 6875 notmuch                            	       3       33       29        1        0
 6876 nrss                               	       3       92       89        0        0
 6877 nslcd-utils                        	       3       19       16        0        0
 6878 nstreams                           	       3       19       15        1        0
 6879 ntpsec-ntpviz                      	       3        8        5        0        0
 6880 nvidia-driver-bin                  	       3      166      148       15        0
 6881 nvidia-settings-tesla-470          	       3       15       12        0        0
 6882 nvidia-tesla-470-smi               	       3       15       12        0        0
 6883 nvidia-vdpau-driver                	       3      183        2        0      178
 6884 nvtop                              	       3       40       37        0        0
 6885 nwipe                              	       3       30       26        1        0
 6886 ocaml-base-nox                     	       3       32       19        1        9
 6887 ocaml-interp                       	       3       73       69        1        0
 6888 offlineimap3                       	       3       24       21        0        0
 6889 omega-rpg                          	       3      969      914       52        0
 6890 onboard                            	       3       48       44        1        0
 6891 open-vm-tools-desktop              	       3       21       17        1        0
 6892 openarena                          	       3       33       30        0        0
 6893 openarena-oacmp1                   	       3       32       29        0        0
 6894 openipmi                           	       3       92       88        1        0
 6895 openjade                           	       3      186      181        2        0
 6896 openpace                           	       3       15       11        1        0
 6897 opensysusers                       	       3       33       27        3        0
 6898 oss-compat                         	       3      179      175        1        0
 6899 packit                             	       3       19       15        1        0
 6900 pamixer                            	       3       19       15        1        0
 6901 pastebinit                         	       3       90       83        4        0
 6902 pavumeter                          	       3       80       77        0        0
 6903 pdf2djvu                           	       3       90       85        2        0
 6904 pdfchain                           	       3       46       42        1        0
 6905 pdfsam                             	       3       63       58        2        0
 6906 pecomato                           	       3       17       13        1        0
 6907 perl-modules                       	       3       61       57        1        0
 6908 perl-modules-5.38                  	       3       96       93        0        0
 6909 pev                                	       3       18       13        1        1
 6910 pgadmin4-server                    	       3       13       10        0        0
 6911 pgbouncer                          	       3        5        2        0        0
 6912 pgtop                              	       3       13        9        1        0
 6913 php-igbinary                       	       3       22        0        0       19
 6914 php-masterminds-html5              	       3       13        9        1        0
 6915 php-net-sieve                      	       3       19       16        0        0
 6916 php5-intl                          	       3       10        7        0        0
 6917 php5.6-intl                        	       3        6        3        0        0
 6918 php5.6-mbstring                    	       3        8        5        0        0
 6919 php5.6-xmlrpc                      	       3        5        2        0        0
 6920 php7.0-imap                        	       3        8        5        0        0
 6921 php7.0-pgsql                       	       3        6        3        0        0
 6922 php7.0-pspell                      	       3        6        3        0        0
 6923 php7.0-sqlite3                     	       3       11        8        0        0
 6924 php7.3-imap                        	       3        9        6        0        0
 6925 php7.3-pspell                      	       3        6        3        0        0
 6926 php7.3-sqlite3                     	       3       12        9        0        0
 6927 php7.3-xmlrpc                      	       3        7        4        0        0
 6928 php7.4-imap                        	       3       20       17        0        0
 6929 php8.0-apcu                        	       3        7        4        0        0
 6930 php8.0-bcmath                      	       3        4        1        0        0
 6931 php8.0-cli                         	       3       18       15        0        0
 6932 php8.0-imagick                     	       3        4        1        0        0
 6933 php8.0-soap                        	       3       10        7        0        0
 6934 php8.0-sqlite3                     	       3        8        5        0        0
 6935 php8.1-bz2                         	       3        5        2        0        0
 6936 php8.1-imagick                     	       3        6        3        0        0
 6937 php8.1-intl                        	       3       11        8        0        0
 6938 php8.2-yaml                        	       3       11        8        0        0
 6939 php8.3-bcmath                      	       3        7        4        0        0
 6940 php8.3-cli                         	       3       11        7        1        0
 6941 php8.4-gd                          	       3        5        1        1        0
 6942 php8.4-imagick                     	       3        7        4        0        0
 6943 picard                             	       3       46       42        1        0
 6944 pidgin-extprefs                    	       3       17       14        0        0
 6945 pidgin-hotkeys                     	       3        9        6        0        0
 6946 pidgin-latex                       	       3        8        5        0        0
 6947 pidgin-sipe                        	       3        8        5        0        0
 6948 pim-sieve-editor                   	       3      456      409       44        0
 6949 pixiewps                           	       3       37       33        1        0
 6950 plasma-activities-bin              	       3       69       37       29        0
 6951 plasma5-integration                	       3       30        9        0       18
 6952 playonlinux                        	       3       88       85        0        0
 6953 pluma-common                       	       3      528       11        0      514
 6954 pngcheck                           	       3       33       29        1        0
 6955 pnmixer                            	       3       25       20        2        0
 6956 polipo                             	       3        4        1        0        0
 6957 posterazor                         	       3       20       17        0        0
 6958 postgresql-10                      	       3        5        2        0        0
 6959 postgresql-client-14               	       3       12        9        0        0
 6960 postgresql-common-dev              	       3       17        7        7        0
 6961 printer-driver-c2esp               	       3      552      539       10        0
 6962 printer-driver-indexbraille        	       3      370      359        8        0
 6963 printer-driver-oki                 	       3      372      362        7        0
 6964 printer-driver-sag-gdi             	       3      552      539       10        0
 6965 printer-driver-splix               	       3      538      526        9        0
 6966 procyon-decompiler                 	       3       23       19        1        0
 6967 protonmail-bridge                  	       3        7        3        1        0
 6968 psrip                              	       3       18       14        1        0
 6969 pssh                               	       3       10        7        0        0
 6970 pterm                              	       3       20       17        0        0
 6971 publicsuffix                       	       3     3582        4        0     3575
 6972 pulseaudio-module-x11              	       3       15       12        0        0
 6973 pulseeffects                       	       3       37       32        2        0
 6974 putty                              	       3       59       55        1        0
 6975 putty-tools                        	       3       77       72        2        0
 6976 pyhoca-cli                         	       3       16       12        1        0
 6977 python-backports.functools-lru-cache	       3       91       87        1        0
 6978 python-dbus-dev                    	       3       68       63        2        0
 6979 python-gevent                      	       3        3        0        0        0
 6980 python-greenlet                    	       3        8        5        0        0
 6981 python-keyrings.alt                	       3       47       44        0        0
 6982 python-matplotlib                  	       3       24       21        0        0
 6983 python-odf-tools                   	       3      111      106        2        0
 6984 python-pexpect                     	       3       46       43        0        0
 6985 python-ptyprocess                  	       3       37       34        0        0
 6986 python-pygments                    	       3      105      101        1        0
 6987 python-reportbug                   	       3      110      104        1        2
 6988 python-roman                       	       3       81       77        1        0
 6989 python-secretstorage               	       3       48       45        0        0
 6990 python-virtualenv                  	       3       22       19        0        0
 6991 python-wicd                        	       3      135      131        1        0
 6992 python2-dev                        	       3       72       69        0        0
 6993 python2.6                          	       3       39       36        0        0
 6994 python3-asn1crypto                 	       3      186      183        0        0
 6995 python3-beniget                    	       3      422      413        6        0
 6996 python3-cffi                       	       3      143      137        3        0
 6997 python3-contourpy                  	       3      461      434       24        0
 6998 python3-cov-core                   	       3       14       11        0        0
 6999 python3-coverage                   	       3      113      107        3        0
 7000 python3-dns                        	       3       81       78        0        0
 7001 python3-dulwich                    	       3       83       80        0        0
 7002 python3-exceptiongroup             	       3       93       90        0        0
 7003 python3-eyed3                      	       3       57       54        0        0
 7004 python3-filelock                   	       3      165      147       15        0
 7005 python3-fs                         	       3      481      454       24        0
 7006 python3-gast                       	       3      422      413        6        0
 7007 python3-gtts                       	       3       15       12        0        0
 7008 python3-humanfriendly              	       3       79       74        2        0
 7009 python3-jsondiff                   	       3       27       23        1        0
 7010 python3-libsass                    	       3       51       47        1        0
 7011 python3-lockfile                   	       3      333      301       29        0
 7012 python3-mpmath                     	       3      489      477        9        0
 7013 python3-mypy                       	       3       43       37        3        0
 7014 python3-numexpr                    	       3       98       92        3        0
 7015 python3-pampy                      	       3      289      250       36        0
 7016 python3-pandas-lib                 	       3      106      100        3        0
 7017 python3-pandocfilters              	       3       92       89        0        0
 7018 python3-pbr                        	       3      165      158        4        0
 7019 python3-pivy                       	       3      117      107        7        0
 7020 python3-pluggy                     	       3      364      358        3        0
 7021 python3-progressbar                	       3       34       30        1        0
 7022 python3-pyaes                      	       3       28       25        0        0
 7023 python3-pydantic-core              	       3       10        6        1        0
 7024 python3-pyfuse3                    	       3       30       26        1        0
 7025 python3-pygame-sdl2                	       3       11        8        0        0
 7026 python3-pyodbc                     	       3       17       13        1        0
 7027 python3-pyqt6.qtsvg                	       3      192      177       12        0
 7028 python3-pyqtgraph                  	       3       86       81        2        0
 7029 python3-pyside2.qtprintsupport     	       3       86       80        3        0
 7030 python3-pyside2.qtwebchannel       	       3       86       80        3        0
 7031 python3-pyside2.qtwebenginecore    	       3       86       80        3        0
 7032 python3-pyside2.qtwebenginewidgets 	       3       86       80        3        0
 7033 python3-qrcode                     	       3      117      112        2        0
 7034 python3-qtpy                       	       3      130      126        1        0
 7035 python3-rfc3987                    	       3      267      252       12        0
 7036 python3-spf                        	       3       10        7        0        0
 7037 python3-sphinx                     	       3      155      147        5        0
 7038 python3-sugar3                     	       3      257      249        5        0
 7039 python3-tables                     	       3       96       93        0        0
 7040 python3-texttable                  	       3      321      310        8        0
 7041 python3-thrift                     	       3       43       37        3        0
 7042 python3-tlsh                       	       3       19       13        3        0
 7043 python3-tomli                      	       3      130      103       24        0
 7044 python3-traitlets                  	       3      331      323        5        0
 7045 python3-ufolib2                    	       3      472      460        9        0
 7046 python3-uritemplate                	       3      280      264       13        0
 7047 python3-webcolors                  	       3      269      253       13        0
 7048 python3-wrapt                      	       3      204      188       13        0
 7049 python3-xxhash                     	       3       47       31       13        0
 7050 python3.10-minimal                 	       3       26       23        0        0
 7051 qbittorrent-nox                    	       3       14       11        0        0
 7052 qemu-user                          	       3       78       66        9        0
 7053 qmake6                             	       3       83       70       10        0
 7054 qmake6-bin                         	       3       83       70       10        0
 7055 qml-module-org-kde-newstuff        	       3     1035       24        4     1004
 7056 qsynth                             	       3      119      113        3        0
 7057 qt5-assistant                      	       3      205      199        3        0
 7058 qt6-base-dev                       	       3       80       67       10        0
 7059 qt6-wayland                        	       3      298       11        2      282
 7060 qtcreator                          	       3       57       51        3        0
 7061 r-bioc-biobase                     	       3       35       32        0        0
 7062 r-bioc-biocgenerics                	       3       35       32        0        0
 7063 r-bioc-biocversion                 	       3       34       31        0        0
 7064 r-cran-abind                       	       3       38       35        0        0
 7065 r-cran-acepack                     	       3       38       35        0        0
 7066 r-cran-admisc                      	       3       33       30        0        0
 7067 r-cran-aer                         	       3       36       33        0        0
 7068 r-cran-av                          	       3       33       30        0        0
 7069 r-cran-base64enc                   	       3       42       39        0        0
 7070 r-cran-bdsmatrix                   	       3       35       32        0        0
 7071 r-cran-bh                          	       3       37       34        0        0
 7072 r-cran-biocmanager                 	       3       35       32        0        0
 7073 r-cran-bit64                       	       3       40       36        1        0
 7074 r-cran-bitops                      	       3       38       35        0        0
 7075 r-cran-blob                        	       3       40       37        0        0
 7076 r-cran-brew                        	       3       39       36        0        0
 7077 r-cran-brio                        	       3       39       36        0        0
 7078 r-cran-bslib                       	       3       38       34        1        0
 7079 r-cran-cachem                      	       3       39       36        0        0
 7080 r-cran-cairo                       	       3       35       32        0        0
 7081 r-cran-callr                       	       3       39       36        0        0
 7082 r-cran-car                         	       3       36       33        0        0
 7083 r-cran-cardata                     	       3       36       33        0        0
 7084 r-cran-caret                       	       3       37       34        0        0
 7085 r-cran-catools                     	       3       36       33        0        0
 7086 r-cran-cba                         	       3       35       32        0        0
 7087 r-cran-checkmate                   	       3       38       35        0        0
 7088 r-cran-chron                       	       3       37       34        0        0
 7089 r-cran-classint                    	       3       36       33        0        0
 7090 r-cran-clipr                       	       3       39       36        0        0
 7091 r-cran-clock                       	       3       36       33        0        0
 7092 r-cran-clubsandwich                	       3       34       31        0        0
 7093 r-cran-collapse                    	       3       35       32        0        0
 7094 r-cran-conquer                     	       3       37       34        0        0
 7095 r-cran-covr                        	       3       36       33        0        0
 7096 r-cran-credentials                 	       3       37       34        0        0
 7097 r-cran-cubelyr                     	       3       33       30        0        0
 7098 r-cran-cvst                        	       3       36       33        0        0
 7099 r-cran-dbitest                     	       3       37       34        0        0
 7100 r-cran-ddalpha                     	       3       34       31        0        0
 7101 r-cran-decor                       	       3       35       32        0        0
 7102 r-cran-deldir                      	       3       36       33        0        0
 7103 r-cran-deoptimr                    	       3       36       33        0        0
 7104 r-cran-desc                        	       3       41       38        0        0
 7105 r-cran-devtools                    	       3       36       33        0        0
 7106 r-cran-dfoptim                     	       3       34       31        0        0
 7107 r-cran-diffobj                     	       3       38       35        0        0
 7108 r-cran-digest                      	       3       44       41        0        0
 7109 r-cran-docopt                      	       3       35       32        0        0
 7110 r-cran-domc                        	       3       36       33        0        0
 7111 r-cran-doparallel                  	       3       36       33        0        0
 7112 r-cran-dotcall64                   	       3       35       32        0        0
 7113 r-cran-downlit                     	       3       35       32        0        0
 7114 r-cran-drr                         	       3       36       33        0        0
 7115 r-cran-dt                          	       3       36       33        0        0
 7116 r-cran-dygraphs                    	       3       36       33        0        0
 7117 r-cran-dynlm                       	       3       35       32        0        0
 7118 r-cran-e1071                       	       3       37       34        0        0
 7119 r-cran-earth                       	       3       34       31        0        0
 7120 r-cran-ellipse                     	       3       35       32        0        0
 7121 r-cran-energy                      	       3       34       31        0        0
 7122 r-cran-estimability                	       3       35       32        0        0
 7123 r-cran-evaluate                    	       3       43       40        0        0
 7124 r-cran-evd                         	       3       34       31        0        0
 7125 r-cran-fastica                     	       3       36       33        0        0
 7126 r-cran-fastmap                     	       3       40       37        0        0
 7127 r-cran-fastmatch                   	       3       36       33        0        0
 7128 r-cran-fields                      	       3       35       32        0        0
 7129 r-cran-filehash                    	       3       37       34        0        0
 7130 r-cran-fnn                         	       3       35       32        0        0
 7131 r-cran-fontawesome                 	       3       38       35        0        0
 7132 r-cran-fontbitstreamvera           	       3       36       33        0        0
 7133 r-cran-fontliberation              	       3       37       34        0        0
 7134 r-cran-fontquiver                  	       3       36       33        0        0
 7135 r-cran-foreach                     	       3       37       34        0        0
 7136 r-cran-formattable                 	       3       32       29        0        0
 7137 r-cran-formula                     	       3       37       34        0        0
 7138 r-cran-furrr                       	       3       35       32        0        0
 7139 r-cran-future                      	       3       38       35        0        0
 7140 r-cran-future.apply                	       3       36       33        0        0
 7141 r-cran-gargle                      	       3       10        7        0        0
 7142 r-cran-gbrd                        	       3       34       31        0        0
 7143 r-cran-gdata                       	       3       37       34        0        0
 7144 r-cran-geepack                     	       3       35       32        0        0
 7145 r-cran-geometry                    	       3       36       33        0        0
 7146 r-cran-geosphere                   	       3       35       31        1        0
 7147 r-cran-gert                        	       3       37       34        0        0
 7148 r-cran-gganimate                   	       3       34       31        0        0
 7149 r-cran-ggridges                    	       3       10        7        0        0
 7150 r-cran-gh                          	       3       38       35        0        0
 7151 r-cran-gitcreds                    	       3       37       34        0        0
 7152 r-cran-globals                     	       3       38       35        0        0
 7153 r-cran-goftest                     	       3       36       33        0        0
 7154 r-cran-googledrive                 	       3       10        7        0        0
 7155 r-cran-googlesheets4               	       3       10        7        0        0
 7156 r-cran-gower                       	       3       37       34        0        0
 7157 r-cran-gplots                      	       3       36       33        0        0
 7158 r-cran-gsl                         	       3       35       32        0        0
 7159 r-cran-gstat                       	       3       33       30        0        0
 7160 r-cran-gtools                      	       3       37       34        0        0
 7161 r-cran-hardhat                     	       3       36       32        1        0
 7162 r-cran-here                        	       3       35       32        0        0
 7163 r-cran-hexbin                      	       3       35       32        0        0
 7164 r-cran-highr                       	       3       42       39        0        0
 7165 r-cran-hmisc                       	       3       37       33        1        0
 7166 r-cran-htmltable                   	       3       38       35        0        0
 7167 r-cran-htmltools                   	       3       42       39        0        0
 7168 r-cran-htmlwidgets                 	       3       41       38        0        0
 7169 r-cran-httpuv                      	       3       42       39        0        0
 7170 r-cran-httr2                       	       3       36       32        1        0
 7171 r-cran-hunspell                    	       3       34       31        0        0
 7172 r-cran-igraph                      	       3       36       33        0        0
 7173 r-cran-ini                         	       3       38       35        0        0
 7174 r-cran-interp                      	       3       36       33        0        0
 7175 r-cran-intervals                   	       3       34       31        0        0
 7176 r-cran-ipred                       	       3       37       34        0        0
 7177 r-cran-irlba                       	       3       36       33        0        0
 7178 r-cran-isoband                     	       3       40       37        0        0
 7179 r-cran-iterators                   	       3       37       34        0        0
 7180 r-cran-jpeg                        	       3       37       34        0        0
 7181 r-cran-kernlab                     	       3       36       33        0        0
 7182 r-cran-knitr                       	       3       42       38        1        0
 7183 r-cran-ks                          	       3       35       32        0        0
 7184 r-cran-later                       	       3       42       39        0        0
 7185 r-cran-latticeextra                	       3       37       34        0        0
 7186 r-cran-lava                        	       3       37       34        0        0
 7187 r-cran-lavasearch2                 	       3       33       30        0        0
 7188 r-cran-lazyeval                    	       3       40       37        0        0
 7189 r-cran-lbfgsb3c                    	       3       34       31        0        0
 7190 r-cran-linprog                     	       3       36       33        0        0
 7191 r-cran-listenv                     	       3       38       35        0        0
 7192 r-cran-lme4                        	       3       37       34        0        0
 7193 r-cran-lmertest                    	       3       35       32        0        0
 7194 r-cran-lmtest                      	       3       36       33        0        0
 7195 r-cran-lobstr                      	       3       33       30        0        0
 7196 r-cran-logcondens                  	       3       34       31        0        0
 7197 r-cran-lpsolve                     	       3       36       33        0        0
 7198 r-cran-lwgeom                      	       3       34       31        0        0
 7199 r-cran-magic                       	       3       36       33        0        0
 7200 r-cran-magick                      	       3       34       31        0        0
 7201 r-cran-mapdata                     	       3       35       32        0        0
 7202 r-cran-mapproj                     	       3       36       33        0        0
 7203 r-cran-maps                        	       3       36       33        0        0
 7204 r-cran-mathjaxr                    	       3       34       31        0        0
 7205 r-cran-matrixmodels                	       3       38       35        0        0
 7206 r-cran-matrixstats                 	       3       37       34        0        0
 7207 r-cran-maxlik                      	       3       35       32        0        0
 7208 r-cran-mclust                      	       3       37       34        0        0
 7209 r-cran-mda                         	       3       35       32        0        0
 7210 r-cran-memoise                     	       3       41       38        0        0
 7211 r-cran-metadat                     	       3       34       31        0        0
 7212 r-cran-metafor                     	       3       34       31        0        0
 7213 r-cran-mets                        	       3       35       32        0        0
 7214 r-cran-mime                        	       3       42       39        0        0
 7215 r-cran-miniui                      	       3       35       32        0        0
 7216 r-cran-minqa                       	       3       37       34        0        0
 7217 r-cran-misc3d                      	       3       35       32        0        0
 7218 r-cran-misctools                   	       3       35       32        0        0
 7219 r-cran-mlmetrics                   	       3       35       32        0        0
 7220 r-cran-mlmrev                      	       3       34       31        0        0
 7221 r-cran-mockery                     	       3       37       34        0        0
 7222 r-cran-modeldata                   	       3       35       32        0        0
 7223 r-cran-modelmetrics                	       3       37       34        0        0
 7224 r-cran-modelr                      	       3       11        8        0        0
 7225 r-cran-multcomp                    	       3       35       32        0        0
 7226 r-cran-multicool                   	       3       35       32        0        0
 7227 r-cran-mvtnorm                     	       3       37       34        0        0
 7228 r-cran-ncdf4                       	       3       34       31        0        0
 7229 r-cran-ncdfgeom                    	       3       33       30        0        0
 7230 r-cran-nleqslv                     	       3       36       33        0        0
 7231 r-cran-nloptr                      	       3       37       33        1        0
 7232 r-cran-numderiv                    	       3       37       34        0        0
 7233 r-cran-openssl                     	       3       43       38        2        0
 7234 r-cran-optimx                      	       3       34       31        0        0
 7235 r-cran-packrat                     	       3       34       31        0        0
 7236 r-cran-palmerpenguins              	       3       35       32        0        0
 7237 r-cran-parallelly                  	       3       38       35        0        0
 7238 r-cran-pbapply                     	       3       37       34        0        0
 7239 r-cran-pbkrtest                    	       3       36       33        0        0
 7240 r-cran-pcapp                       	       3       34       31        0        0
 7241 r-cran-pcict                       	       3       33       30        0        0
 7242 r-cran-permute                     	       3       34       31        0        0
 7243 r-cran-pkgbuild                    	       3       39       36        0        0
 7244 r-cran-pkgdown                     	       3       34       31        0        0
 7245 r-cran-pkgkitten                   	       3       45       42        0        0
 7246 r-cran-pkgload                     	       3       40       37        0        0
 7247 r-cran-plm                         	       3       34       31        0        0
 7248 r-cran-plogr                       	       3       38       35        0        0
 7249 r-cran-plot3d                      	       3       34       31        0        0
 7250 r-cran-plotmo                      	       3       35       32        0        0
 7251 r-cran-plotrix                     	       3       36       33        0        0
 7252 r-cran-pls                         	       3       36       33        0        0
 7253 r-cran-plumber                     	       3       34       31        0        0
 7254 r-cran-png                         	       3       41       38        0        0
 7255 r-cran-polyclip                    	       3       36       33        0        0
 7256 r-cran-polycor                     	       3       33       30        0        0
 7257 r-cran-pracma                      	       3       34       31        0        0
 7258 r-cran-praise                      	       3       40       37        0        0
 7259 r-cran-prettyunits                 	       3       41       38        0        0
 7260 r-cran-proc                        	       3       37       34        0        0
 7261 r-cran-processx                    	       3       39       35        1        0
 7262 r-cran-prodlim                     	       3       37       34        0        0
 7263 r-cran-profmem                     	       3       34       31        0        0
 7264 r-cran-profvis                     	       3       34       31        0        0
 7265 r-cran-progress                    	       3       39       36        0        0
 7266 r-cran-progressr                   	       3       36       33        0        0
 7267 r-cran-promises                    	       3       42       39        0        0
 7268 r-cran-proxy                       	       3       37       34        0        0
 7269 r-cran-ps                          	       3       39       36        0        0
 7270 r-cran-pscl                        	       3       35       32        0        0
 7271 r-cran-quantmod                    	       3       37       34        0        0
 7272 r-cran-quantreg                    	       3       37       33        1        0
 7273 r-cran-r.cache                     	       3       35       32        0        0
 7274 r-cran-r.devices                   	       3       33       30        0        0
 7275 r-cran-r.methodss3                 	       3       39       36        0        0
 7276 r-cran-r.oo                        	       3       39       36        0        0
 7277 r-cran-r.rsp                       	       3       34       31        0        0
 7278 r-cran-ragg                        	       3       34       31        0        0
 7279 r-cran-rann                        	       3       35       32        0        0
 7280 r-cran-raster                      	       3       34       31        0        0
 7281 r-cran-rbibutils                   	       3       36       33        0        0
 7282 r-cran-rcmdcheck                   	       3       36       33        0        0
 7283 r-cran-rcpparmadillo               	       3       37       33        1        0
 7284 r-cran-rcppeigen                   	       3       38       35        0        0
 7285 r-cran-rcppprogress                	       3       36       33        0        0
 7286 r-cran-rcpproll                    	       3       36       33        0        0
 7287 r-cran-rcurl                       	       3       36       33        0        0
 7288 r-cran-rdpack                      	       3       36       32        1        0
 7289 r-cran-readxl                      	       3       36       31        2        0
 7290 r-cran-recipes                     	       3       37       34        0        0
 7291 r-cran-registry                    	       3       35       32        0        0
 7292 r-cran-rematch                     	       3       36       33        0        0
 7293 r-cran-rematch2                    	       3       38       35        0        0
 7294 r-cran-remotes                     	       3       37       34        0        0
 7295 r-cran-reprex                      	       3       10        7        0        0
 7296 r-cran-reticulate                  	       3       34       31        0        0
 7297 r-cran-rex                         	       3       38       35        0        0
 7298 r-cran-rgl                         	       3       35       32        0        0
 7299 r-cran-rio                         	       3       36       33        0        0
 7300 r-cran-rmysql                      	       3       37       34        0        0
 7301 r-cran-rnetcdf                     	       3       34       31        0        0
 7302 r-cran-rngtools                    	       3       36       33        0        0
 7303 r-cran-robumeta                    	       3       34       31        0        0
 7304 r-cran-robustbase                  	       3       36       33        0        0
 7305 r-cran-rocr                        	       3       36       33        0        0
 7306 r-cran-rose                        	       3       34       31        0        0
 7307 r-cran-roxygen2                    	       3       37       34        0        0
 7308 r-cran-rpostgresql                 	       3       35       32        0        0
 7309 r-cran-rprojroot                   	       3       41       38        0        0
 7310 r-cran-rsample                     	       3       35       32        0        0
 7311 r-cran-rsconnect                   	       3       34       31        0        0
 7312 r-cran-rspectra                    	       3       35       32        0        0
 7313 r-cran-rsqlite                     	       3       40       37        0        0
 7314 r-cran-rstudioapi                  	       3       41       38        0        0
 7315 r-cran-rsvg                        	       3       35       32        0        0
 7316 r-cran-rtsne                       	       3       34       31        0        0
 7317 r-cran-runit                       	       3       38       35        0        0
 7318 r-cran-rversions                   	       3       35       32        0        0
 7319 r-cran-rvest                       	       3       11        8        0        0
 7320 r-cran-s2                          	       3       36       33        0        0
 7321 r-cran-sandwich                    	       3       36       33        0        0
 7322 r-cran-sass                        	       3       38       35        0        0
 7323 r-cran-scatterplot3d               	       3       35       32        0        0
 7324 r-cran-selectr                     	       3       36       33        0        0
 7325 r-cran-sessioninfo                 	       3       36       33        0        0
 7326 r-cran-setrng                      	       3       35       32        0        0
 7327 r-cran-sf                          	       3       36       33        0        0
 7328 r-cran-sfsmisc                     	       3       35       32        0        0
 7329 r-cran-sftime                      	       3       33       30        0        0
 7330 r-cran-slider                      	       3       35       32        0        0
 7331 r-cran-sm                          	       3       35       32        0        0
 7332 r-cran-sourcetools                 	       3       42       39        0        0
 7333 r-cran-sp                          	       3       41       37        1        0
 7334 r-cran-spacetime                   	       3       34       31        0        0
 7335 r-cran-spam                        	       3       35       32        0        0
 7336 r-cran-sparsem                     	       3       37       34        0        0
 7337 r-cran-spatstat                    	       3       36       32        1        0
 7338 r-cran-spatstat.data               	       3       36       32        1        0
 7339 r-cran-spatstat.explore            	       3       36       32        1        0
 7340 r-cran-spatstat.geom               	       3       36       32        1        0
 7341 r-cran-spatstat.linnet             	       3       36       32        1        0
 7342 r-cran-spatstat.model              	       3       36       32        1        0
 7343 r-cran-spatstat.random             	       3       36       32        1        0
 7344 r-cran-spatstat.sparse             	       3       36       33        0        0
 7345 r-cran-spatstat.utils              	       3       36       32        1        0
 7346 r-cran-spdep                       	       3       35       32        0        0
 7347 r-cran-spelling                    	       3       34       31        0        0
 7348 r-cran-squarem                     	       3       37       34        0        0
 7349 r-cran-stars                       	       3       34       31        0        0
 7350 r-cran-statmod                     	       3       37       34        0        0
 7351 r-cran-strucchange                 	       3       35       32        0        0
 7352 r-cran-svglite                     	       3       34       31        0        0
 7353 r-cran-swagger                     	       3       34       31        0        0
 7354 r-cran-systemfit                   	       3       34       31        0        0
 7355 r-cran-systemfonts                 	       3       35       32        0        0
 7356 r-cran-tensor                      	       3       36       33        0        0
 7357 r-cran-testit                      	       3       38       35        0        0
 7358 r-cran-testthat                    	       3       40       37        0        0
 7359 r-cran-textshaping                 	       3       34       31        0        0
 7360 r-cran-th.data                     	       3       35       32        0        0
 7361 r-cran-thematic                    	       3       34       31        0        0
 7362 r-cran-themis                      	       3       33       30        0        0
 7363 r-cran-tidyverse                   	       3       10        7        0        0
 7364 r-cran-tikzdevice                  	       3       37       34        0        0
 7365 r-cran-timereg                     	       3       36       33        0        0
 7366 r-cran-tinytest                    	       3       34       31        0        0
 7367 r-cran-tinytex                     	       3       42       38        1        0
 7368 r-cran-transformr                  	       3       34       31        0        0
 7369 r-cran-truncdist                   	       3       34       31        0        0
 7370 r-cran-tseries                     	       3       37       34        0        0
 7371 r-cran-tufte                       	       3       36       33        0        0
 7372 r-cran-tweenr                      	       3       34       31        0        0
 7373 r-cran-ucminf                      	       3       34       31        0        0
 7374 r-cran-units                       	       3       36       32        1        0
 7375 r-cran-urca                        	       3       35       32        0        0
 7376 r-cran-urlchecker                  	       3       34       31        0        0
 7377 r-cran-usethis                     	       3       38       35        0        0
 7378 r-cran-vdiffr                      	       3       35       32        0        0
 7379 r-cran-vegan                       	       3       34       31        0        0
 7380 r-cran-viridis                     	       3       37       34        0        0
 7381 r-cran-vroom                       	       3       36       33        0        0
 7382 r-cran-waldo                       	       3       38       35        0        0
 7383 r-cran-warp                        	       3       35       32        0        0
 7384 r-cran-webutils                    	       3       37       34        0        0
 7385 r-cran-whisker                     	       3       38       35        0        0
 7386 r-cran-wk                          	       3       36       33        0        0
 7387 r-cran-xml                         	       3       38       35        0        0
 7388 r-cran-xopen                       	       3       36       33        0        0
 7389 racc                               	       3       79       76        0        0
 7390 radvd                              	       3       24       21        0        0
 7391 ratpoison                          	       3       18       15        0        0
 7392 reaver                             	       3       39       35        1        0
 7393 recoverdm                          	       3       30       27        0        0
 7394 remmina-plugin-spice               	       3       12        3        0        6
 7395 renameutils                        	       3       20       15        2        0
 7396 roundcube-plugins                  	       3       16       13        0        0
 7397 rsbackup                           	       3        7        4        0        0
 7398 rsyslog-relp                       	       3       13        1        0        9
 7399 ruby-coderay                       	       3       22       18        1        0
 7400 ruby-concurrent                    	       3       67       63        1        0
 7401 ruby-i18n                          	       3       59       55        1        0
 7402 ruby-nokogiri                      	       3       83       80        0        0
 7403 ruby-paint                         	       3       48       44        1        0
 7404 ruby-thor                          	       3       57       54        0        0
 7405 ruby3.1-dev                        	       3       72       69        0        0
 7406 rwhod                              	       3       13       10        0        0
 7407 rxvt                               	       3       31       28        0        0
 7408 safecopy                           	       3       43       40        0        0
 7409 sbcl                               	       3       66       59        4        0
 7410 sbsigntool                         	       3       85       82        0        0
 7411 scons                              	       3       58       55        0        0
 7412 screenruler                        	       3       21       18        0        0
 7413 sdcv                               	       3        6        3        0        0
 7414 sdparm                             	       3       73       70        0        0
 7415 seahorse-daemon                    	       3       78       71        4        0
 7416 sg3-utils-udev                     	       3       10        7        0        0
 7417 sipcrack                           	       3       18       14        1        0
 7418 sipgrep                            	       3       17       13        1        0
 7419 sipvicious                         	       3       17       13        1        0
 7420 smb-nat                            	       3       10        6        1        0
 7421 sndiod                             	       3       21       18        0        0
 7422 sng                                	       3       24       18        3        0
 7423 sngrep                             	       3       22       18        1        0
 7424 snmptrapfmt                        	       3        5        2        0        0
 7425 software-properties-qt             	       3      416      368       45        0
 7426 spacefm                            	       3       42       38        1        0
 7427 spawn-fcgi                         	       3       80       76        1        0
 7428 spectre-meltdown-checker           	       3       31       28        0        0
 7429 spf-tools-perl                     	       3       22       19        0        0
 7430 sphinx-common                      	       3      168      160        5        0
 7431 sssd-ad-common                     	       3       27       24        0        0
 7432 sssd-common                        	       3       27       24        0        0
 7433 sssd-ipa                           	       3       27       13        0       11
 7434 stepic                             	       3       16       12        1        0
 7435 stk                                	       3       75       70        2        0
 7436 strawberry                         	       3       33       29        1        0
 7437 sublime-text                       	       3       27       23        1        0
 7438 supertuxkart                       	       3       67       62        2        0
 7439 sux                                	       3       16       13        0        0
 7440 swisswatch                         	       3       18       15        0        0
 7441 sylpheed-i18n                      	       3       46        4        0       39
 7442 syslog-ng-mod-getent               	       3        6        3        0        0
 7443 syslog-ng-mod-map-value-pairs      	       3        6        3        0        0
 7444 tali                               	       3      372      335       34        0
 7445 talk                               	       3       41       35        3        0
 7446 tcpflow                            	       3       25       21        1        0
 7447 tcpreplay                          	       3       20       16        1        0
 7448 tcptrace                           	       3       34       30        1        0
 7449 tdeaddons-tdefile-plugins-trinity  	       3       25       20        2        0
 7450 tdednssd-trinity                   	       3       25       20        2        0
 7451 tdepowersave-trinity               	       3       27       22        2        0
 7452 teams                              	       3       57       54        0        0
 7453 telnet-ssl                         	       3       27       24        0        0
 7454 texinfo-lib                        	       3       71       67        1        0
 7455 tftpd                              	       3       11        8        0        0
 7456 thunar-font-manager                	       3       24       11        0       10
 7457 thunar-gtkhash                     	       3       26        9        0       14
 7458 tigervnc-scraping-server           	       3       32       29        0        0
 7459 tinc                               	       3       15       12        0        0
 7460 tk8.5                              	       3       27       24        0        0
 7461 tk8.6-dev                          	       3      101       96        2        0
 7462 tldr                               	       3       30       22        0        5
 7463 transmission-qt                    	       3       36       33        0        0
 7464 trayer                             	       3       23       20        0        0
 7465 triggerhappy                       	       3        5        2        0        0
 7466 twinkle                            	       3       19       16        0        0
 7467 udisks                             	       3       16       13        0        0
 7468 ugrep                              	       3       23       19        1        0
 7469 uim-qt5-immodule                   	       3       35        5        0       27
 7470 unison-2.52                        	       3       66       61        2        0
 7471 unixodbc-dev                       	       3      106      102        1        0
 7472 unoconv                            	       3       62       58        1        0
 7473 unp                                	       3       47       43        1        0
 7474 untex                              	       3      252      219       30        0
 7475 upgrade-system                     	       3       13        9        1        0
 7476 usermode                           	       3      181      161       17        0
 7477 uswsusp                            	       3       42       39        0        0
 7478 uudeview                           	       3       27       24        0        0
 7479 uuid                               	       3       68       65        0        0
 7480 uwsgi-core                         	       3       16       13        0        0
 7481 vapoursynth                        	       3       33       30        0        0
 7482 vde-switch                         	       3       65       61        1        0
 7483 vde2                               	       3       76       72        1        0
 7484 vino                               	       3      307      275       29        0
 7485 virtualbox-qt                      	       3       21       18        0        0
 7486 virtualenv                         	       3       83       19        0       61
 7487 vkd3d-compiler                     	       3       47       44        0        0
 7488 vmware-horizon-client              	       3        5        2        0        0
 7489 vorbisgain                         	       3       85       80        2        0
 7490 vprerex                            	       3      118      114        1        0
 7491 wamerican-insane                   	       3       27       23        1        0
 7492 warzone2100                        	       3       20       16        1        0
 7493 waybar                             	       3       30       25        2        0
 7494 wbar                               	       3       11        8        0        0
 7495 wbritish-huge                      	       3       27       22        2        0
 7496 wbritish-insane                    	       3       32       28        1        0
 7497 wbritish-large                     	       3       21       17        1        0
 7498 wbritish-small                     	       3       21       17        1        0
 7499 wdm                                	       3       25       22        0        0
 7500 webcamoid                          	       3       49       43        3        0
 7501 webext-dav4tbsync                  	       3        6        3        0        0
 7502 webext-privacy-badger              	       3        9        6        0        0
 7503 webext-tbsync                      	       3        6        3        0        0
 7504 weplab                             	       3       19       15        1        0
 7505 wgerman-medical                    	       3       28       24        1        0
 7506 whatweb                            	       3       17       13        1        0
 7507 wine-stable                        	       3       48       44        1        0
 7508 wine-staging-amd64                 	       3       15       11        1        0
 7509 witalian                           	       3      138      116        7       12
 7510 wkhtmltopdf                        	       3       68       64        1        0
 7511 wmcalclock                         	       3       16       13        0        0
 7512 wmclock                            	       3       21       18        0        0
 7513 wordnet                            	       3       23       20        0        0
 7514 workrave                           	       3       16       11        2        0
 7515 wpolish                            	       3       93       82        8        0
 7516 wukrainian                         	       3       22       18        1        0
 7517 wx-common                          	       3      156      151        2        0
 7518 wzip                               	       3       17       13        1        0
 7519 x86info                            	       3       22       19        0        0
 7520 xaw3dg                             	       3      215        5        0      207
 7521 xbacklight                         	       3       68       65        0        0
 7522 xcalib                             	       3       66       58        5        0
 7523 xcompmgr                           	       3       36       33        0        0
 7524 xfig                               	       3       79       76        0        0
 7525 xfonts-traditional                 	       3       23       20        0        0
 7526 xfsdump                            	       3       54       50        1        0
 7527 xfstt                              	       3       10        7        0        0
 7528 xine-ui                            	       3       57       53        1        0
 7529 xjed                               	       3       17       14        0        0
 7530 xml2                               	       3       31       28        0        0
 7531 xmlbeans                           	       3       18       14        1        0
 7532 xmltv-gui                          	       3        5        2        0        0
 7533 xpaint                             	       3       55       51        1        0
 7534 xplot-xplot.org                    	       3       32       28        1        0
 7535 xscreensaver-data-extra            	       3      179       33        0      143
 7536 xsct                               	       3       12        7        2        0
 7537 xtrlock                            	       3       26       22        1        0
 7538 xtrx-dkms                          	       3       26       22        1        0
 7539 xva-img                            	       3       15       11        1        0
 7540 xxdiff                             	       3       52       49        0        0
 7541 xxkb                               	       3      112       97       12        0
 7542 xzdec                              	       3       24       19        2        0
 7543 yarnpkg                            	       3       26       23        0        0
 7544 yasm                               	       3       98       95        0        0
 7545 yoshimi                            	       3       17       13        1        0
 7546 ypbind-mt                          	       3        9        6        0        0
 7547 zabbix-agent2                      	       3        7        4        0        0
 7548 zbar-tools                         	       3       68       65        0        0
 7549 znc                                	       3       14       11        0        0
 7550 zutils                             	       3       18       15        0        0
 7551 0xffff                             	       2        3        1        0        0
 7552 4pane                              	       2        4        2        0        0
 7553 4ti2                               	       2       13       11        0        0
 7554 aapt                               	       2       59       56        1        0
 7555 ack                                	       2       29       26        1        0
 7556 acroread                           	       2        8        6        0        0
 7557 acroread-data                      	       2        9        7        0        0
 7558 acroread-debian-files              	       2        8        6        0        0
 7559 adjtimex                           	       2       11        9        0        0
 7560 adobereader-enu                    	       2        8        6        0        0
 7561 aerc                               	       2        8        5        1        0
 7562 aesfix                             	       2       23       21        0        0
 7563 aeskeyfind                         	       2       24       22        0        0
 7564 aggregate                          	       2       10        7        1        0
 7565 aide                               	       2       13       10        1        0
 7566 alarm-clock-applet                 	       2        7        5        0        0
 7567 alsa-tools-gui                     	       2       99       95        2        0
 7568 amanda-client                      	       2       15       13        0        0
 7569 amanda-common                      	       2       15       13        0        0
 7570 and                                	       2        8        6        0        0
 7571 anki                               	       2       14       12        0        0
 7572 ansible                            	       2       67       63        2        0
 7573 apache2-suexec-custom              	       2        6        4        0        0
 7574 apcupsd-cgi                        	       2       14       12        0        0
 7575 apel                               	       2       14       11        1        0
 7576 apktool                            	       2       28       25        1        0
 7577 aprx                               	       2        6        4        0        0
 7578 aptly                              	       2        6        4        0        0
 7579 aqbanking-tools                    	       2       11        9        0        0
 7580 arbtt                              	       2        3        1        0        0
 7581 archivemount                       	       2       18       16        0        0
 7582 argyll                             	       2       34       31        1        0
 7583 ark-trinity                        	       2       32       28        2        0
 7584 arno-iptables-firewall             	       2        9        7        0        0
 7585 array-info                         	       2        4        2        0        0
 7586 artsbuilder-trinity                	       2       27       23        2        0
 7587 ascii                              	       2       28       25        1        0
 7588 ascii2binary                       	       2       10        8        0        0
 7589 asclock                            	       2       12       10        0        0
 7590 aspell-de-1901                     	       2       69       67        0        0
 7591 aspell-it                          	       2      127      119        6        0
 7592 aspell-pl                          	       2       81       72        7        0
 7593 aspic                              	       2        5        3        0        0
 7594 asunder                            	       2       76       73        1        0
 7595 atftp                              	       2       16       14        0        0
 7596 atomicparsley                      	       2       35       33        0        0
 7597 augeas-tools                       	       2       45       40        3        0
 7598 aumix                              	       2       42       40        0        0
 7599 authbind                           	       2       14       12        0        0
 7600 autocutsel                         	       2       16       14        0        0
 7601 autodep8                           	       2       30       28        0        0
 7602 autojump                           	       2       19       16        1        0
 7603 autopostgresqlbackup               	       2        4        2        0        0
 7604 autoproject                        	       2        7        5        0        0
 7605 avidemux-common                    	       2       34       28        3        1
 7606 awesome-extra                      	       2       48       44        2        0
 7607 axel                               	       2       34       31        1        0
 7608 ayatana-indicator-bluetooth        	       2        7        5        0        0
 7609 backupninja                        	       2        8        6        0        0
 7610 backuppc                           	       2        9        6        1        0
 7611 balena-etcher                      	       2       29       26        1        0
 7612 barman-cli                         	       2        4        1        1        0
 7613 bash-builtins                      	       2       12        8        2        0
 7614 besagent                           	       2        9        7        0        0
 7615 bgscripts                          	       2       14       11        1        0
 7616 binutils-arm-none-eabi             	       2       58       55        1        0
 7617 bird                               	       2        4        2        0        0
 7618 birdtray                           	       2       13       10        1        0
 7619 bittornado                         	       2       63       61        0        0
 7620 black                              	       2       50       44        4        0
 7621 blackbox                           	       2       15       13        0        0
 7622 blahtexml                          	       2        6        4        0        0
 7623 blinken                            	       2       49       46        1        0
 7624 bluebird-gtk-theme                 	       2      149        2        1      144
 7625 bluefish                           	       2       56       54        0        0
 7626 bluez-firmware                     	       2      164      158        4        0
 7627 bluez-hcidump                      	       2       62       59        1        0
 7628 bmap-tools                         	       2       12       10        0        0
 7629 bmon                               	       2       87       85        0        0
 7630 boinc-manager                      	       2       19       15        2        0
 7631 bonnie++                           	       2       28       26        0        0
 7632 bookletimposer                     	       2       15       13        0        0
 7633 bottlerocket                       	       2        3        1        0        0
 7634 brightnessctl                      	       2       53       50        1        0
 7635 brz                                	       2       45       38        5        0
 7636 bsh                                	       2       35       33        0        0
 7637 btscanner                          	       2       49       47        0        0
 7638 buffer                             	       2       22       20        0        0
 7639 buildah                            	       2       57       46        9        0
 7640 c-icap                             	       2        6        3        1        0
 7641 cadaver                            	       2       15       13        0        0
 7642 castxml                            	       2       41       38        1        0
 7643 catfish                            	       2       61       58        1        0
 7644 cava                               	       2       18       16        0        0
 7645 cb2bib                             	       2        8        6        0        0
 7646 ccal                               	       2       20       17        1        0
 7647 cd-discid                          	       2      101       97        2        0
 7648 cdbs                               	       2       71       66        3        0
 7649 cdrskin                            	       2       43       40        1        0
 7650 cdtool                             	       2       28       25        1        0
 7651 cfengine3                          	       2        8        6        0        0
 7652 chase                              	       2        7        5        0        0
 7653 check-postgres                     	       2        3        1        0        0
 7654 chemtool                           	       2       13       11        0        0
 7655 cherrytree                         	       2       18       16        0        0
 7656 chktex                             	       2      109      106        1        0
 7657 chrome-gnome-shell                 	       2      162       29        1      130
 7658 clamassassin                       	       2       11        8        1        0
 7659 clamfs                             	       2        8        5        1        0
 7660 clang-tidy-14                      	       2       46       44        0        0
 7661 clapper                            	       2        3        1        0        0
 7662 claws-mail-address-keeper          	       2       48        5        0       41
 7663 claws-mail-newmail-plugin          	       2       30        1        0       27
 7664 claws-mail-pgpinline               	       2       48        4        0       42
 7665 claws-mail-spam-report             	       2       35        0        0       33
 7666 claws-mail-spamassassin            	       2       35        1        0       32
 7667 cloud-image-utils                  	       2       63       60        1        0
 7668 cmus-plugin-ffmpeg                 	       2       53       51        0        0
 7669 cnijfilter2                        	       2       15       13        0        0
 7670 comparepdf                         	       2       12       10        0        0
 7671 conspy                             	       2        6        4        0        0
 7672 courier-authlib-userdb             	       2       23       21        0        0
 7673 courier-pop                        	       2        5        3        0        0
 7674 cpmtools                           	       2        6        4        0        0
 7675 cppcheck                           	       2       56       49        5        0
 7676 cpudyn                             	       2        2        0        0        0
 7677 cpulimit                           	       2       40       38        0        0
 7678 crash                              	       2        9        7        0        0
 7679 crow-translate                     	       2        3        1        0        0
 7680 cruft-ng                           	       2       20       16        2        0
 7681 csmith                             	       2        5        3        0        0
 7682 cu                                 	       2       36       34        0        0
 7683 cubicsdr                           	       2       21       19        0        0
 7684 cuetools                           	       2       58       55        1        0
 7685 culmus                             	       2       11        2        0        7
 7686 cups-tea4cups                      	       2       10        8        0        0
 7687 cura                               	       2       23       20        1        0
 7688 cura-engine                        	       2       27       25        0        0
 7689 cvsps                              	       2       57       54        1        0
 7690 cwdaemon                           	       2        7        5        0        0
 7691 dante-server                       	       2        4        2        0        0
 7692 darkstat                           	       2       10        8        0        0
 7693 dateutils                          	       2       20       18        0        0
 7694 davmail                            	       2        7        5        0        0
 7695 davmail-server                     	       2        7        5        0        0
 7696 dbndns                             	       2        2        0        0        0
 7697 dbvis                              	       2        5        2        0        1
 7698 dc3dd                              	       2       25       23        0        0
 7699 dde-qt5integration                 	       2       20        9        0        9
 7700 debarchiver                        	       2        6        4        0        0
 7701 debfoster                          	       2       54       52        0        0
 7702 debian-edu-config                  	       2        6        4        0        0
 7703 debian-edu-install                 	       2        6        3        0        1
 7704 debmake                            	       2       26       24        0        0
 7705 debsig-verify                      	       2       16       12        2        0
 7706 debtree                            	       2       28       26        0        0
 7707 dejagnu                            	       2       28       26        0        0
 7708 dhcpcd5                            	       2       23        3        0       18
 7709 dhcpdump                           	       2       34       31        1        0
 7710 dhcping                            	       2       20       18        0        0
 7711 dicod                              	       2        4        2        0        0
 7712 dictem                             	       2        3        1        0        0
 7713 dictfmt                            	       2        5        3        0        0
 7714 dirdiff                            	       2       20       18        0        0
 7715 directvnc                          	       2        8        6        0        0
 7716 direnv                             	       2       17       14        1        0
 7717 dleyna-server                      	       2      100       96        2        0
 7718 dmtracedump                        	       2       83       80        1        0
 7719 dnsdist                            	       2        2        0        0        0
 7720 dnsrecon                           	       2       28       26        0        0
 7721 dnstop                             	       2       15       13        0        0
 7722 docbook-utils                      	       2       70       67        1        0
 7723 dolphin-emu                        	       2       15       13        0        0
 7724 dolphin-plugins                    	       2       73        1        0       70
 7725 doublecmd-gtk                      	       2       35       32        1        0
 7726 doublecmd-plugins                  	       2       46       43        1        0
 7727 dovecot-gssapi                     	       2        7        5        0        0
 7728 dovecot-pgsql                      	       2        9        7        0        0
 7729 dpkg-cross                         	       2       26       24        0        0
 7730 drawing                            	       2       17       15        0        0
 7731 dsda-doom                          	       2       22       20        0        0
 7732 dsniff                             	       2       44       42        0        0
 7733 duff                               	       2       18       15        1        0
 7734 dwdiff                             	       2       14       12        0        0
 7735 earlyoom                           	       2       10        7        1        0
 7736 easychem                           	       2       13       11        0        0
 7737 edict                              	       2       85       79        3        1
 7738 elpa-adaptive-wrap                 	       2        2        0        0        0
 7739 elpa-cmake-mode                    	       2        4        2        0        0
 7740 elpa-debian-el                     	       2       12       10        0        0
 7741 elpa-dockerfile-mode               	       2        5        3        0        0
 7742 elpa-dpkg-dev-el                   	       2        5        3        0        0
 7743 elpa-esxml                         	       2        5        3        0        0
 7744 elpa-f                             	       2       10        8        0        0
 7745 elpa-ghub                          	       2        7        5        0        0
 7746 elpa-gnuplot-mode                  	       2        5        3        0        0
 7747 elpa-graphql                       	       2        6        4        0        0
 7748 elpa-haskell-mode                  	       2       16       14        0        0
 7749 elpa-jinja2-mode                   	       2        3        1        0        0
 7750 elpa-magit-popup                   	       2        7        5        0        0
 7751 elpa-magit-section                 	       2       14       12        0        0
 7752 elpa-nov                           	       2        4        2        0        0
 7753 elpa-org                           	       2       20        4        0       14
 7754 elpa-pdf-tools                     	       2        3        1        0        0
 7755 elpa-pdf-tools-server              	       2        3        1        0        0
 7756 elpa-rust-mode                     	       2       13       11        0        0
 7757 elpa-s                             	       2       19       17        0        0
 7758 elpa-tablist                       	       2        3        1        0        0
 7759 elpa-treepy                        	       2        7        5        0        0
 7760 elpa-writegood-mode                	       2        2        0        0        0
 7761 elpa-yaml-mode                     	       2       12       10        0        0
 7762 emacs-calfw                        	       2        6        4        0        0
 7763 emacs-lucid                        	       2       16       13        1        0
 7764 enblend                            	       2       52       49        1        0
 7765 enfuse                             	       2       52       49        1        0
 7766 enigma                             	       2       30       28        0        0
 7767 envstore                           	       2        2        0        0        0
 7768 epiphany                           	       2       21       19        0        0
 7769 erlang-asn1                        	       2       39       36        1        0
 7770 erlang-inets                       	       2       37       34        1        0
 7771 erlang-mnesia                      	       2       42       39        1        0
 7772 erlang-public-key                  	       2       38       35        1        0
 7773 erlang-ssl                         	       2       37       34        1        0
 7774 erlang-xmerl                       	       2       48       45        1        0
 7775 espeak-ng                          	       2       33       30        1        0
 7776 etc1tool                           	       2       78       75        1        0
 7777 extract                            	       2       16       14        0        0
 7778 eyed3                              	       2       44       42        0        0
 7779 falselogin                         	       2        5        3        0        0
 7780 fatresize                          	       2       28       26        0        0
 7781 fatsort                            	       2       19       17        0        0
 7782 fbset                              	       2       46       42        2        0
 7783 fcitx5-frontend-qt5                	       2       20        8        0       10
 7784 fdpowermon                         	       2        8        6        0        0
 7785 feedbackd                          	       2        9        7        0        0
 7786 feynmf                             	       2      124      121        1        0
 7787 fingerd                            	       2        9        7        0        0
 7788 fio                                	       2       64       61        1        0
 7789 firebird3.0-server                 	       2        4        1        1        0
 7790 firebird4.0-utils                  	       2       17        4       11        0
 7791 firefox-esr-l10n-ca                	       2        7        5        0        0
 7792 firefox-esr-l10n-fur               	       2        2        0        0        0
 7793 firefox-esr-l10n-hu                	       2       14       12        0        0
 7794 firefox-esr-l10n-ja                	       2       31       26        3        0
 7795 firefox-esr-l10n-nb-no             	       2       40       34        4        0
 7796 firefox-esr-l10n-nl                	       2       11        8        1        0
 7797 firefox-esr-l10n-sc                	       2        2        0        0        0
 7798 firefox-esr-l10n-sq                	       2        3        1        0        0
 7799 firefox-esr-l10n-sv-se             	       2       12       10        0        0
 7800 firefox-esr-l10n-tg                	       2        2        0        0        0
 7801 firefox-esr-l10n-zh-cn             	       2        8        6        0        0
 7802 fireqos                            	       2       13       11        0        0
 7803 firewall-config                    	       2       10        7        1        0
 7804 firmware-b43-installer             	       2       79        8        5       64
 7805 firmware-carl9170                  	       2      122      105       15        0
 7806 firmware-myricom                   	       2      145      141        2        0
 7807 firmware-netxen                    	       2      141      136        3        0
 7808 firmware-qlogic                    	       2      147      143        2        0
 7809 firmware-zd1211                    	       2      155      145        7        1
 7810 flashbench                         	       2        8        6        0        0
 7811 flatlatex                          	       2        6        4        0        0
 7812 flim                               	       2       12        9        1        0
 7813 floorp                             	       2        4        2        0        0
 7814 foliate                            	       2       31       29        0        0
 7815 fontforge                          	       2       88       85        1        0
 7816 fonts-adf-gillius                  	       2      189        2        0      185
 7817 fonts-adf-ikarius                  	       2       27        0        0       25
 7818 fonts-adf-irianis                  	       2       26        0        0       24
 7819 fonts-adf-libris                   	       2       27        0        0       25
 7820 fonts-adf-mekanus                  	       2       25        0        0       23
 7821 fonts-adf-oldania                  	       2       82        4        0       76
 7822 fonts-adf-romande                  	       2       26        0        0       24
 7823 fonts-adf-switzera                 	       2       26        0        0       24
 7824 fonts-adf-universalis              	       2      189        2        0      185
 7825 fonts-adf-verana                   	       2       28        0        0       26
 7826 fonts-alee                         	       2       21        1        0       18
 7827 fonts-ancient-scripts              	       2       40        9        0       29
 7828 fonts-arphic-ukai                  	       2       21        2        0       17
 7829 fonts-arundina                     	       2       18        0        0       16
 7830 fonts-bpg-georgian                 	       2       19        2        0       15
 7831 fonts-comfortaa                    	       2      206        1        0      203
 7832 fonts-dejima-mincho                	       2       20        0        0       18
 7833 fonts-dkg-handwriting              	       2       19        0        0       17
 7834 fonts-droid                        	       2       30        0        0       28
 7835 fonts-dustin                       	       2      106        2        0      102
 7836 fonts-evertype-conakry             	       2       12        0        0       10
 7837 fonts-gfs-artemisia                	       2      202        2        0      198
 7838 fonts-hanazono                     	       2       16        2        0       12
 7839 fonts-jetbrains-mono               	       2       28        6        1       19
 7840 fonts-junicode                     	       2      185        4        0      179
 7841 fonts-khmeros                      	       2       16        2        0       12
 7842 fonts-lg-aboriginal                	       2       13        0        0       11
 7843 fonts-lohit-orya                   	       2       56        0        0       54
 7844 fonts-povray                       	       2       35        1        0       32
 7845 fonts-roboto-fontface              	       2       27        3        0       22
 7846 fonts-sil-abyssinica               	       2       13        0        0       11
 7847 fonts-sil-gentiumplus              	       2      192        2        0      188
 7848 fonts-sil-padauk                   	       2      112        1        0      109
 7849 fonts-telu-extra                   	       2       60        1        0       57
 7850 fonts-tibetan-machine              	       2       14        0        0       12
 7851 fonts-tlwg-garuda-otf              	       2      109        0        0      107
 7852 fonts-tlwg-kinnari-otf             	       2      107        2        0      103
 7853 fonts-tlwg-laksaman-otf            	       2      107        1        0      104
 7854 fonts-umeplus                      	       2       13        1        0       10
 7855 fonttools                          	       2       25       23        0        0
 7856 fp-compiler-3.2.0                  	       2       23       20        1        0
 7857 frameworkintegration6              	       2       30        1        0       27
 7858 freebsd-glue                       	       2        4        2        0        0
 7859 freeciv-client-gtk3                	       2       51       48        1        0
 7860 freeciv-server                     	       2       56       53        1        0
 7861 freetds-dev                        	       2       32       30        0        0
 7862 freetuxtv                          	       2       29       27        0        0
 7863 frozen-bubble                      	       2       52       49        1        0
 7864 fswebcam                           	       2       28       26        0        0
 7865 ftp-ssl                            	       2       42       39        1        0
 7866 fuse2fs                            	       2       34       31        1        0
 7867 fuzzel                             	       2        4        2        0        0
 7868 g++-11                             	       2       38       36        0        0
 7869 g++-8                              	       2      121      118        1        0
 7870 g15daemon                          	       2        5        3        0        0
 7871 gajim                              	       2       55       51        2        0
 7872 gammu                              	       2       12        9        1        0
 7873 gcc-arm-none-eabi                  	       2       57       54        1        0
 7874 gccgo-11                           	       2        2        0        0        0
 7875 gcolor3                            	       2       15       13        0        0
 7876 geany-common                       	       2      265      258        5        0
 7877 gedit-common                       	       2      405        2        1      400
 7878 genius                             	       2       17       14        1        0
 7879 geogebra                           	       2       33       31        0        0
 7880 geogebra-gnome                     	       2        4        2        0        0
 7881 geoipupdate                        	       2       18       16        0        0
 7882 geomview                           	       2       20       18        0        0
 7883 getmail6                           	       2       19       16        1        0
 7884 ghostscript-x                      	       2      249       29        0      218
 7885 gifsicle                           	       2       23       20        1        0
 7886 giftrans                           	       2       10        8        0        0
 7887 gimp-data                          	       2     2702        5        0     2695
 7888 ginac-tools                        	       2        3        1        0        0
 7889 gir1.2-appstream-1.0               	       2       31        1        0       28
 7890 gir1.2-gconf-2.0                   	       2       64       62        0        0
 7891 gir1.2-gspell-1                    	       2       90        6        0       82
 7892 gir1.2-gudev-1.0                   	       2      128        3        0      123
 7893 gir1.2-handy-1                     	       2     1964        2        1     1959
 7894 gir1.2-rb-3.0                      	       2      407        4        0      401
 7895 girepository-tools                 	       2       76       51       23        0
 7896 gist                               	       2       73       69        2        0
 7897 git-cola                           	       2       27       23        2        0
 7898 git-cvs                            	       2       48       43        3        0
 7899 git-email                          	       2       74       67        5        0
 7900 git-extras                         	       2       15       13        0        0
 7901 git-mediawiki                      	       2       39       34        3        0
 7902 git-publish                        	       2        5        3        0        0
 7903 gitg                               	       2       32       30        0        0
 7904 gitlab-runner                      	       2        4        1        1        0
 7905 gkrellkam                          	       2        9        7        0        0
 7906 gkrellm-ibam                       	       2        8        6        0        0
 7907 gkrellm-xkb                        	       2       13       11        0        0
 7908 gkrellmd                           	       2       13       11        0        0
 7909 gkrellshoot                        	       2       12        9        1        0
 7910 glpeces                            	       2       14       12        0        0
 7911 gmemusage                          	       2        5        3        0        0
 7912 gnash                              	       2       21       19        0        0
 7913 gnash-common                       	       2       21       19        0        0
 7914 gnome-color-manager                	       2      128      110       16        0
 7915 gnome-power-manager                	       2       75       62       11        0
 7916 gnome-remote-desktop               	       2      317      281       34        0
 7917 gnome-screensaver                  	       2       27       25        0        0
 7918 gnome-session-canberra             	       2       69       66        0        1
 7919 gnome-shell-extension-prefs        	       2      164      141       21        0
 7920 gnome-software-plugin-deb          	       2       29        8        2       17
 7921 gnome-software-plugin-fwupd        	       2       29        8        2       17
 7922 gnome-sushi                        	       2      199      180       17        0
 7923 gnome-text-editor                  	       2      107       89       16        0
 7924 gnugo                              	       2       97       94        1        0
 7925 gnunet                             	       2       15       13        0        0
 7926 gnuradio                           	       2       44       41        1        0
 7927 gnuserv                            	       2        6        4        0        0
 7928 goaccess                           	       2       16       14        0        0
 7929 gocr                               	       2       53       51        0        0
 7930 gocr-tk                            	       2       17       15        0        0
 7931 goldendict                         	       2       30       25        2        1
 7932 gpac                               	       2       29       27        0        0
 7933 gphoto2                            	       2       78       76        0        0
 7934 gpick                              	       2       39       36        1        0
 7935 gprename                           	       2       15       13        0        0
 7936 gpsd-clients                       	       2       25       23        0        0
 7937 gpsim                              	       2       30       28        0        0
 7938 gputils                            	       2       55       53        0        0
 7939 gqrx-sdr                           	       2       31       28        1        0
 7940 gr-iqbal                           	       2       37       34        1        0
 7941 gr-osmosdr                         	       2       39       36        1        0
 7942 grafana                            	       2        7        5        0        0
 7943 graphite-web                       	       2        2        0        0        0
 7944 greylistd                          	       2        4        2        0        0
 7945 grokevt                            	       2       25       23        0        0
 7946 group-service                      	       2       14        7        5        0
 7947 gstreamer0.10-tools                	       2        9        7        0        0
 7948 gstreamer1.0-adapter-pulseeffects  	       2       40        3        0       35
 7949 gstreamer1.0-autogain-pulseeffects 	       2       37        3        0       32
 7950 gstreamer1.0-convolver-pulseeffects	       2       37        3        0       32
 7951 gstreamer1.0-crystalizer-pulseeffects	       2       37        3        0       32
 7952 gtk-theme-switch                   	       2       37       34        1        0
 7953 gtk-vector-screenshot              	       2        5        3        0        0
 7954 gtkhash                            	       2      105       97        6        0
 7955 guestfs-tools                      	       2       65       61        2        0
 7956 guitarix-lv2                       	       2       26       24        0        0
 7957 gwenview-trinity                   	       2        6        4        0        0
 7958 gzrt                               	       2        9        7        0        0
 7959 handbrake-gtk                      	       2       17       14        1        0
 7960 hardinfo                           	       2      160      138        2       18
 7961 hardlink                           	       2        6        4        0        0
 7962 hashcash                           	       2        3        1        0        0
 7963 heif-thumbnailer                   	       2       50       36       12        0
 7964 hevea                              	       2       11        9        0        0
 7965 hibernate                          	       2       30       28        0        0
 7966 homebank                           	       2       16       13        1        0
 7967 horst                              	       2       22       19        1        0
 7968 html-xml-utils                     	       2       12       10        0        0
 7969 htmldoc                            	       2       24       21        1        0
 7970 hugin                              	       2       52       49        1        0
 7971 hunspell-de-at                     	       2      249      228       15        4
 7972 hunspell-de-ch                     	       2      253      232       15        4
 7973 hwloc                              	       2       87       85        0        0
 7974 hylafax-client                     	       2        6        4        0        0
 7975 hylafax-server                     	       2        5        3        0        0
 7976 ibus-mozc                          	       2       13       10        1        0
 7977 ibus-table                         	       2        9        7        0        0
 7978 icaclient                          	       2       15       11        0        2
 7979 icecast2                           	       2       13       11        0        0
 7980 icewm-experimental                 	       2        7        5        0        0
 7981 icinga-cgi-bin                     	       2        5        3        0        0
 7982 icinga-idoutils                    	       2        3        1        0        0
 7983 icinga-php-thirdparty              	       2        5        3        0        0
 7984 icinga2-ido-pgsql                  	       2        4        1        0        1
 7985 icingaweb2                         	       2        6        4        0        0
 7986 iconx                              	       2       16       14        0        0
 7987 ifenslave                          	       2       59       56        0        1
 7988 ifmetric                           	       2        5        3        0        0
 7989 ifrench-gut                        	       2      112      104        6        0
 7990 ike-scan                           	       2       21       19        0        0
 7991 imview                             	       2       17       15        0        0
 7992 inetutils-syslogd                  	       2       12       10        0        0
 7993 inetutils-telnetd                  	       2       32       30        0        0
 7994 integrit                           	       2        4        2        0        0
 7995 intel-gpu-tools                    	       2       52       49        1        0
 7996 iozone3                            	       2       15       11        2        0
 7997 ipgrab                             	       2       19       16        1        0
 7998 ipheth-utils                       	       2       11        9        0        0
 7999 ircd-hybrid                        	       2        3        1        0        0
 8000 irssi-scripts                      	       2       20       18        0        0
 8001 irussian                           	       2      165      149       14        0
 8002 iscan                              	       2       15       13        0        0
 8003 itop                               	       2        7        5        0        0
 8004 itstool                            	       2       31       27        2        0
 8005 jabref                             	       2       28       26        0        0
 8006 jack-example-tools                 	       2        9        6        1        0
 8007 jarwrapper                         	       2       85       83        0        0
 8008 jdk-11.0.12                        	       2        3        1        0        0
 8009 jdk-16.0.2                         	       2        2        0        0        0
 8010 jed                                	       2       27       23        2        0
 8011 jekyll                             	       2       11        9        0        0
 8012 jellyfin-media-player              	       2       10        8        0        0
 8013 jfsutils                           	       2       64       60        2        0
 8014 jitterentropy-rngd                 	       2        4        2        0        0
 8015 jmapviewer                         	       2       44       40        2        0
 8016 jpeginfo                           	       2       22       20        0        0
 8017 jpegoptim                          	       2       40       38        0        0
 8018 jsvc                               	       2       14       12        0        0
 8019 karbon                             	       2       32       28        2        0
 8020 kate5-data                         	       2      584       13        1      568
 8021 kcharselect                        	       2       75       71        2        0
 8022 kchmviewer                         	       2       27       24        1        0
 8023 kcolorchooser                      	       2       63       60        1        0
 8024 kdenetwork-filesharing             	       2       46       42        1        1
 8025 kdevelop                           	       2       50       47        1        0
 8026 kerneloops                         	       2        5        3        0        0
 8027 kfind-trinity                      	       2       36       30        4        0
 8028 kgamma-trinity                     	       2       25       21        2        0
 8029 kget                               	       2       58       53        3        0
 8030 kghostview-trinity                 	       2       33       29        2        0
 8031 khelpcenter-trinity                	       2       35       29        4        0
 8032 kig-trinity                        	       2       21       17        2        0
 8033 kile                               	       2       26       23        1        0
 8034 kino                               	       2       10        8        0        0
 8035 kiten                              	       2       46       42        2        0
 8036 klaptopdaemon-trinity              	       2       25       21        2        0
 8037 kleopatra                          	       2       68       65        1        0
 8038 kmenuedit-trinity                  	       2       34       28        4        0
 8039 knot                               	       2        7        5        0        0
 8040 knot-resolver                      	       2        7        5        0        0
 8041 knotes-trinity                     	       2       30       26        2        0
 8042 kopete-trinity                     	       2       26       22        2        0
 8043 koreader                           	       2        3        1        0        0
 8044 korn-trinity                       	       2       24       20        2        0
 8045 krb5-admin-server                  	       2        8        6        0        0
 8046 kregexpeditor-trinity              	       2       33       27        4        0
 8047 krename                            	       2       38       36        0        0
 8048 kshisen                            	       2       61       58        1        0
 8049 kstars                             	       2       32       29        1        0
 8050 ksysguard                          	       2      108      104        2        0
 8051 ksysguard-trinity                  	       2       34       28        4        0
 8052 ksysguardd-trinity                 	       2       34       28        4        0
 8053 ktexteditor-data                   	       2      679       14        1      662
 8054 ktip-trinity                       	       2       34       29        3        0
 8055 kuickshow-trinity                  	       2       24       20        2        0
 8056 kwifimanager-trinity               	       2       25       21        2        0
 8057 kwin-decoration-oxygen             	       2       52        4        0       46
 8058 laby                               	       2       13       11        0        0
 8059 latex-cjk-chinese                  	       2       94       90        2        0
 8060 latex-cjk-japanese                 	       2       96       92        2        0
 8061 latex-mk                           	       2        9        7        0        0
 8062 latex2html                         	       2       32       30        0        0
 8063 latex2rtf                          	       2       18       16        0        0
 8064 latexml                            	       2        9        7        0        0
 8065 lbzip2                             	       2       45       43        0        0
 8066 lcdf-typetools                     	       2       97       94        1        0
 8067 lcdproc                            	       2        9        7        0        0
 8068 ledger                             	       2       11        9        0        0
 8069 lf                                 	       2       13       11        0        0
 8070 libacl1-dev                        	       2       69       67        0        0
 8071 libaio1t64                         	       2      143        2        0      139
 8072 libakode2                          	       2       31       27        2        0
 8073 libalien-sdl-perl                  	       2       92       89        1        0
 8074 libamd2                            	       2     2597       11        0     2584
 8075 libaom-dev                         	       2      182      179        1        0
 8076 libapache-dbi-perl                 	       2       10        8        0        0
 8077 libapache2-mod-apreq2              	       2        2        0        0        0
 8078 libapache2-mod-auth-plain          	       2        3        1        0        0
 8079 libapache2-mod-authnz-external     	       2        9        7        0        0
 8080 libapache2-mod-fastcgi             	       2        5        3        0        0
 8081 libapache2-mod-jk                  	       2        2        0        0        0
 8082 libapache2-mod-passenger           	       2       15       13        0        0
 8083 libapache2-mod-php8.0              	       2        9        7        0        0
 8084 libapache2-mod-php8.1              	       2        9        7        0        0
 8085 libapache2-mod-svn                 	       2       10        8        0        0
 8086 libapache2-mod-upload-progress     	       2        3        1        0        0
 8087 libapache2-mod-uwsgi               	       2        7        5        0        0
 8088 libapache2-mod-wsgi                	       2        7        5        0        0
 8089 libapache2-reload-perl             	       2       33       31        0        0
 8090 libapp-cmd-perl                    	       2       31       28        1        0
 8091 libaprutil1-ldap                   	       2     1166        3        0     1161
 8092 libaqbanking-data                  	       2      108        1        0      105
 8093 libarchive-extract-perl            	       2       98       96        0        0
 8094 libarray-intspan-perl              	       2      180      174        4        0
 8095 libarts1-akode-trinity             	       2       28       24        2        0
 8096 libarts1-audiofile-trinity         	       2       24       20        2        0
 8097 libarts1-mpeglib-trinity           	       2       25       21        2        0
 8098 libarts1-xine-trinity              	       2       24       20        2        0
 8099 libasprintf-dev                    	       2      115      113        0        0
 8100 libatk-wrapper-java                	       2     1470        4        0     1464
 8101 libatrilview3t64                   	       2       87        1        0       84
 8102 libaudclient2                      	       2       65       11        1       51
 8103 libavahi-client-dev                	       2       74       72        0        0
 8104 libavahi-common-dev                	       2       78       76        0        0
 8105 libavcodec-extra61                 	       2        6        3        0        1
 8106 libavdevice60                      	       2       65        0        0       63
 8107 libavdevice61                      	       2      138        8        0      128
 8108 libavutil-dev                      	       2      230      215       13        0
 8109 libaxc0                            	       2        8        1        0        5
 8110 libayatana-common0                 	       2       10        3        0        5
 8111 libbabeltrace-dev                  	       2       22       19        1        0
 8112 libbabeltrace1                     	       2      703        1        0      700
 8113 libboolean-perl                    	       2       42       39        1        0
 8114 libboost-chrono1.62.0              	       2       50        2        0       46
 8115 libboost-filesystem1.62.0          	       2      157        2        0      153
 8116 libboost-program-options1.62.0     	       2       84        2        0       80
 8117 libboost-regex1.62.0               	       2       47        2        0       43
 8118 libboost-serialization1.74.0       	       2      215        3        0      210
 8119 libboost-system1.62.0              	       2      170        2        0      166
 8120 libboost-thread1.62.0              	       2       79        2        0       75
 8121 libc6-dev-x32                      	       2      191      170       19        0
 8122 libcamd2                           	       2     2596       11        0     2583
 8123 libcamel-1.2-62                    	       2       94       28        1       63
 8124 libcamera0.4                       	       2       14        1        0       11
 8125 libcanlock3                        	       2      985        2        0      981
 8126 libcap-dev                         	       2      111      107        2        0
 8127 libcap-ng-dev                      	       2       52       46        4        0
 8128 libcarp-assert-more-perl           	       2       29       26        1        0
 8129 libcbor0.10                        	       2      293       13        2      276
 8130 libccolamd2                        	       2     2596       11        0     2583
 8131 libcec7                            	       2        4        0        0        2
 8132 libcholmod3                        	       2     2596       11        0     2583
 8133 libchromaprint-tools               	       2       67       64        1        0
 8134 libclamav-client-perl              	       2        5        2        1        0
 8135 libclamav-dev                      	       2        3        0        1        0
 8136 libclang-common-11-dev             	       2      116      113        0        1
 8137 libclang-common-16-dev             	       2       52       50        0        0
 8138 libclang-common-19-dev             	       2       46       38        6        0
 8139 libclang-cpp13                     	       2       36       34        0        0
 8140 libclang-cpp14t64                  	       2       13       11        0        0
 8141 libclang-cpp16t64                  	       2       30       28        0        0
 8142 libclang-rt-19-dev                 	       2       44       37        5        0
 8143 libclang1-14t64                    	       2       10        8        0        0
 8144 libclang1-16t64                    	       2       31       29        0        0
 8145 libclass-dbi-mysql-perl            	       2       22       20        0        0
 8146 libclass-dbi-perl                  	       2       30       28        0        0
 8147 libclass-factory-util-perl         	       2       36       34        0        0
 8148 libclass-trigger-perl              	       2       33       31        0        0
 8149 libclc-15-dev                      	       2       40       36        2        0
 8150 libcln-dev                         	       2        8        6        0        0
 8151 libclone-choose-perl               	       2      126      123        1        0
 8152 libclutter-imcontext-0.1-bin       	       2       69       67        0        0
 8153 libcmocka-dev                      	       2       21       19        0        0
 8154 libcoarrays-dev                    	       2      177      156        0       19
 8155 libcodec2-0.8.1                    	       2      207        3        0      202
 8156 libcolamd2                         	       2     2799       11        0     2786
 8157 libcomedi0                         	       2       24       22        0        0
 8158 libcompress-raw-bzip2-perl         	       2       33        3        0       28
 8159 libcompress-raw-lzma-perl          	       2       68        3        0       63
 8160 libconfig-auto-perl                	       2       38       36        0        0
 8161 libconfig-model-lcdproc-perl       	       2       24       21        1        0
 8162 libconfig-model-perl               	       2       27       24        1        0
 8163 libcookie-baker-perl               	       2       16       14        0        0
 8164 libcppunit-dev                     	       2       73       70        1        0
 8165 libcrypt-cbc-perl                  	       2       10        8        0        0
 8166 libcrypt-random-source-perl        	       2        4        2        0        0
 8167 libcrypt-smbhash-perl              	       2       13       11        0        0
 8168 libcrypto++8                       	       2       93        9        1       81
 8169 libcsmith-dev                      	       2        3        1        0        0
 8170 libcwiid1                          	       2       83        0        0       81
 8171 libdata-compare-perl               	       2      132      128        2        0
 8172 libdata-section-perl               	       2      154      151        1        0
 8173 libdata-uniqid-perl                	       2      125      122        1        0
 8174 libdatetime-calendar-julian-perl   	       2      117      114        1        0
 8175 libdb5.1                           	       2       57        0        0       55
 8176 libdb5.3-dev                       	       2       82       80        0        0
 8177 libdbd-mysql                       	       2        8        0        0        6
 8178 libdbd-sqlite3                     	       2        8        0        0        6
 8179 libdbd-xbase-perl                  	       2        7        5        0        0
 8180 libdbix-class-perl                 	       2        7        5        0        0
 8181 libdbix-contextualfetch-perl       	       2       30       28        0        0
 8182 libdbus-glib-1-dev                 	       2       80       75        3        0
 8183 libdbus-glib-1-dev-bin             	       2       78       73        3        0
 8184 libdebian-dpkgcross-perl           	       2       26       24        0        0
 8185 libdecor-0-dev                     	       2      178      173        3        0
 8186 libdigest-sha-perl                 	       2       10        8        0        0
 8187 libdiscid0                         	       2      537        3        0      532
 8188 libdjvulibre21                     	       2     3404       18        0     3384
 8189 libdmapsharing-3.0-2               	       2      456        4        0      450
 8190 libdockapp3                        	       2       30        9        0       19
 8191 libdqlite0                         	       2       10        7        0        1
 8192 libdrm-amdgpu-amdgpu1              	       2       17       11        0        4
 8193 libdrm-amdgpu-radeon1              	       2       11        6        0        3
 8194 libdrm2-amdgpu                     	       2       17       15        0        0
 8195 libdtkcore5                        	       2       16        9        0        5
 8196 libdtkgui5                         	       2       16        9        0        5
 8197 libdv-bin                          	       2       28       26        0        0
 8198 libdw-dev                          	       2       95       93        0        0
 8199 libebackend-1.2-10                 	       2       91       28        1       60
 8200 libebook-1.2-20                    	       2       63       27        1       33
 8201 libebook-contacts-1.2-3            	       2       76       27        1       46
 8202 libecal-2.0-1                      	       2       60       27        1       30
 8203 libecore-audio1                    	       2       34        8        0       24
 8204 libecore-bin                       	       2       38       35        1        0
 8205 libecore-con1                      	       2       37        7        0       28
 8206 libecore-drm2-1                    	       2       37        8        0       27
 8207 libecore-evas1                     	       2       39        8        0       29
 8208 libecore-file1                     	       2       41        8        0       31
 8209 libecore-imf1                      	       2       37        8        0       27
 8210 libecore-input1                    	       2       40        8        0       30
 8211 libecore-ipc1                      	       2       39        8        0       29
 8212 libecore-wl2-1                     	       2       36        8        0       26
 8213 libecore-x1                        	       2       40        8        0       30
 8214 libecore1                          	       2       68        8        0       58
 8215 libector1                          	       2       37        8        0       27
 8216 libedata-book-1.2-26               	       2       63       27        1       33
 8217 libedata-cal-2.0-1                 	       2       55       27        1       25
 8218 libedataserver-1.2-25              	       2       78       27        1       48
 8219 libedataserverui-1.2-2             	       2       64       28        1       33
 8220 libedataserverui4-1.0-0            	       2      114       13        3       96
 8221 libedje-bin                        	       2       33       30        1        0
 8222 libedje1                           	       2       37        8        0       27
 8223 libeet1                            	       2       42        8        0       32
 8224 libeeze1                           	       2       41        8        0       31
 8225 libefreet1a                        	       2       39        8        0       29
 8226 libeigen3-dev                      	       2       90       87        1        0
 8227 libeina1a                          	       2       61        7        0       52
 8228 libeinfo1                          	       2      535       10        4      519
 8229 libeio1                            	       2       39        8        0       29
 8230 libelementary1                     	       2       33        8        0       23
 8231 libelput1                          	       2       37        8        0       27
 8232 libembryo-bin                      	       2       34       31        1        0
 8233 libembryo1                         	       2       37        8        0       27
 8234 libemile1                          	       2       38        8        0       28
 8235 libemotion1                        	       2       34        8        0       24
 8236 libethumb-client1                  	       2       34        8        0       24
 8237 libethumb1                         	       2       34        8        0       24
 8238 libevas1                           	       2       40        8        0       30
 8239 libevas1-engines-wayland           	       2       35        8        0       25
 8240 libevas1-engines-x                 	       2       38        8        0       28
 8241 libfbclient2                       	       2      762        3        0      757
 8242 libfcgi-perl                       	       2     1228        1        0     1225
 8243 libfcitx5-qt1                      	       2       22        6        0       14
 8244 libfeature-compat-class-perl       	       2      149      144        3        0
 8245 libfeature-compat-try-perl         	       2      149      144        3        0
 8246 libfeedback-0.0-0                  	       2        9        1        0        6
 8247 libfile-remove-perl                	       2       38       36        0        0
 8248 libfm-extra4t64                    	       2       33        2        0       29
 8249 libfm-gtk3-4t64                    	       2       19        2        0       15
 8250 libfm4t64                          	       2       20        2        0       16
 8251 libfox-1.6-0                       	       2       76        3        0       71
 8252 libfreerdp-client3-3               	       2       55        1        0       52
 8253 libfreerdp3-3                      	       2       65        1        0       62
 8254 libfsplib0                         	       2      144        0        0      142
 8255 libfwupd3                          	       2       65        6        2       55
 8256 libfwupdplugin1                    	       2       75       18        1       54
 8257 libg15-1                           	       2       11        1        0        8
 8258 libg15render1                      	       2       11        1        0        8
 8259 libgadu3t64                        	       2       33        1        0       30
 8260 libgail18t64                       	       2      212        8        0      202
 8261 libgamemode0                       	       2       80        0        0       78
 8262 libganglia1                        	       2        8        5        0        1
 8263 libgbm-dev                         	       2      219      208        9        0
 8264 libgcj-common                      	       2       62       59        1        0
 8265 libgd-dev                          	       2      128      126        0        0
 8266 libgd-graph-perl                   	       2       25       23        0        0
 8267 libgd-text-perl                    	       2       25       23        0        0
 8268 libgdal-dev                        	       2       40       37        1        0
 8269 libgdata22                         	       2     1676       30        1     1643
 8270 libgdk3.0-cil-dev                  	       2       16       14        0        0
 8271 libgegl-common                     	       2     2647        5        0     2640
 8272 libgeocode-glib0                   	       2      164       28        1      133
 8273 libgeos-dev                        	       2       49       46        1        0
 8274 libgetopt-argvfile-perl            	       2       26       23        1        0
 8275 libgettextpo-dev                   	       2      132      130        0        0
 8276 libgfapi0                          	       2      708        2        0      704
 8277 libgfrpc0                          	       2      708        2        0      704
 8278 libgfxdr0                          	       2      708        2        0      704
 8279 libginac-dev                       	       2        2        0        0        0
 8280 libgio-2.0-dev                     	       2       73       50       21        0
 8281 libgio-2.0-dev-bin                 	       2       76       51       23        0
 8282 libgio3.0-cil-dev                  	       2       17       15        0        0
 8283 libgirara-gtk3-3                   	       2      113        8        0      103
 8284 libgit2-dev                        	       2       26       24        0        0
 8285 libglew2.1                         	       2      364        3        0      359
 8286 libglib3.0-cil-dev                 	       2       18       16        0        0
 8287 libglibmm-2.68-1t64                	       2      175       15        0      158
 8288 libglusterfs0                      	       2      708        2        0      704
 8289 libgnome-desktop-3-20t64           	       2       85        5        1       77
 8290 libgnome-keyring0                  	       2      233        3        0      228
 8291 libgnutls-deb0-28                  	       2      185        2        0      181
 8292 libgnutls-openssl27t64             	       2       63        6        0       55
 8293 libgoffice-0.10-10t64              	       2       20       18        0        0
 8294 libgphoto2-2                       	       2       15       13        0        0
 8295 libgphoto2-l10n                    	       2     3118        0        0     3116
 8296 libgpm-dev                         	       2       29       26        1        0
 8297 libgsettings-qt1                   	       2      543        9        0      532
 8298 libgsl-dev                         	       2       41       39        0        0
 8299 libgssrpc4                         	       2      195        4        0      189
 8300 libgtk-3-dev                       	       2      280      262       16        0
 8301 libgtk3-simplelist-perl            	       2       61       59        0        0
 8302 libgtk3.0-cil-dev                  	       2       16       14        0        0
 8303 libgtop-2.0-10                     	       2      178        3        0      173
 8304 libguestfs-tools                   	       2       95       89        4        0
 8305 libgumbo1                          	       2      468        8        0      458
 8306 libgweather-3-16                   	       2      102       27        1       72
 8307 libgweather-4-common               	       2      435        5        1      427
 8308 libgwenhywfar-data                 	       2      111        1        0      108
 8309 libhamlib-utils                    	       2       40       37        1        0
 8310 libhash-multivalue-perl            	       2       18       16        0        0
 8311 libhdhomerun5                      	       2        4        1        0        1
 8312 libheimntlm0-heimdal               	       2       43        2        0       39
 8313 libhiredis1.1.0                    	       2       31        6        0       23
 8314 libhogweed2                        	       2      181        2        0      177
 8315 libhtml-treebuilder-libxml-perl    	       2       88       84        2        0
 8316 libhttp-entity-parser-perl         	       2       15       13        0        0
 8317 libhttp-headers-fast-perl          	       2       15       13        0        0
 8318 libhttp-multipartparser-perl       	       2       15       13        0        0
 8319 libhttp-request-ascgi-perl         	       2        3        1        0        0
 8320 libhx509-5-heimdal                 	       2       79        2        0       75
 8321 libicu52                           	       2      224        0        0      222
 8322 libicu65                           	       2        9        0        0        7
 8323 libicu71                           	       2       23        3        0       18
 8324 libidn2-dev                        	       2      254      240       12        0
 8325 libima-dbi-perl                    	       2       30       28        0        0
 8326 libimage-base-bundle-perl          	       2       33       30        1        0
 8327 libimage-info-perl                 	       2       33       30        1        0
 8328 libimlib2-dev                      	       2       34       31        1        0
 8329 libiniparser1                      	       2       85        0        0       83
 8330 libinline-c-perl                   	       2       30       28        0        0
 8331 libinline-files-perl               	       2       26       24        0        0
 8332 libinline-perl                     	       2       31       29        0        0
 8333 libinput-tools                     	       2       42       36        4        0
 8334 libio-interface-perl               	       2       39        3        0       34
 8335 libio-tiecombine-perl              	       2       31       28        1        0
 8336 libiodbc2                          	       2       45        0        0       43
 8337 libipc-sharelite-perl              	       2       33        2        0       29
 8338 libipt2                            	       2     1112        2        0     1108
 8339 libircclient1                      	       2       54        9        1       42
 8340 libjansson-dev                     	       2       85       81        2        0
 8341 libjson-c-dev                      	       2       78       76        0        0
 8342 libjson-c3                         	       2      380        4        0      374
 8343 libjson-glib-1.0-common            	       2     3478        2        0     3474
 8344 libjsoncpp-dev                     	       2       66       64        0        0
 8345 libjurt-java                       	       2      390      371       17        0
 8346 libkadm5srv-mit12                  	       2      197        2        0      193
 8347 libkate1                           	       2     3049        4        0     3043
 8348 libkdb5-10                         	       2      150        4        0      144
 8349 libkdecorations2-6                 	       2       20       14        0        4
 8350 libkdecorations2private11          	       2       22       14        0        6
 8351 libkdecorations3private1           	       2        9        0        1        6
 8352 libkdsoap1                         	       2      617        1        0      614
 8353 libkf5config-dev                   	       2       93       91        0        0
 8354 libkf5config-dev-bin               	       2       94       92        0        0
 8355 libkf5configwidgets-dev            	       2       31       29        0        0
 8356 libkf5coreaddons-dev-bin           	       2       95       93        0        0
 8357 libkf5imap-data                    	       2      487        6        0      479
 8358 libkf5kaddressbookgrantlee5        	       2       96        6        0       88
 8359 libkf5kdegames7                    	       2      144        7        0      135
 8360 libkf5libkleo-data                 	       2      494        2        0      490
 8361 libkf5mime-data                    	       2      536        2        0      532
 8362 libkf5pulseaudioqt2                	       2      131        4        0      125
 8363 libkf5service-dev                  	       2       85       83        0        0
 8364 libkf5sysguard-bin                 	       2      117      103        2       10
 8365 libkf5widgetsaddons-dev            	       2       35       33        0        0
 8366 libkf6texteditor-bin               	       2       32       22        8        0
 8367 libkf6unitconversion-data          	       2       32        3        1       26
 8368 libkimageannotator-common          	       2      562        3        0      557
 8369 libkmime2-trinity                  	       2       34        9        1       22
 8370 libknot13                          	       2       11        4        0        5
 8371 libkrb5-26-heimdal                 	       2       79        2        0       75
 8372 libktnef1-trinity                  	       2       34        9        1       22
 8373 libkuserfeedback-l10n              	       2       36       11        0       23
 8374 liblatex-tounicode-perl            	       2       23       21        0        0
 8375 liblchown-perl                     	       2       49        1        0       46
 8376 libleveldb1d                       	       2       99        6        0       91
 8377 liblinux-inotify2-perl             	       2       14        2        0       10
 8378 libllvm17                          	       2        8        2        0        4
 8379 liblockfile-simple-perl            	       2       17       14        1        0
 8380 liblog-message-perl                	       2      102      100        0        0
 8381 liblog-message-simple-perl         	       2      102      100        0        0
 8382 liblomiri-url-dispatcher0          	       2       12        3        0        7
 8383 libluajit2-5.1-2                   	       2       28        1        0       25
 8384 liblvm2cmd2.03                     	       2     1201        3        0     1196
 8385 liblxc-common                      	       2       75       68        5        0
 8386 liblxc1t64                         	       2       10        1        0        7
 8387 libmad0-dev                        	       2      151      146        3        0
 8388 libmagic1t64                       	       2      279        8        0      269
 8389 libmagickcore-6.q16-6-extra        	       2     2850       10        0     2838
 8390 libmail-box-perl                   	       2       31       29        0        0
 8391 libmail-message-perl               	       2       30       28        0        0
 8392 libmail-srs-perl                   	       2        4        2        0        0
 8393 libmail-transport-perl             	       2       29       27        0        0
 8394 libmarisa0                         	       2       43        5        0       36
 8395 libmatch-simple-perl               	       2       30       28        0        0
 8396 libmbedtls-dev                     	       2       52       49        1        0
 8397 libmbedtls21                       	       2       68        0        0       66
 8398 libmbedx509-7                      	       2       68        0        0       66
 8399 libmetis5                          	       2     2608       11        0     2595
 8400 libmime-base32-perl                	       2        9        7        0        0
 8401 libminiupnpc18                     	       2       68        6        0       60
 8402 libmirisdr4                        	       2       10        8        0        0
 8403 libmodule-load-conditional-perl    	       2       37       35        0        0
 8404 libmodule-refresh-perl             	       2       21       19        0        0
 8405 libmono-sqlite4.0-cil              	       2      124      120        2        0
 8406 libmono-system-data4.0-cil         	       2      197      191        4        0
 8407 libmono-system-net-http-webrequest4.0-cil	       2       92       88        2        0
 8408 libmono-system-transactions4.0-cil 	       2      197      191        4        0
 8409 libmono-system-xml-linq4.0-cil     	       2      107      103        2        0
 8410 libmono-windowsbase4.0-cil         	       2       93       89        2        0
 8411 libmoosex-getopt-perl              	       2       11        9        0        0
 8412 libmoosex-role-parameterized-perl  	       2       16       14        0        0
 8413 libmoox-locale-passthrough-perl    	       2        5        3        0        0
 8414 libmoox-options-perl               	       2        5        3        0        0
 8415 libmoox-strictconstructor-perl     	       2       12       10        0        0
 8416 libmousex-nativetraits-perl        	       2       33       30        1        0
 8417 libmousex-strictconstructor-perl   	       2       27       24        1        0
 8418 libmp3splt                         	       2       27       23        2        0
 8419 libmpc-dev                         	       2       48       46        0        0
 8420 libmpv2                            	       2       74        2        0       70
 8421 libmtp9t64                         	       2      202        6        0      194
 8422 libmujs3                           	       2      141        6        0      133
 8423 libmxml1                           	       2      115        3        0      110
 8424 libmyth-35                         	       2        2        0        0        0
 8425 libmythavcodec61                   	       2        2        0        0        0
 8426 libmythavfilter10                  	       2        2        0        0        0
 8427 libmythavformat61                  	       2        2        0        0        0
 8428 libmythavutil59                    	       2        2        0        0        0
 8429 libmythpostproc58                  	       2        2        0        0        0
 8430 libmythswresample5                 	       2        2        0        0        0
 8431 libmythswscale8                    	       2        2        0        0        0
 8432 libnagios-plugin-perl              	       2        6        4        0        0
 8433 libnative-platform-jni             	       2       47       45        0        0
 8434 libnautilus-extension4             	       2      466        8        2      454
 8435 libnet-rblclient-perl              	       2       22       20        0        0
 8436 libnet-xmpp-perl                   	       2       10        8        0        0
 8437 libnetcdf-dev                      	       2       54       52        0        0
 8438 libnettle4                         	       2      183        2        0      179
 8439 libnfc6                            	       2       27       25        0        0
 8440 libnginx-mod-http-cache-purge      	       2        6        4        0        0
 8441 libnginx-mod-http-lua              	       2        6        4        0        0
 8442 libnginx-mod-http-ndk              	       2        7        5        0        0
 8443 libnginx-mod-http-perl             	       2        6        4        0        0
 8444 libnginx-mod-http-uploadprogress   	       2        6        4        0        0
 8445 libnginx-mod-nchan                 	       2        6        4        0        0
 8446 libnitrokey-common                 	       2       10        8        0        0
 8447 libnjb5                            	       2        9        7        0        0
 8448 libnotmuch5t64                     	       2       12        0        0       10
 8449 libntfs-3g89t64                    	       2      229        5        0      222
 8450 libnvcuvid1                        	       2      168        2        0      164
 8451 libnvidia-gpucomp                  	       2       13        5        0        6
 8452 libnvidia-legacy-390xx-cfg1        	       2       13        1        0       10
 8453 libnvidia-legacy-390xx-cuda1       	       2       11        0        0        9
 8454 libnvidia-legacy-390xx-fatbinaryloader	       2       13        0        0       11
 8455 libnvidia-tesla-470-cfg1           	       2       16        2        0       12
 8456 libnx-x11-6                        	       2       67        2        0       63
 8457 libobject-realize-later-perl       	       2       31       29        0        0
 8458 libodbc1                           	       2      363        7        0      354
 8459 libola1                            	       2        4        0        0        2
 8460 libolm3                            	       2       37        2        0       33
 8461 libomemo0                          	       2        8        1        0        5
 8462 libonig2                           	       2       63        5        0       56
 8463 libopencc1.1                       	       2       41        3        0       36
 8464 libopenjfx-jni                     	       2      129        0        0      127
 8465 libopenjp2-7-dev                   	       2      162      153        7        0
 8466 libopentracing-c-wrapper0          	       2        9        7        0        0
 8467 libopentracing1                    	       2        9        7        0        0
 8468 libopus-dev                        	       2      159      154        3        0
 8469 libotr5t64                         	       2      121        3        0      116
 8470 libowncloudsync0                   	       2       12        0        0       10
 8471 liboxygenstyleconfig5-5            	       2      531        4        0      525
 8472 libpackage-constants-perl          	       2       93       91        0        0
 8473 libpam-afs-session                 	       2        2        0        0        0
 8474 libpam-cracklib                    	       2        8        0        0        6
 8475 libpam-fprintd                     	       2       35        7        0       26
 8476 libpam-ldap                        	       2       10        1        0        7
 8477 libpam-mount-bin                   	       2       14       12        0        0
 8478 libpam-shield                      	       2        3        1        0        0
 8479 libpam0g-dev                       	       2      126      121        3        0
 8480 libpano13-bin                      	       2       54       51        1        0
 8481 libparse-syslog-perl               	       2       23       21        0        0
 8482 libparted-fs-resize0t64            	       2       95        1        0       92
 8483 libparted2t64                      	       2      247        1        0      244
 8484 libpath-class-perl                 	       2       48       44        2        0
 8485 libpcap0.8-dev                     	       2       98       93        3        0
 8486 libpegex-perl                      	       2       30       28        0        0
 8487 libperl5.30                        	       2        9        7        0        0
 8488 libphp-adodb                       	       2       10        8        0        0
 8489 libpkcs11-dnie                     	       2        6        2        0        2
 8490 libpkcs11-helper1t64               	       2       39        4        1       32
 8491 libplack-middleware-fixmissingbodyinredirect-perl	       2        6        4        0        0
 8492 libplack-perl                      	       2       17       15        0        0
 8493 libpng12-0                         	       2      183        2        0      179
 8494 libpodofo-dev                      	       2        7        5        0        0
 8495 libpolkit-backend-consolekit-1-0   	       2      192        0        0      190
 8496 libpoppler-glib-dev                	       2       33       30        1        0
 8497 libpoppler140                      	       2      162       12        0      148
 8498 libpoppler147                      	       2       35        0        1       32
 8499 libportal-gtk3-1                   	       2      368        3        0      363
 8500 libportmidi0                       	       2      749        1        0      746
 8501 libproc-processtable-perl          	       2      918        9        0      907
 8502 libpurple0t64                      	       2       31        1        0       28
 8503 libpython3.12-dev                  	       2       49       47        0        0
 8504 libqdbm14                          	       2      116        6        0      108
 8505 libqgpgme7                         	       2      103        6        0       95
 8506 libqmi-proxy                       	       2     2752      119        1     2630
 8507 libqpdf29                          	       2     2114        2        0     2110
 8508 libqt5charts5                      	       2      259        2        0      255
 8509 libqt5designer5                    	       2     1345        5        0     1338
 8510 libqt5gamepad5                     	       2       96        2        0       92
 8511 libqt5svg5-dev                     	       2      113      111        0        0
 8512 libqt5webengine5                   	       2      943       22        0      919
 8513 libqt5xmlpatterns5                 	       2      519        7        0      510
 8514 libqt6positioning6-plugins         	       2       39        0        0       37
 8515 libqt6quickshapes6                 	       2      118        5        0      111
 8516 libqt6virtualkeyboard6             	       2       48        1        0       45
 8517 libqt6webengine6-data              	       2      253        3        0      248
 8518 libquvi-scripts-0.9                	       2      198      159        2       35
 8519 libqwt-qt5-dev                     	       2       17       15        0        0
 8520 libradcli4                         	       2       59        8        0       49
 8521 librados2                          	       2      747        2        0      743
 8522 libraft0                           	       2        7        1        1        3
 8523 libraft2                           	       2       10        7        0        1
 8524 librbd1                            	       2      554       12        0      540
 8525 librc1                             	       2      479        8        3      466
 8526 librdmacm1t64                      	       2       78        1        0       75
 8527 libre2-10                          	       2       17        2        0       13
 8528 librecad-data                      	       2      106        1        0      103
 8529 libregexp-pattern-license-perl     	       2      188      182        4        0
 8530 libregexp-pattern-perl             	       2      180      174        4        0
 8531 libreoffice-dmaths                 	       2       10        8        0        0
 8532 libreoffice-help-common            	       2     2397      149       45     2201
 8533 libreoffice-kf6                    	       2       18       11        5        0
 8534 libreoffice-l10n-cs                	       2       32       22        8        0
 8535 libreoffice-l10n-en-za             	       2       16       13        1        0
 8536 libreoffice-lightproof-ru-ru       	       2       16       14        0        0
 8537 libreoffice-qt6                    	       2       21       13        6        0
 8538 libreoffice-style-sukapura         	       2       22       18        2        0
 8539 libreoffice-voikko                 	       2       14       12        0        0
 8540 libresample1                       	       2       25        9        0       14
 8541 librhythmbox-core10                	       2      417        3        0      412
 8542 libruby1.8                         	       2       17       15        0        0
 8543 libruby1.9.1                       	       2       16       14        0        0
 8544 libsafe-isa-perl                   	       2       10        8        0        0
 8545 libsamplerate0-dev                 	       2      214      207        5        0
 8546 libsaxon-java                      	       2      109      105        2        0
 8547 libsbuild-perl                     	       2       25       20        3        0
 8548 libscope-guard-perl                	       2       63       61        0        0
 8549 libsdl2-mixer-2.0-0                	       2      456        4        0      450
 8550 libsdl2-ttf-2.0-0                  	       2      427        2        0      423
 8551 libsecret-tools                    	       2       74       72        0        0
 8552 libset-intspan-perl                	       2      202      197        3        0
 8553 libset-scalar-perl                 	       2       76       74        0        0
 8554 libsexp2                           	       2       13        0        0       11
 8555 libsigsegv2                        	       2     1645        4        0     1639
 8556 libsndfile1-dev                    	       2      118      113        3        0
 8557 libsodium-dev                      	       2       88       85        1        0
 8558 libsoftware-license-perl           	       2      154      151        1        0
 8559 libsource-highlight4v5             	       2      533        1        0      530
 8560 libspatialindex6                   	       2      109        5        0      102
 8561 libspatialite-dev                  	       2       43       40        1        0
 8562 libspectre1                        	       2     3252        4        0     3246
 8563 libspf2-2t64                       	       2        5        1        0        2
 8564 libsql-translator-perl             	       2        7        5        0        0
 8565 libstdc++-13-dev                   	       2       86       83        1        0
 8566 libstdc++-8-dev                    	       2      124      121        1        0
 8567 libstfl0                           	       2       47        2        0       43
 8568 libstream-buffered-perl            	       2       17       15        0        0
 8569 libstring-license-perl             	       2      149      144        3        0
 8570 libsub-infix-perl                  	       2       30       28        0        0
 8571 libsuitesparseconfig5              	       2     2745       11        0     2732
 8572 libsybdb5                          	       2       61       11        0       48
 8573 libsys-sigaction-perl              	       2        7        5        0        0
 8574 libsysprof-capture-4-dev           	       2       85       74        9        0
 8575 libtasn1-6-dev                     	       2      270      250       18        0
 8576 libtcmalloc-minimal4               	       2       64        2        0       60
 8577 libtdepim1a-trinity                	       2       34       30        2        0
 8578 libtelepathy-logger3               	       2       40        3        0       35
 8579 libtepl-6-2                        	       2      268        5        0      261
 8580 libtepl-common                     	       2      302        1        0      299
 8581 libterm-readline-gnu-perl          	       2       92       90        0        0
 8582 libterm-ui-perl                    	       2       99       97        0        0
 8583 libtermkey1                        	       2      141        4        1      134
 8584 libtest-output-perl                	       2       57       55        0        0
 8585 libtext-balanced-perl              	       2        6        4        0        0
 8586 libtext-english-perl               	       2       22       19        1        0
 8587 libtext-recordparser-perl          	       2        6        4        0        0
 8588 libtext-roman-perl                 	       2      118      115        1        0
 8589 libtie-simple-perl                 	       2       90       87        1        0
 8590 libtime-duration-parse-perl        	       2       13       11        0        0
 8591 libtinyxml2-10                     	       2       53        6        0       45
 8592 libtk-pod-perl                     	       2       21       18        1        0
 8593 libtommath-dev                     	       2        5        2        1        0
 8594 libtre5                            	       2      174        0        0      172
 8595 libtype-tiny-xs-perl               	       2      357        1        0      354
 8596 libtypes-path-tiny-perl            	       2        9        7        0        0
 8597 libudunits2-dev                    	       2       13       10        1        0
 8598 libumfpack5                        	       2     2593       11        0     2580
 8599 libunibilium4                      	       2      144        4        1      137
 8600 libunicode-map8-perl               	       2       15       13        0        0
 8601 libunicode-maputf8-perl            	       2       11        9        0        0
 8602 libuniversal-moniker-perl          	       2       31       29        0        0
 8603 libupsclient4                      	       2       31        3        0       26
 8604 libusb-dev                         	       2      123      119        2        0
 8605 libuser-identity-perl              	       2       32       30        0        0
 8606 libutf8proc3                       	       2       68        8        1       57
 8607 libv4l-0t64                        	       2      233        3        0      228
 8608 libv4lconvert0t64                  	       2      233        3        0      228
 8609 libvamp-hostsdk3v5                 	       2      504        0        0      502
 8610 libvdpau-va-gl1                    	       2     3256        6        0     3248
 8611 libverto-libev1                    	       2       15        2        0       11
 8612 libverto1                          	       2       15        2        0       11
 8613 libvidstab1.2                      	       2       53        2        0       49
 8614 libvirt-daemon-driver-storage-gluster	       2        6        2        0        2
 8615 libvirt-daemon-driver-storage-rbd  	       2        6        2        0        2
 8616 libvirt-daemon-driver-storage-zfs  	       2        8        5        0        1
 8617 libvlc-dev                         	       2      107      103        2        0
 8618 libvo-aacenc0                      	       2     3028        6        0     3020
 8619 libwebsockets17                    	       2       80        1        0       77
 8620 libwin-hivex-perl                  	       2       99       92        5        0
 8621 libwind0-heimdal                   	       2       79        2        0       75
 8622 libwinpr3-3                        	       2       66        1        0       63
 8623 libwmflite-0.2-7                   	       2     2673       13        0     2658
 8624 libwrap0-dev                       	       2       94       89        3        0
 8625 libwww-form-urlencoded-perl        	       2       17       15        0        0
 8626 libwxbase3.2-1t64                  	       2      106        2        1      101
 8627 libwxgtk3.2-1t64                   	       2      104        2        1       99
 8628 libxcb-dri2-0-dev                  	       2      113      111        0        0
 8629 libxcb-dri3-dev                    	       2      120      118        0        0
 8630 libxcb-glx0-dev                    	       2      130      128        0        0
 8631 libxcb-image0-dev                  	       2       60       58        0        0
 8632 libxcb-keysyms1-dev                	       2       56       54        0        0
 8633 libxcb-present-dev                 	       2      128      126        0        0
 8634 libxcb-sync-dev                    	       2      134      132        0        0
 8635 libxcb-xfixes0-dev                 	       2      180      177        1        0
 8636 libxcb-xkb-dev                     	       2       76       73        1        0
 8637 libxcompshad3                      	       2       67        2        0       63
 8638 libxfce4windowing-common           	       2       71        0        0       69
 8639 libxfconf-0-2                      	       2      421        6        0      413
 8640 libxine2-bin                       	       2      113      106        5        0
 8641 libxkbcommon-dev                   	       2      459      442       15        0
 8642 libxml-dumper-perl                 	       2       11        9        0        0
 8643 libxml-libxml-simple-perl          	       2      120      117        1        0
 8644 libxml-stream-perl                 	       2       10        8        0        0
 8645 libxxf86dga-dev                    	       2      148      145        1        0
 8646 libxxhash-dev                      	       2       37       35        0        0
 8647 libxxx-perl                        	       2       27       25        0        0
 8648 libyaml-cpp0.5v5                   	       2       14        2        0       10
 8649 libyaml-cpp0.6                     	       2      101        5        0       94
 8650 libyaml-dev                        	       2       65       63        0        0
 8651 libzen0v5                          	       2      169       10        0      157
 8652 libzlui-gtk                        	       2       85       82        1        0
 8653 light                              	       2       32       28        2        0
 8654 lightdm-gtk-greeter-settings       	       2       67       64        1        0
 8655 link-grammar-dictionaries-en       	       2      102       94        3        3
 8656 linphone-desktop                   	       2       27       25        0        0
 8657 lintex                             	       2        4        2        0        0
 8658 linux-cpupower                     	       2      130      109       19        0
 8659 linux-headers-4.19.0-0.bpo.19-amd64	       2        3        1        0        0
 8660 linux-headers-4.19.0-0.bpo.19-common	       2        3        1        0        0
 8661 linux-headers-5.10.0-0.deb10.28-amd64	       2        3        1        0        0
 8662 linux-headers-5.10.0-0.deb10.28-common	       2        3        1        0        0
 8663 linux-headers-6.11.10+bpo-common   	       2       10        8        0        0
 8664 linux-headers-6.12.17-amd64        	       2       17        0       15        0
 8665 linux-headers-6.12.17-common       	       2       17        0       15        0
 8666 linux-image-3.2.0-4-amd64          	       2       11        9        0        0
 8667 linux-image-4.19.0-0.bpo.19-amd64  	       2        4        2        0        0
 8668 linux-image-4.19.0-17-amd64        	       2       41       38        0        1
 8669 linux-image-4.19.0-25-amd64        	       2       45       43        0        0
 8670 linux-image-4.9.0-12-amd64         	       2       33       29        0        2
 8671 linux-image-4.9.0-19-amd64         	       2       51       49        0        0
 8672 linux-image-4.9.227-vs2.3.9.12-beng	       2        7        5        0        0
 8673 linux-image-5.10.0-0.deb10.28-amd64	       2        4        2        0        0
 8674 linux-image-5.10.0-25-amd64        	       2       70       67        0        1
 8675 linux-image-5.10.0-28-amd64        	       2      117      114        0        1
 8676 linux-image-5.10.0-29-amd64        	       2       64       62        0        0
 8677 linux-image-5.10.0-33-amd64        	       2      228      224        1        1
 8678 linux-image-5.10.0-34-amd64        	       2       41        0       39        0
 8679 linux-image-6.1.0-0.deb11.17-amd64 	       2        9        7        0        0
 8680 linux-image-6.1.0-10-686           	       2       78       58       18        0
 8681 linux-image-6.1.0-11-amd64         	       2       44       42        0        0
 8682 linux-image-6.1.0-12-amd64         	       2       41       39        0        0
 8683 linux-image-6.1.0-15-amd64         	       2       33       31        0        0
 8684 linux-image-6.1.0-16-amd64         	       2       59       56        0        1
 8685 linux-image-6.1.0-21-amd64         	       2      228      226        0        0
 8686 linux-image-6.1.0-22-amd64         	       2      148      146        0        0
 8687 linux-image-6.1.0-23-amd64         	       2      325      323        0        0
 8688 linux-image-6.1.0-25-amd64         	       2      513      510        0        1
 8689 linux-image-6.1.0-26-686           	       2       20       18        0        0
 8690 linux-image-6.1.0-29-amd64         	       2      142      130        9        1
 8691 linux-image-6.11.10+bpo-amd64      	       2       23       21        0        0
 8692 linux-image-6.11.2-amd64           	       2       44       34        8        0
 8693 linux-image-6.12.19-amd64          	       2        7        0        5        0
 8694 linux-image-6.12.9-amd64           	       2       20       18        0        0
 8695 linux-image-6.7.12-amd64           	       2       16       14        0        0
 8696 linux-image-6.8.12-amd64           	       2       10        8        0        0
 8697 linux-image-6.9.12-amd64           	       2        8        6        0        0
 8698 litecoin-qt                        	       2        5        3        0        0
 8699 live-build                         	       2       11        9        0        0
 8700 lksctp-tools                       	       2       64       62        0        0
 8701 lltdscan                           	       2       15       12        1        0
 8702 llvm-13-linker-tools               	       2       33       31        0        0
 8703 llvm-16-dev                        	       2       45       43        0        0
 8704 llvm-17-dev                        	       2       15       10        3        0
 8705 llvm-17-linker-tools               	       2       16       11        3        0
 8706 llvm-18-linker-tools               	       2       11        9        0        0
 8707 lmemory                            	       2       20       18        0        0
 8708 loook                              	       2       12        9        1        0
 8709 low-memory-monitor                 	       2      125      103       19        1
 8710 lsd                                	       2       21       18        1        0
 8711 lshw-gtk                           	       2       84       81        1        0
 8712 ltrace                             	       2       64       62        0        0
 8713 lua-cqueues                        	       2        8        3        0        3
 8714 lua-luv                            	       2      129        4        1      122
 8715 lxd                                	       2       10        8        0        0
 8716 lxhotkey-core                      	       2      181      161       18        0
 8717 lziprecover                        	       2       16       12        2        0
 8718 mailutils-mh                       	       2        9        7        0        0
 8719 maim                               	       2       23       20        1        0
 8720 mairix                             	       2        7        5        0        0
 8721 makedumpfile                       	       2        9        7        0        0
 8722 mako-notifier                      	       2       10        8        0        0
 8723 marble                             	       2       75       69        4        0
 8724 mariadb-client-10.0                	       2        5        3        0        0
 8725 mariadb-client-compat              	       2        7        5        0        0
 8726 mariadb-client-core-10.3           	       2       59       57        0        0
 8727 mariadb-plugin-connect             	       2        4        1        1        0
 8728 mariadb-server-10.0                	       2        5        3        0        0
 8729 masscan                            	       2       30       28        0        0
 8730 mate-notification-daemon-common    	       2      536        0        0      534
 8731 mathomatic                         	       2        7        5        0        0
 8732 mathomatic-primes                  	       2        4        2        0        0
 8733 mattermost-desktop                 	       2       10        4        0        4
 8734 maxima                             	       2       54       52        0        0
 8735 mb2md                              	       2       18       16        0        0
 8736 mbuffer                            	       2       28       26        0        0
 8737 mcomix                             	       2       39       36        1        0
 8738 mediawiki                          	       2       12       10        0        0
 8739 mediawiki-classes                  	       2       12       10        0        0
 8740 megatools                          	       2       17       15        0        0
 8741 metar                              	       2        7        5        0        0
 8742 metastore                          	       2        2        0        0        0
 8743 mikmod                             	       2       28       26        0        0
 8744 mlterm-tools                       	       2       18       16        0        0
 8745 mocha                              	       2       30       28        0        0
 8746 monero                             	       2       12       10        0        0
 8747 mongodb-server                     	       2       12       10        0        0
 8748 mono-mcs                           	       2       95       90        3        0
 8749 monodoc-base                       	       2       72       68        2        0
 8750 monopd                             	       2        8        6        0        0
 8751 mp3blaster                         	       2       16       14        0        0
 8752 mp3check                           	       2       21       19        0        0
 8753 mp3gain                            	       2       52       47        3        0
 8754 mpeg2dec                           	       2       19       16        1        0
 8755 mplayer-gui                        	       2       53       50        1        0
 8756 mpv-mpris                          	       2       10        8        0        0
 8757 msr-tools                          	       2       83       75        6        0
 8758 mssh                               	       2        9        7        0        0
 8759 mtpaint                            	       2       55       52        1        0
 8760 mugshot                            	       2       20       16        2        0
 8761 multipath-tools                    	       2        5        3        0        0
 8762 mumble                             	       2       57       55        0        0
 8763 musescore3                         	       2       28       24        2        0
 8764 musl-tools                         	       2       15       13        0        0
 8765 mx-user                            	       2        7        1        4        0
 8766 myrescue                           	       2       38       36        0        0
 8767 myspell-tl                         	       2       19       17        0        0
 8768 mythtv-backend                     	       2        5        3        0        0
 8769 mythtv-frontend                    	       2        3        1        0        0
 8770 mythweb                            	       2        2        0        0        0
 8771 nagios-nrpe-plugin                 	       2       12       10        0        0
 8772 nautilus-extension-gnome-terminal  	       2      421       11        2      406
 8773 navit-graphics-gtk-drawing-area    	       2       14       11        1        0
 8774 ncrack                             	       2       29       27        0        0
 8775 ncurses-hexedit                    	       2       21       19        0        0
 8776 needrestart-session                	       2       14       12        0        0
 8777 neovim-qt                          	       2       16       12        2        0
 8778 netatalk                           	       2        7        5        0        0
 8779 netplug                            	       2        4        2        0        0
 8780 netsurf-gtk                        	       2       46       44        0        0
 8781 network-manager-l10n               	       2       27        0        3       22
 8782 network-manager-openvpn-gnome      	       2      121      104        1       14
 8783 network-manager-tde                	       2        6        4        0        0
 8784 nfswatch                           	       2       19       17        0        0
 8785 ng-utils                           	       2        9        7        0        0
 8786 nilfs-tools                        	       2       56       52        2        0
 8787 nm-tray-l10n                       	       2       38        6        1       29
 8788 noatun-trinity                     	       2       24       20        2        0
 8789 node-babel-helper-define-polyfill-provider	       2      179      174        3        0
 8790 node-busboy                        	       2      264      261        1        0
 8791 node-colors                        	       2      200      195        3        0
 8792 node-corepack                      	       2       25       15        8        0
 8793 node-css-selector-tokenizer        	       2      161      156        3        0
 8794 node-depd                          	       2      185      180        3        0
 8795 node-diff                          	       2      178      173        3        0
 8796 node-enhanced-resolve              	       2      168      163        3        0
 8797 node-he                            	       2       30       28        0        0
 8798 node-lodash                        	       2      183      177        3        1
 8799 node-loose-envify                  	       2       29       27        0        0
 8800 node-memfs                         	       2      169      164        3        0
 8801 node-mime                          	       2      204      197        5        0
 8802 node-normalize.css                 	       2     2443      428       11     2002
 8803 node-popper2                       	       2       13       11        0        0
 8804 node-terser                        	       2      168      163        3        0
 8805 node-typescript                    	       2        8        6        0        0
 8806 node-webassemblyjs                 	       2      169      164        3        0
 8807 node-webpack-sources               	       2      168      163        3        0
 8808 nodm                               	       2       13       10        1        0
 8809 noweb                              	       2       13       11        0        0
 8810 nrg2iso                            	       2       19       17        0        0
 8811 ntpstat                            	       2       82       79        1        0
 8812 nullidentd                         	       2        6        4        0        0
 8813 numactl                            	       2       30       27        1        0
 8814 nut-ipmi                           	       2        5        3        0        0
 8815 nut-monitor                        	       2       10        8        0        0
 8816 nvidia-cuda-toolkit                	       2       20       18        0        0
 8817 nvidia-detect                      	       2       99       88        9        0
 8818 nvidia-legacy-390xx-smi            	       2       11        9        0        0
 8819 nvidia-settings-legacy-390xx       	       2       13       11        0        0
 8820 nvidia-suspend-common              	       2      127      109       16        0
 8821 nvidia-tesla-470-kernel-dkms       	       2       16       14        0        0
 8822 obsidian                           	       2       19        2        0       15
 8823 ocaml-compiler-libs                	       2       63       59        1        1
 8824 ocaml-nox                          	       2       40       15        1       22
 8825 ocrfeeder                          	       2       26       23        1        0
 8826 oddjob                             	       2       14       12        0        0
 8827 okular-data                        	       2       26        0        0       24
 8828 ola                                	       2        4        2        0        0
 8829 onboard-common                     	       2       49        8        0       39
 8830 ooo-thumbnailer                    	       2       13       11        0        0
 8831 opalmod                            	       2        6        4        0        0
 8832 openafs-krb5                       	       2        5        3        0        0
 8833 openbabel                          	       2       16       14        0        0
 8834 openclipart-libreoffice            	       2       51       47        2        0
 8835 opendmarc                          	       2        3        1        0        0
 8836 openoffice-debian-menus            	       2       11        9        0        0
 8837 openrazer-driver-dkms              	       2        6        3        1        0
 8838 openrgb                            	       2       13       11        0        0
 8839 opensnitch                         	       2        5        3        0        0
 8840 openssh-known-hosts                	       2        3        1        0        0
 8841 openuniverse                       	       2        9        7        0        0
 8842 orage                              	       2       74       70        2        0
 8843 orage-data                         	       2       44        3        0       39
 8844 original-awk                       	       2       13       11        0        0
 8845 osmcoastline                       	       2        4        2        0        0
 8846 osmctools                          	       2        8        5        1        0
 8847 osmium-tool                        	       2        6        4        0        0
 8848 osmo                               	       2       17       15        0        0
 8849 otp                                	       2        6        4        0        0
 8850 otpclient                          	       2       17       14        1        0
 8851 outguess                           	       2       31       29        0        0
 8852 owfs-fuse                          	       2        5        3        0        0
 8853 owftpd                             	       2        3        1        0        0
 8854 owhttpd                            	       2        3        1        0        0
 8855 owserver                           	       2        6        4        0        0
 8856 pamix                              	       2       16       14        0        0
 8857 paperkey                           	       2       77       74        1        0
 8858 parcimonie                         	       2        5        3        0        0
 8859 pari-gp2c                          	       2       12       10        0        0
 8860 paris-traceroute                   	       2        4        2        0        0
 8861 pass-otp                           	       2       23       21        0        0
 8862 passenger                          	       2       22       20        0        0
 8863 passt                              	       2       32       22        8        0
 8864 password-gorilla                   	       2        8        6        0        0
 8865 pcf2bdf                            	       2       30       28        0        0
 8866 pdfresurrect                       	       2       14       12        0        0
 8867 pdl                                	       2        7        5        0        0
 8868 pdlzip                             	       2        9        6        1        0
 8869 pdns-backend-bind                  	       2        5        2        0        1
 8870 pdns-recursor                      	       2        5        3        0        0
 8871 pdns-server                        	       2        7        5        0        0
 8872 pff-tools                          	       2       27       25        0        0
 8873 pflogsumm                          	       2       11        9        0        0
 8874 pgadmin3                           	       2       30       28        0        0
 8875 pgpool2                            	       2        2        0        0        0
 8876 phantomjs                          	       2      133      131        0        0
 8877 php-date                           	       2        7        5        0        0
 8878 php-db                             	       2       14       12        0        0
 8879 php-fpdf                           	       2        9        7        0        0
 8880 php-geoip                          	       2        4        1        0        1
 8881 php-guzzlehttp-guzzle              	       2        7        5        0        0
 8882 php-guzzlehttp-promises            	       2        7        5        0        0
 8883 php-guzzlehttp-psr7                	       2        7        5        0        0
 8884 php-mdb2                           	       2        8        6        0        0
 8885 php-memcached                      	       2       14        0        0       12
 8886 php-msgpack                        	       2       12        1        0        9
 8887 php-net-url                        	       2        7        5        0        0
 8888 php-net-url2                       	       2        8        6        0        0
 8889 php-psr-http-client                	       2        7        5        0        0
 8890 php-psr-simple-cache               	       2        9        7        0        0
 8891 php-redis                          	       2       25        0        0       23
 8892 php-ssh2                           	       2        7        1        0        4
 8893 php-yaml                           	       2       13        1        0       10
 8894 php5-apcu                          	       2        8        6        0        0
 8895 php5-cgi                           	       2       10        8        0        0
 8896 php5-fpm                           	       2        5        3        0        0
 8897 php5-imap                          	       2        6        4        0        0
 8898 php5-pgsql                         	       2        8        6        0        0
 8899 php5-pspell                        	       2        3        1        0        0
 8900 php5-xsl                           	       2        3        1        0        0
 8901 php5.6-mcrypt                      	       2        4        2        0        0
 8902 php5.6-pspell                      	       2        3        1        0        0
 8903 php7.0-bcmath                      	       2        2        0        0        0
 8904 php7.0-ldap                        	       2        3        1        0        0
 8905 php7.0-tidy                        	       2        5        3        0        0
 8906 php7.1-cli                         	       2        6        4        0        0
 8907 php7.1-common                      	       2        9        7        0        0
 8908 php7.1-json                        	       2        6        4        0        0
 8909 php7.1-opcache                     	       2        6        4        0        0
 8910 php7.1-readline                    	       2        6        4        0        0
 8911 php7.3-apcu                        	       2        7        5        0        0
 8912 php7.3-enchant                     	       2        2        0        0        0
 8913 php7.3-ldap                        	       2        4        2        0        0
 8914 php7.4-apcu                        	       2        9        7        0        0
 8915 php7.4-igbinary                    	       2        5        3        0        0
 8916 php7.4-imagick                     	       2        6        4        0        0
 8917 php7.4-phpdbg                      	       2       10        8        0        0
 8918 php7.4-redis                       	       2        4        2        0        0
 8919 php7.4-tidy                        	       2       12       10        0        0
 8920 php8.0-bz2                         	       2        7        5        0        0
 8921 php8.0-fpm                         	       2       10        8        0        0
 8922 php8.0-gmp                         	       2        4        2        0        0
 8923 php8.0-pspell                      	       2        8        6        0        0
 8924 php8.0-tidy                        	       2        7        5        0        0
 8925 php8.1-apcu                        	       2        6        4        0        0
 8926 php8.2-gnupg                       	       2        4        2        0        0
 8927 php8.2-phpdbg                      	       2       60       52        6        0
 8928 php8.2-xdebug                      	       2       10        8        0        0
 8929 php8.3-apcu                        	       2        3        1        0        0
 8930 php8.3-gmp                         	       2        6        4        0        0
 8931 php8.3-ldap                        	       2        4        2        0        0
 8932 php8.3-pgsql                       	       2        6        4        0        0
 8933 php8.4-bcmath                      	       2        4        1        1        0
 8934 php8.4-bz2                         	       2        4        1        1        0
 8935 php8.4-soap                        	       2        3        0        1        0
 8936 php8.4-ssh2                        	       2        3        1        0        0
 8937 php8.4-tidy                        	       2        2        0        0        0
 8938 php8.4-uuid                        	       2        2        0        0        0
 8939 php8.4-xmlrpc                      	       2        2        0        0        0
 8940 pia                                	       2       27       25        0        0
 8941 pianobooster                       	       2       11        9        0        0
 8942 pidentd                            	       2        7        5        0        0
 8943 pidgin-gnome-keyring               	       2       11        9        0        0
 8944 pidgin-otr                         	       2       25       23        0        0
 8945 pidgin-skype-common                	       2        7        5        0        0
 8946 pingus                             	       2       33       31        0        0
 8947 pipewire-jack                      	       2       41       36        3        0
 8948 pitivi                             	       2       29       27        0        0
 8949 plexmediaserver                    	       2        5        3        0        0
 8950 pnscan                             	       2       26       24        0        0
 8951 pocketnet                          	       2        3        0        0        1
 8952 podget                             	       2        6        4        0        0
 8953 podman-compose                     	       2       15       12        1        0
 8954 pompem                             	       2       23       21        0        0
 8955 postfix-pgsql                      	       2       10        8        0        0
 8956 postgis                            	       2       21       19        0        0
 8957 postgresql-12                      	       2        4        2        0        0
 8958 postgresql-13-snakeoil             	       2        5        2        1        0
 8959 postgresql-15-postgis-3            	       2        8        6        0        0
 8960 postgresql-9.1                     	       2        3        1        0        0
 8961 pppconfig                          	       2       16       14        0        0
 8962 pppoe                              	       2       34       32        0        0
 8963 proftpd-basic                      	       2       14        1        0       11
 8964 progress                           	       2       36       33        1        0
 8965 proxychains                        	       2       21       19        0        0
 8966 pry                                	       2        3        1        0        0
 8967 psi                                	       2       25       23        0        0
 8968 pspg                               	       2        9        5        2        0
 8969 pst-utils                          	       2       19       16        1        0
 8970 pulseaudio-equalizer               	       2       35       33        0        0
 8971 pulseaudio-module-zeroconf         	       2       67       64        1        0
 8972 purifyeps                          	       2      102       99        1        0
 8973 purple-discord                     	       2       14        2        0       10
 8974 purple-lurch                       	       2        8        1        0        5
 8975 purple-xmpp-carbons                	       2        3        1        0        0
 8976 pyp                                	       2        3        1        0        0
 8977 pypy3                              	       2       13       11        0        0
 8978 python-asn1crypto                  	       2       79       77        0        0
 8979 python-blinker                     	       2       26       24        0        0
 8980 python-central                     	       2       10        8        0        0
 8981 python-cups                        	       2       19       17        0        0
 8982 python-dateutil                    	       2      105      101        1        1
 8983 python-docutils                    	       2       77       74        1        0
 8984 python-fpconst                     	       2       14        9        0        3
 8985 python-gdbm                        	       2       15       13        0        0
 8986 python-gpg                         	       2       52       49        1        0
 8987 python-gpgme                       	       2        6        4        0        0
 8988 python-jdcal                       	       2        6        4        0        0
 8989 python-jwt                         	       2       22       20        0        0
 8990 python-keyring                     	       2       49       47        0        0
 8991 python-mysqldb                     	       2       14       12        0        0
 8992 python-notify                      	       2      149      146        1        0
 8993 python-oauthlib                    	       2       20       18        0        0
 8994 python-paramiko                    	       2       23       21        0        0
 8995 python-pyicu                       	       2       23       21        0        0
 8996 python-pyinotify                   	       2       79       75        2        0
 8997 python-recaptcha                   	       2        3        1        0        0
 8998 python-repoze.lru                  	       2       21       19        0        0
 8999 python-soupsieve                   	       2       80       77        1        0
 9000 python-suds                        	       2        8        6        0        0
 9001 python-twisted-core                	       2       32       30        0        0
 9002 python-tz                          	       2       74       70        1        1
 9003 python-urwid                       	       2       23       21        0        0
 9004 python-wstools                     	       2       70       67        1        0
 9005 python-xdg                         	       2       80       78        0        0
 9006 python-yaml                        	       2       41       39        0        0
 9007 python2.5-minimal                  	       2       10        8        0        0
 9008 python3-aiosqlite                  	       2       38       33        3        0
 9009 python3-alabaster                  	       2      156      154        0        0
 9010 python3-apparmor                   	       2       93       89        2        0
 9011 python3-arcus                      	       2       23       20        1        0
 9012 python3-astroid                    	       2       96       90        4        0
 9013 python3-asttokens                  	       2      284      277        5        0
 9014 python3-async-timeout              	       2      328      320        6        0
 9015 python3-authres                    	       2       11        9        0        0
 9016 python3-autopep8                   	       2       44       42        0        0
 9017 python3-axolotl-curve25519         	       2       44       41        1        0
 9018 python3-barman                     	       2        5        2        1        0
 9019 python3-binwalk                    	       2       55       50        3        0
 9020 python3-bleach                     	       2       93       91        0        0
 9021 python3-breezy                     	       2       47       40        5        0
 9022 python3-bson                       	       2       23       20        1        0
 9023 python3-bson-ext                   	       2       23       19        2        0
 9024 python3-cachetools                 	       2       37       35        0        0
 9025 python3-cattr                      	       2       13        9        2        0
 9026 python3-chm                        	       2      221      206       13        0
 9027 python3-cliapp                     	       2       22       20        0        0
 9028 python3-cpuinfo                    	       2       74       72        0        0
 9029 python3-dbus.mainloop.pyqt6        	       2       10        5        3        0
 9030 python3-distlib                    	       2      145      140        3        0
 9031 python3-django                     	       2       19       15        2        0
 9032 python3-docker                     	       2      161      152        7        0
 9033 python3-dockerpty                  	       2      112      105        5        0
 9034 python3-editorconfig               	       2       32       28        2        0
 9035 python3-entrypoints                	       2      120      118        0        0
 9036 python3-fastimport                 	       2       78       76        0        0
 9037 python3-fido2                      	       2       39       37        0        0
 9038 python3-filetype                   	       2       53       51        0        0
 9039 python3-flatlatex                  	       2        6        4        0        0
 9040 python3-fuse                       	       2      504      450       52        0
 9041 python3-gdbm                       	       2      113      102        1        8
 9042 python3-gnupg                      	       2       85       76        7        0
 9043 python3-gridfs                     	       2       21       18        1        0
 9044 python3-guestfs                    	       2       17       13        2        0
 9045 python3-ibus-1.0                   	       2      371      337       32        0
 9046 python3-imagesize                  	       2      157      155        0        0
 9047 python3-imaplib2                   	       2       25       23        0        0
 9048 python3-impacket                   	       2       33       31        0        0
 9049 python3-iniconfig                  	       2      297      293        2        0
 9050 python3-ipy                        	       2       25       23        0        0
 9051 python3-isort                      	       2      102       93        7        0
 9052 python3-itsdangerous               	       2      117      113        2        0
 9053 python3-joblib                     	       2      156      136       18        0
 9054 python3-jsbeautifier               	       2       27       22        3        0
 9055 python3-jsonpatch                  	       2       16       14        0        0
 9056 python3-jupyter-events             	       2        2        0        0        0
 9057 python3-keyrings.alt               	       2       84       82        0        0
 9058 python3-kivy                       	       2        7        5        0        0
 9059 python3-langdetect                 	       2       18       16        0        0
 9060 python3-ldap                       	       2       29       27        0        0
 9061 python3-ldapdomaindump             	       2       33       31        0        0
 9062 python3-levenshtein                	       2       90       81        7        0
 9063 python3-libarchive-c               	       2       42       39        1        0
 9064 python3-llfuse                     	       2       57       54        0        1
 9065 python3-matplotlib-inline          	       2      267      262        3        0
 9066 python3-mccabe                     	       2      114      108        4        0
 9067 python3-mediainfodll               	       2       18       14        2        0
 9068 python3-mlt                        	       2       23       21        0        0
 9069 python3-mock                       	       2       93       90        1        0
 9070 python3-mypy-extensions            	       2      118      112        4        0
 9071 python3-natsort                    	       2       88       86        0        0
 9072 python3-nbformat                   	       2      120      116        2        0
 9073 python3-netaddr                    	       2      133      129        2        0
 9074 python3-nose                       	       2       56       54        0        0
 9075 python3-notebook                   	       2       57       54        1        0
 9076 python3-openpyxl                   	       2      113      111        0        0
 9077 python3-pandas                     	       2      106      104        0        0
 9078 python3-parted                     	       2       41       36        3        0
 9079 python3-pickleshare                	       2      267      264        1        0
 9080 python3-prometheus-client          	       2       68       65        1        0
 9081 python3-prompt-toolkit             	       2      324      319        3        0
 9082 python3-proton-core                	       2       16       12        2        0
 9083 python3-proton-keyring-linux       	       2       16       12        2        0
 9084 python3-psshlib                    	       2        9        7        0        0
 9085 python3-pure-eval                  	       2      265      260        3        0
 9086 python3-puremagic                  	       2       13        8        3        0
 9087 python3-py                         	       2      387      381        4        0
 9088 python3-pyclamd                    	       2        7        4        1        0
 9089 python3-pymongo                    	       2       21       18        1        0
 9090 python3-pymongo-ext                	       2       21       18        1        0
 9091 python3-pynest2d                   	       2       22       19        1        0
 9092 python3-pyproj                     	       2       58       52        4        0
 9093 python3-pyqt5.qtserialport         	       2      106      103        1        0
 9094 python3-pyregfi                    	       2       24       22        0        0
 9095 python3-pyscard                    	       2       41       37        2        0
 9096 python3-pyudev                     	       2      737      731        4        0
 9097 python3-requests-cache             	       2       13       11        0        0
 9098 python3-requests-file              	       2       27       20        5        0
 9099 python3-rfc3986                    	       2      415      401       12        0
 9100 python3-sane                       	       2       33       27        4        0
 9101 python3-savitar                    	       2       23       20        1        0
 9102 python3-selenium                   	       2       36       34        0        0
 9103 python3-semantic-version           	       2       25       23        0        0
 9104 python3-sentry-sdk                 	       2       96       87        7        0
 9105 python3-shapely                    	       2       45       41        2        0
 9106 python3-snowballstemmer            	       2      154      152        0        0
 9107 python3-spf-engine                 	       2        9        7        0        0
 9108 python3-sqlparse                   	       2       44       40        2        0
 9109 python3-stack-data                 	       2      265      260        3        0
 9110 python3-tabulate                   	       2       50       48        0        0
 9111 python3-terminado                  	       2       58       56        0        0
 9112 python3-testpath                   	       2       17       15        0        0
 9113 python3-textile                    	       2       21       19        0        0
 9114 python3-tinycss                    	       2      258      226       30        0
 9115 python3-tomlkit                    	       2       93       86        5        0
 9116 python3-twisted-bin                	       2       39       36        1        0
 9117 python3-tzlocal                    	       2       62       54        6        0
 9118 python3-u-msgpack                  	       2       19       17        0        0
 9119 python3-uranium                    	       2       23       21        0        0
 9120 python3-url-normalize              	       2       13       11        0        0
 9121 python3-usb                        	       2       65       63        0        0
 9122 python3-virtualenvwrapper          	       2        9        7        0        0
 9123 python3-xlrd                       	       2      269      237       30        0
 9124 python3-yaswfp                     	       2       27       25        0        0
 9125 python3-ykman                      	       2       39       37        0        0
 9126 python3-zeroconf                   	       2      298      280       16        0
 9127 python3-zstandard                  	       2       15       11        2        0
 9128 python3.12-dev                     	       2       48       46        0        0
 9129 python3.13-tk                      	       2       80       63       15        0
 9130 python3.7                          	       2      255      250        3        0
 9131 qdoc-qt5                           	       2      199      193        4        0
 9132 qemu-system-riscv                  	       2       21       12        7        0
 9133 qemu-system-s390x                  	       2       21       12        7        0
 9134 qemu-user-binfmt                   	       2       55       30        6       17
 9135 qemubuilder                        	       2        9        7        0        0
 9136 qgit                               	       2       25       22        1        0
 9137 qhelpgenerator-qt5                 	       2      193      187        4        0
 9138 qmail                              	       2        7        5        0        0
 9139 qmapshack                          	       2       16       14        0        0
 9140 qml-module-org-kde-activities      	       2      527       11        1      513
 9141 qml-module-qt-labs-qmlmodels       	       2      430        5        0      423
 9142 qml-module-qtcharts                	       2       51        1        0       48
 9143 qml6-module-org-kde-breeze         	       2       30        3        0       25
 9144 qml6-module-org-kde-graphicaleffects	       2       30        5        0       23
 9145 qml6-module-org-kde-kirigamiaddons-components	       2       49       11        1       35
 9146 qml6-module-qt-labs-folderlistmodel	       2       72        1        0       69
 9147 qml6-module-qtquick-shapes         	       2      112        5        0      105
 9148 qml6-module-qtquick-virtualkeyboard	       2       47        1        0       44
 9149 qt4-qmake                          	       2       39       37        0        0
 9150 qt5-style-plugin-motif             	       2      312        2        0      308
 9151 qt5-style-plugin-plastique         	       2      313        5        0      306
 9152 qt5dxcb-plugin                     	       2       33       15        0       16
 9153 qt6-l10n-tools                     	       2       74       61       11        0
 9154 qt6-tools-dev                      	       2       28       19        7        0
 9155 qt6-virtualkeyboard-plugin         	       2       33        1        0       30
 9156 qtattributionsscanner-qt5          	       2      193      187        4        0
 9157 qthid-fcd-controller               	       2        9        7        0        0
 9158 qtscript5-dev                      	       2      108      106        0        0
 9159 qttools5-dev                       	       2      111      106        3        0
 9160 quaternion                         	       2       15       13        0        0
 9161 quickemu                           	       2        6        2        2        0
 9162 r-cran-bench                       	       2       34       31        1        0
 9163 r-cran-bit                         	       2       40       36        2        0
 9164 r-cran-cliapp                      	       2       33       31        0        0
 9165 r-cran-cowplot                     	       2        9        7        0        0
 9166 r-cran-cpp11                       	       2       39       35        2        0
 9167 r-cran-dimred                      	       2       34       32        0        0
 9168 r-cran-dtplyr                      	       2       10        8        0        0
 9169 r-cran-extradistr                  	       2        9        7        0        0
 9170 r-cran-fbasics                     	       2        8        6        0        0
 9171 r-cran-forecast                    	       2        7        5        0        0
 9172 r-cran-fracdiff                    	       2        7        5        0        0
 9173 r-cran-fts                         	       2       29       27        0        0
 9174 r-cran-geoknife                    	       2       29       27        0        0
 9175 r-cran-gfonts                      	       2        6        4        0        0
 9176 r-cran-ggally                      	       2        7        5        0        0
 9177 r-cran-gridbase                    	       2       33       31        0        0
 9178 r-cran-gridgraphics                	       2        7        5        0        0
 9179 r-cran-ids                         	       2       10        8        0        0
 9180 r-cran-inline                      	       2       10        8        0        0
 9181 r-cran-locfit                      	       2       36       33        1        0
 9182 r-cran-loo                         	       2        9        7        0        0
 9183 r-cran-maptools                    	       2       32       30        0        0
 9184 r-cran-mlbench                     	       2       36       33        1        0
 9185 r-cran-mockr                       	       2       37       35        0        0
 9186 r-cran-ncmeta                      	       2       33       30        1        0
 9187 r-cran-nmf                         	       2       32       30        0        0
 9188 r-cran-patchwork                   	       2        7        5        0        0
 9189 r-cran-prettycode                  	       2       33       31        0        0
 9190 r-cran-r.utils                     	       2       37       33        2        0
 9191 r-cran-randomfields                	       2       29       27        0        0
 9192 r-cran-randomfieldsutils           	       2       30       28        0        0
 9193 r-cran-rcppparallel                	       2       10        8        0        0
 9194 r-cran-rcpptoml                    	       2       34       31        1        0
 9195 r-cran-reshape                     	       2       10        8        0        0
 9196 r-cran-rgdal                       	       2       30       28        0        0
 9197 r-cran-rstantools                  	       2        9        7        0        0
 9198 r-cran-spatstat.core               	       2       30       28        0        0
 9199 r-cran-spdata                      	       2       35       32        1        0
 9200 r-cran-stanheaders                 	       2        9        7        0        0
 9201 r-cran-teachingdemos               	       2       34       32        0        0
 9202 r-cran-terra                       	       2       35       32        1        0
 9203 r-cran-uroot                       	       2        7        5        0        0
 9204 r-cran-uuid                        	       2       13       11        0        0
 9205 r-cran-webfakes                    	       2       34       31        1        0
 9206 r-cran-wkutils                     	       2       29       27        0        0
 9207 rabbitmq-server                    	       2        8        6        0        0
 9208 rabbitvcs-core                     	       2        7        5        0        0
 9209 radeontop                          	       2       83       80        1        0
 9210 rarpd                              	       2        3        1        0        0
 9211 rasdaemon                          	       2        8        6        0        0
 9212 rdiff                              	       2       26       24        0        0
 9213 rdma-core                          	       2        7        5        0        0
 9214 re                                 	       2      158      142       14        0
 9215 realvnc-vnc-viewer                 	       2       22       20        0        0
 9216 recollgui                          	       2       35       32        1        0
 9217 recordmydesktop                    	       2      174      170        2        0
 9218 refractainstaller-base             	       2      144      141        1        0
 9219 refractainstaller-gui              	       2      129      127        0        0
 9220 reglookup                          	       2       26       24        0        0
 9221 reiser4progs                       	       2       34       31        1        0
 9222 remind-tools                       	       2       25       19        4        0
 9223 reptyr                             	       2       29       27        0        0
 9224 resilio-sync                       	       2        4        2        0        0
 9225 restic                             	       2       26       21        3        0
 9226 retext                             	       2       22       19        1        0
 9227 retroarch                          	       2       26       24        0        0
 9228 rhash                              	       2       32       30        0        0
 9229 rhino                              	       2       48       46        0        0
 9230 rhythmbox-data                     	       2      418        0        0      416
 9231 rhythmbox-plugins                  	       2      399       14        0      383
 9232 ri-li                              	       2       20       18        0        0
 9233 rickslab-gpu-utils                 	       2       26       24        0        0
 9234 rifiuti                            	       2       23       21        0        0
 9235 rifiuti2                           	       2       23       21        0        0
 9236 ripmime                            	       2        5        3        0        0
 9237 rosegarden                         	       2       43       37        4        0
 9238 rrdcached                          	       2        5        3        0        0
 9239 rss-glx                            	       2       22       20        0        0
 9240 rss2email                          	       2        6        4        0        0
 9241 rssh                               	       2       14       12        0        0
 9242 rsyslog-gnutls                     	       2       11        2        0        7
 9243 rtl-433                            	       2       17       15        0        0
 9244 rubberband-cli                     	       2       43       40        1        0
 9245 ruby-colorator                     	       2       11        9        0        0
 9246 ruby-erubi                         	       2       53       51        0        0
 9247 ruby-erubis                        	       2       25       23        0        0
 9248 ruby-eventmachine                  	       2       21       18        1        0
 9249 ruby-forwardable-extended          	       2       11        9        0        0
 9250 ruby-gdk3                          	       2       25       23        0        0
 9251 ruby-gtk3                          	       2       24       22        0        0
 9252 ruby-ipaddress                     	       2       18       15        1        0
 9253 ruby-jekyll-feed                   	       2       11        9        0        0
 9254 ruby-jekyll-sass-converter         	       2       11        9        0        0
 9255 ruby-jekyll-watch                  	       2       11        9        0        0
 9256 ruby-json                          	       2      112       83        1       26
 9257 ruby-kramdown                      	       2       23       21        0        0
 9258 ruby-kramdown-parser-gfm           	       2       14       12        0        0
 9259 ruby-liquid                        	       2       13       11        0        0
 9260 ruby-listen                        	       2       58       56        0        0
 9261 ruby-mercenary                     	       2       11        9        0        0
 9262 ruby-method-source                 	       2       15       13        0        0
 9263 ruby-mini-portile2                 	       2       76       74        0        0
 9264 ruby-mysql2                        	       2        5        3        0        0
 9265 ruby-pathutil                      	       2       11        9        0        0
 9266 ruby-rb-inotify                    	       2       58       56        0        0
 9267 ruby-rchardet                      	       2       18       15        1        0
 9268 ruby-rouge                         	       2       23       21        0        0
 9269 ruby-sassc                         	       2       17       15        0        0
 9270 ruby-sys-proctable                 	       2       12       10        0        0
 9271 ruby-unf-ext                       	       2       72       69        1        0
 9272 ruby-xdg                           	       2       15       13        0        0
 9273 ruby1.8                            	       2       15       13        0        0
 9274 ruby1.9.1                          	       2       15       13        0        0
 9275 rustc-mozilla                      	       2        3        1        0        0
 9276 samba-ad-dc                        	       2       19       10        3        4
 9277 samba-testsuite                    	       2        8        6        0        0
 9278 samdump2                           	       2       25       23        0        0
 9279 sash                               	       2       30       28        0        0
 9280 sassc                              	       2       63       55        6        0
 9281 sbuild                             	       2       25       20        3        0
 9282 scalpel                            	       2       43       41        0        0
 9283 scamper                            	       2        3        1        0        0
 9284 scanssh                            	       2        9        7        0        0
 9285 sccache                            	       2        6        4        0        0
 9286 schedtool                          	       2       30       28        0        0
 9287 scrcpy                             	       2       17       15        0        0
 9288 scsitools                          	       2       28       26        0        0
 9289 sct                                	       2        8        6        0        0
 9290 sd                                 	       2        3        1        0        0
 9291 searchmonkey                       	       2       18       16        0        0
 9292 secure-delete                      	       2       41       38        1        0
 9293 sendxmpp                           	       2        7        5        0        0
 9294 sensord                            	       2        2        0        0        0
 9295 sequeler                           	       2        4        2        0        0
 9296 sgmlspl                            	       2       82       79        1        0
 9297 shellinabox                        	       2        7        5        0        0
 9298 shntool                            	       2       49       46        1        0
 9299 shorewall6                         	       2        5        3        0        0
 9300 shtool                             	       2       60       57        1        0
 9301 shutter                            	       2       18       13        3        0
 9302 simple-ccsm                        	       2       11        5        4        0
 9303 slay                               	       2       17       15        0        0
 9304 slime                              	       2       24       22        0        0
 9305 slrn                               	       2       20       18        0        0
 9306 smarty3                            	       2        4        2        0        0
 9307 smbldap-tools                      	       2       10        8        0        0
 9308 smbmap                             	       2       29       27        0        0
 9309 smem                               	       2       25       23        0        0
 9310 smemstat                           	       2        7        5        0        0
 9311 smtp-in                            	       2        2        0        0        0
 9312 sndfile-programs                   	       2       63       57        4        0
 9313 sndfile-tools                      	       2       58       54        2        0
 9314 socklog                            	       2       10        8        0        0
 9315 sockstat                           	       2       13       11        0        0
 9316 software-properties-kde            	       2      103       99        2        0
 9317 solfege                            	       2       19       16        1        0
 9318 spamprobe                          	       2        4        2        0        0
 9319 speedtest-cli                      	       2      120      115        3        0
 9320 speex                              	       2       64       60        2        0
 9321 spice-client-glib-usb-acl-helper   	       2      466       53        0      411
 9322 spideroakone                       	       2        7        5        0        0
 9323 sqlite                             	       2       32       30        0        0
 9324 srs                                	       2        3        1        0        0
 9325 sslscan                            	       2       30       28        0        0
 9326 stgit                              	       2        7        5        0        0
 9327 streamripper                       	       2       22       20        0        0
 9328 strongswan-nm                      	       2       31       29        0        0
 9329 subversion-tools                   	       2       39       34        3        0
 9330 supertux                           	       2       48       46        0        0
 9331 sway-notification-center           	       2       13       10        1        0
 9332 swi-prolog-core                    	       2       13       11        0        0
 9333 swi-prolog-nox                     	       2       13       11        0        0
 9334 swig                               	       2       93       90        1        0
 9335 swig4.0                            	       2       78       75        1        0
 9336 systune                            	       2        7        5        0        0
 9337 t1-xfree86-nonfree                 	       2       14        2        0       10
 9338 talkd                              	       2       23       20        1        0
 9339 tasksh                             	       2        4        1        1        0
 9340 tcl8.5                             	       2       41       39        0        0
 9341 tcplay                             	       2       14       12        0        0
 9342 tdemultimedia-tdefile-plugins-trinity	       2       26       22        2        0
 9343 tdeprint-trinity                   	       2       35       29        4        0
 9344 teams-for-linux                    	       2       16        3        0       11
 9345 telnetd                            	       2       32        8        0       22
 9346 terminatorx                        	       2        9        7        0        0
 9347 terminology                        	       2       16       13        1        0
 9348 termit                             	       2      135      130        3        0
 9349 texi2html                          	       2       35       33        0        0
 9350 texlive-humanities                 	       2       99       94        2        1
 9351 texlive-lang-cyrillic              	       2      101       96        3        0
 9352 texlive-lang-japanese              	       2       98       92        4        0
 9353 texlive-music                      	       2       94       89        3        0
 9354 tftp-hpa                           	       2       56       54        0        0
 9355 thunar-vcs-plugin                  	       2       21        9        0       10
 9356 thunderbird-l10n-cs                	       2        7        4        1        0
 9357 thunderbird-l10n-it                	       2       27       23        2        0
 9358 thunderbird-l10n-ru                	       2       10        7        1        0
 9359 tiemu                              	       2       12       10        0        0
 9360 tig                                	       2       54       52        0        0
 9361 tilda                              	       2       23       19        2        0
 9362 tilem                              	       2        7        5        0        0
 9363 tilix                              	       2       23       19        2        0
 9364 tkabber                            	       2        6        4        0        0
 9365 tmuxinator                         	       2       14       12        0        0
 9366 topcom                             	       2       12       10        0        0
 9367 transmission-remote-gtk            	       2       22       20        0        0
 9368 treeline                           	       2        8        6        0        0
 9369 trezor-udev                        	       2        3        1        0        0
 9370 tsocks                             	       2       14       12        0        0
 9371 tth-common                         	       2        6        4        0        0
 9372 tuxguitar                          	       2       25       22        1        0
 9373 tuxmath-data                       	       2       31        6        0       23
 9374 tuxtype-data                       	       2       30        6        0       22
 9375 typespeed                          	       2       13       11        0        0
 9376 uchardet                           	       2       20       17        1        0
 9377 udevil                             	       2       33       30        1        0
 9378 udhcpd                             	       2       11        9        0        0
 9379 ueberzug                           	       2       25       21        2        0
 9380 uhd-host                           	       2       22       20        0        0
 9381 ulogd2                             	       2       12       10        0        0
 9382 unace-nonfree                      	       2       15       13        0        0
 9383 unalz                              	       2       26       24        0        0
 9384 undbx                              	       2       28       26        0        0
 9385 unison-gtk                         	       2       30       28        0        0
 9386 unshield                           	       2       27       25        0        0
 9387 upx-ucl                            	       2       19       17        0        0
 9388 uranium-plugins                    	       2       23       21        0        0
 9389 user-setup                         	       2      156      150        4        0
 9390 util-linux-locales                 	       2     2938        0        0     2936
 9391 uwsgi-plugin-python3               	       2        9        7        0        0
 9392 vacation                           	       2       15       13        0        0
 9393 valgrind-dbg                       	       2      162      160        0        0
 9394 vde-wirefilter                     	       2       65       62        1        0
 9395 vdeplug                            	       2       65       62        1        0
 9396 veracrypt                          	       2       24       21        1        0
 9397 vifm                               	       2       33       31        0        0
 9398 vim-motif                          	       2       18       15        1        0
 9399 vinagre                            	       2       69       67        0        0
 9400 vinetto                            	       2       23       21        0        0
 9401 virt-install                       	       2       18       15        1        0
 9402 virt-p2v                           	       2       76       72        2        0
 9403 vlock                              	       2       33       31        0        0
 9404 vobcopy                            	       2       26       24        0        0
 9405 voikko-fi                          	       2       24       21        1        0
 9406 vpx-tools                          	       2       11        8        1        0
 9407 vtwm                               	       2        6        4        0        0
 9408 vuescan                            	       2        4        2        0        0
 9409 vuls                               	       2        5        3        0        0
 9410 vym                                	       2       23       21        0        0
 9411 w3m-el                             	       2       12        9        1        0
 9412 wamerican-huge                     	       2       24       21        1        0
 9413 wamerican-large                    	       2       22       19        1        0
 9414 wamerican-small                    	       2       22       19        1        0
 9415 watchcatd                          	       2        2        0        0        0
 9416 wbrazilian                         	       2       65       60        3        0
 9417 wbulgarian                         	       2       23       19        2        0
 9418 wcalc                              	       2       16       14        0        0
 9419 wcanadian                          	       2       18       15        1        0
 9420 wcanadian-huge                     	       2       18       15        1        0
 9421 wcanadian-insane                   	       2       19       16        1        0
 9422 wcanadian-large                    	       2       18       15        1        0
 9423 wcanadian-small                    	       2       18       15        1        0
 9424 wcatalan                           	       2       23       20        1        0
 9425 webext-eas4tbsync                  	       2        5        3        0        0
 9426 webmin-server-manager              	       2        4        2        0        0
 9427 websocketd                         	       2        8        6        0        0
 9428 weewx                              	       2        2        0        0        0
 9429 wfaroese                           	       2       15       12        1        0
 9430 wgaelic                            	       2       15       12        1        0
 9431 whatsapp-for-linux                 	       2        4        1        1        0
 9432 whatsdesk                          	       2        8        2        0        4
 9433 wine-devel                         	       2        9        7        0        0
 9434 wine-devel-i386                    	       2       10        8        0        0
 9435 wine-development                   	       2       15       13        0        0
 9436 wine-stable-amd64                  	       2       46       43        1        0
 9437 wine-staging                       	       2       15       12        1        0
 9438 wine-staging-i386                  	       2       15       11        2        0
 9439 wireshark                          	       2      303       15        8      278
 9440 wirish                             	       2       18       15        1        0
 9441 wl-clipboard                       	       2      100       94        4        0
 9442 wm-icons                           	       2       17       14        1        0
 9443 wmacpi                             	       2        6        4        0        0
 9444 wmanx                              	       2       15       12        1        0
 9445 wmmon                              	       2       11        9        0        0
 9446 wmnd                               	       2        8        6        0        0
 9447 wofi                               	       2       14       12        0        0
 9448 wordgrinder-ncurses                	       2       16       13        1        0
 9449 worker                             	       2       10        8        0        0
 9450 wp2x                               	       2       11        9        0        0
 9451 wpd2odt                            	       2        6        4        0        0
 9452 wpg2odg                            	       2        3        1        0        0
 9453 wportuguese                        	       2       20       17        1        0
 9454 wps2odt                            	       2        4        2        0        0
 9455 wswedish                           	       2       31       28        1        0
 9456 wswiss                             	       2       24       21        1        0
 9457 wxhexeditor                        	       2       34       32        0        0
 9458 x11proto-core-dev                  	       2      496       43        0      451
 9459 x11proto-input-dev                 	       2      222       43        0      177
 9460 x11proto-kb-dev                    	       2      109       43        0       64
 9461 x11proto-xext-dev                  	       2      351       30        0      319
 9462 x265                               	       2       40       38        0        0
 9463 x2godesktopsharing                 	       2        7        5        0        0
 9464 xbubble                            	       2       14       12        0        0
 9465 xcape                              	       2       15       12        1        0
 9466 xchm                               	       2       48       45        1        0
 9467 xdemorse                           	       2       16       14        0        0
 9468 xdot                               	       2       30       28        0        0
 9469 xemacs21-bin                       	       2      270      264        4        0
 9470 xemacs21-mule-canna-wnn            	       2      253      247        4        0
 9471 xemacs21-support                   	       2      270      264        4        0
 9472 xfce4-mailwatch-plugin             	       2     1658        4        0     1652
 9473 xfce4-mount-plugin                 	       2      112       10        0      100
 9474 xfce4-screensaver                  	       2       13       11        0        0
 9475 xfconf-gsettings-backend           	       2        2        0        0        0
 9476 xkcdpass                           	       2       25       23        0        0
 9477 xless                              	       2       13       11        0        0
 9478 xmabacus                           	       2        8        6        0        0
 9479 xmahjongg                          	       2       31       29        0        0
 9480 xmlstarlet                         	       2       66       63        1        0
 9481 xmlto                              	       2      100       97        1        0
 9482 xmms2-core                         	       2       97       95        0        0
 9483 xmobar                             	       2       11        9        0        0
 9484 xmonad                             	       2       23       21        0        0
 9485 xonix                              	       2        5        3        0        0
 9486 xsensors                           	       2       65       62        1        0
 9487 xserver-xorg-video-nvidia-legacy-340xx	       2        8        6        0        0
 9488 xserver-xorg-video-openchrome      	       2      144      141        1        0
 9489 xstow                              	       2       10        8        0        0
 9490 xtail                              	       2        8        6        0        0
 9491 xutils-dev                         	       2       78       76        0        0
 9492 yandex-browser-stable              	       2       29       26        1        0
 9493 ycmd                               	       2       14       11        1        0
 9494 ypserv                             	       2        8        6        0        0
 9495 yubikey-manager                    	       2       28       26        0        0
 9496 yubikey-personalization            	       2       26       23        1        0
 9497 yubioath-desktop                   	       2       23       21        0        0
 9498 zathura-pdf-poppler                	       2      124        9        0      113
 9499 zerotier-one                       	       2        7        5        0        0
 9500 zfs-fuse                           	       2       12       10        0        0
 9501 zipalign                           	       2       33       31        0        0
 9502 zipcmp                             	       2       16       14        0        0
 9503 zipmerge                           	       2       16       14        0        0
 9504 zram-tools                         	       2       57       51        4        0
 9505 zssh                               	       2       12       10        0        0
 9506 zulucrypt-gui                      	       2       35       33        0        0
 9507 zvbi                               	       2        3        1        0        0
 9508 1password                          	       1        8        1        0        6
 9509 2048-qt                            	       1       19       18        0        0
 9510 2ping                              	       1        7        6        0        0
 9511 4g8                                	       1        4        3        0        0
 9512 7zip-standalone                    	       1        6        3        2        0
 9513 9mount                             	       1        7        6        0        0
 9514 a2jmidid                           	       1       31       30        0        0
 9515 aac-enc                            	       1        7        6        0        0
 9516 aacskeys                           	       1       10        9        0        0
 9517 abi-compliance-checker             	       1       10        9        0        0
 9518 abi-dumper                         	       1        5        4        0        0
 9519 abi-monitor                        	       1        4        3        0        0
 9520 abi-tracker                        	       1        4        3        0        0
 9521 abicheck                           	       1        6        5        0        0
 9522 abw2epub                           	       1       11       10        0        0
 9523 abw2odt                            	       1       12       11        0        0
 9524 acccheck                           	       1        1        0        0        0
 9525 aconnectgui                        	       1       13       12        0        0
 9526 acorn-fdisk                        	       1        3        2        0        0
 9527 acpitail                           	       1       15       14        0        0
 9528 acroread-escript                   	       1        2        1        0        0
 9529 acroread-fonts-jpn                 	       1        2        1        0        0
 9530 acroread-plugins                   	       1        2        1        0        0
 9531 activity-aware-firefox             	       1        7        5        1        0
 9532 activitywatch                      	       1        2        1        0        0
 9533 adapta-gtk-theme                   	       1       16        0        0       15
 9534 adns-tools                         	       1       11       10        0        0
 9535 adwaita-qt                         	       1      105        9        0       95
 9536 aeson-pretty                       	       1        7        6        0        0
 9537 aespipe                            	       1        9        8        0        0
 9538 afflib-tools                       	       1       24       23        0        0
 9539 afio                               	       1       10        9        0        0
 9540 afterstep                          	       1       10        9        0        0
 9541 ahcpd                              	       1        2        1        0        0
 9542 aidl                               	       1       29       28        0        0
 9543 aiksaurus                          	       1        5        4        0        0
 9544 airgraph-ng                        	       1       14       13        0        0
 9545 akonadi-backend-mysql              	       1      499       24        0      474
 9546 akregator-trinity                  	       1       27       24        2        0
 9547 alarm-clock-applet-gconf-migration 	       1        5        4        0        0
 9548 alevt                              	       1        2        1        0        0
 9549 alex                               	       1        2        1        0        0
 9550 alien-arena                        	       1        4        3        0        0
 9551 alsa-scarlett-gui                  	       1        3        2        0        0
 9552 altermime                          	       1       26       25        0        0
 9553 alttab                             	       1       12       11        0        0
 9554 amdgpu-dkms                        	       1       12       11        0        0
 9555 amdgpu-dkms-firmware               	       1       11        8        0        2
 9556 amideco                            	       1        5        4        0        0
 9557 amiga-fdisk-cross                  	       1        5        4        0        0
 9558 amqp-tools                         	       1        2        1        0        0
 9559 amule-utils                        	       1       73       68        4        0
 9560 an                                 	       1       23       19        3        0
 9561 anacrolix-dms                      	       1        3        1        1        0
 9562 androguard                         	       1       13       10        2        0
 9563 android-libadb                     	       1       61        0        0       60
 9564 android-libart                     	       1       25       23        0        1
 9565 android-libcrypto-utils            	       1       70        0        0       69
 9566 ansifilter                         	       1       16       15        0        0
 9567 ansifilter-gui                     	       1        9        8        0        0
 9568 antennavis                         	       1       10        8        1        0
 9569 anthy                              	       1       65       62        2        0
 9570 antlr3                             	       1       43       42        0        0
 9571 antpm                              	       1        2        1        0        0
 9572 anypaper                           	       1        1        0        0        0
 9573 aobook                             	       1        1        0        0        0
 9574 aosd-cat                           	       1        5        4        0        0
 9575 ap-utils                           	       1        4        3        0        0
 9576 apache-netbeans                    	       1       15       13        1        0
 9577 apachetop                          	       1       12       10        1        0
 9578 apertium                           	       1        7        6        0        0
 9579 apgdiff                            	       1        4        3        0        0
 9580 apksigcopier                       	       1       13       12        0        0
 9581 apmd                               	       1        7        6        0        0
 9582 apng2gif                           	       1        6        5        0        0
 9583 apngasm                            	       1        7        6        0        0
 9584 apngdis                            	       1        7        6        0        0
 9585 apper-data                         	       1       92        4        0       87
 9586 appflowy                           	       1        2        1        0        0
 9587 appimagelauncher                   	       1        2        1        0        0
 9588 appmenu-gtk-module-common          	       1       65       59        5        0
 9589 apsfilter                          	       1        8        7        0        0
 9590 apt-clone                          	       1       11       10        0        0
 9591 apt-dater                          	       1        6        5        0        0
 9592 apt-mirror                         	       1       18       16        1        0
 9593 apt-offline                        	       1        8        7        0        0
 9594 apt-offline-gui                    	       1        3        2        0        0
 9595 aptly-publisher                    	       1        1        0        0        0
 9596 apvlv                              	       1       10        9        0        0
 9597 ara                                	       1        3        2        0        0
 9598 archmage                           	       1       12       11        0        0
 9599 ardour-lv2-plugins                 	       1       66       65        0        0
 9600 ardour-video-timeline              	       1       65       63        1        0
 9601 arduino-core-avr                   	       1       84       81        2        0
 9602 arptables                          	       1       12       11        0        0
 9603 artha                              	       1        8        7        0        0
 9604 asciidoc-base                      	       1       75       72        2        0
 9605 asciidoctor                        	       1       62       60        1        0
 9606 asl-apt-repos                      	       1        1        0        0        0
 9607 asmail                             	       1        3        2        0        0
 9608 aspectj                            	       1       12       11        0        0
 9609 aspell-fi                          	       1        1        0        0        0
 9610 aspell-he                          	       1        4        3        0        0
 9611 aspell-nl                          	       1       25       24        0        0
 9612 aspell-no                          	       1       47       41        5        0
 9613 aspell-pt-pt                       	       1        7        6        0        0
 9614 aspell-uk                          	       1       25       24        0        0
 9615 assistant-qt6                      	       1       74       62       11        0
 9616 asterisk-ooh323                    	       1        2        1        0        0
 9617 astrometry.net                     	       1       27       26        0        0
 9618 asused                             	       1        3        2        0        0
 9619 atlc                               	       1        3        2        0        0
 9620 atm-tools                          	       1        1        0        0        0
 9621 atool                              	       1       21       20        0        0
 9622 atuin                              	       1        2        0        1        0
 9623 audacious-plugins-data             	       1      563        5        0      557
 9624 audiofile-tools                    	       1        8        7        0        0
 9625 audiotools                         	       1       15       14        0        0
 9626 aumix-common                       	       1       50       49        0        0
 9627 auto-apt                           	       1        5        4        0        0
 9628 auto-apt-proxy                     	       1        3        2        0        0
 9629 autoconf2.59                       	       1        4        3        0        0
 9630 autoconf2.64                       	       1       15       14        0        0
 9631 autokey-common                     	       1       21       20        0        0
 9632 autokey-gtk                        	       1       18       17        0        0
 9633 automake-1.15                      	       1        7        6        0        0
 9634 automake1.11                       	       1       18       17        0        0
 9635 automysqlbackup                    	       1        3        2        0        0
 9636 autopkgtest                        	       1       32       26        5        0
 9637 autopsy                            	       1       16       15        0        0
 9638 autorenamer                        	       1        1        0        0        0
 9639 ava                                	       1        1        0        0        0
 9640 avahi-discover                     	       1       32       31        0        0
 9641 avahi-ui-utils                     	       1       14       13        0        0
 9642 aview                              	       1       13       12        0        0
 9643 avldrums.lv2                       	       1        7        6        0        0
 9644 avogadro                           	       1       15       13        1        0
 9645 avogadro-utils                     	       1       14       12        1        0
 9646 awardeco                           	       1        5        4        0        0
 9647 ax25-apps                          	       1        7        6        0        0
 9648 ax25-tools                         	       1       10        9        0        0
 9649 ax25-xtools                        	       1        8        7        0        0
 9650 ax25mail-utils                     	       1        7        6        0        0
 9651 ayatana-indicator-common           	       1       43       40        2        0
 9652 b3sum                              	       1        5        4        0        0
 9653 bacula-common-pgsql                	       1        2        1        0        0
 9654 bacula-console                     	       1        6        5        0        0
 9655 bacula-director                    	       1        3        2        0        0
 9656 bacula-sd                          	       1        3        2        0        0
 9657 balena-etcher-electron             	       1        6        5        0        0
 9658 bambam                             	       1       14       13        0        0
 9659 bareos-bconsole                    	       1        5        4        0        0
 9660 bareos-filedaemon-python-plugins-common	       1        2        1        0        0
 9661 bareos-filedaemon-python3-plugin   	       1        2        1        0        0
 9662 bareos-traymonitor                 	       1        3        2        0        0
 9663 baresip-core                       	       1       16       15        0        0
 9664 baresip-gtk                        	       1       12       11        0        0
 9665 baresip-x11                        	       1       10        9        0        0
 9666 barman-cli-cloud                   	       1        1        0        0        0
 9667 basez                              	       1       15       14        0        0
 9668 bash-static                        	       1       10        9        0        0
 9669 bashburn                           	       1        5        4        0        0
 9670 basket                             	       1       10        9        0        0
 9671 bbmail                             	       1        1        0        0        0
 9672 bbpager                            	       1        6        5        0        0
 9673 bbtime                             	       1        3        2        0        0
 9674 bchunk                             	       1       37       36        0        0
 9675 bcrypt                             	       1        6        5        0        0
 9676 bdbvu                              	       1        1        0        0        0
 9677 bdsup2sub                          	       1        3        2        0        0
 9678 beamium                            	       1        2        1        0        0
 9679 beef                               	       1        2        1        0        0
 9680 bettercap                          	       1        4        3        0        0
 9681 bibtex2html                        	       1        6        5        0        0
 9682 bin86                              	       1       27       26        0        0
 9683 binclock                           	       1        3        2        0        0
 9684 binfmtc                            	       1        4        2        1        0
 9685 bing                               	       1       12       11        0        0
 9686 binkd                              	       1        3        2        0        0
 9687 binstats                           	       1        6        5        0        0
 9688 binutils-aarch64-linux-gnu         	       1       51       49        1        0
 9689 binutils-dev                       	       1       47       44        2        0
 9690 binutils-mingw-w64-i686            	       1       41       40        0        0
 9691 binutils-mingw-w64-x86-64          	       1       46       45        0        0
 9692 binutils-powerpc64le-linux-gnu     	       1        4        3        0        0
 9693 binwalk                            	       1       44       41        2        0
 9694 bird2                              	       1        4        3        0        0
 9695 birdfont                           	       1       10        9        0        0
 9696 bison++                            	       1        1        0        0        0
 9697 bitcoin-qt                         	       1        5        4        0        0
 9698 bitlbee-facebook                   	       1        1        0        0        0
 9699 bitlbee-libpurple                  	       1        3        2        0        0
 9700 bitlbee-plugin-mastodon            	       1        2        1        0        0
 9701 bitlbee-plugin-otr                 	       1        2        1        0        0
 9702 bitlbee-steam                      	       1        1        0        0        0
 9703 bittorrent                         	       1        5        4        0        0
 9704 bitwig-studio                      	       1        5        4        0        0
 9705 bladerf                            	       1       49       47        1        0
 9706 blends-common                      	       1       25       23        1        0
 9707 bless                              	       1       20       19        0        0
 9708 blktool                            	       1       20       19        0        0
 9709 blktrace                           	       1        8        7        0        0
 9710 blobby                             	       1        8        7        0        0
 9711 blockfinder                        	       1        1        0        0        0
 9712 blockout2                          	       1       20       19        0        0
 9713 bluefish-data                      	       1       60        1        0       58
 9714 bluefish-plugins                   	       1       59        1        0       57
 9715 bmake                              	       1       25       24        0        0
 9716 bochs                              	       1       14       13        0        0
 9717 bogofilter-sqlite                  	       1        4        3        0        0
 9718 boinctui                           	       1        6        5        0        0
 9719 bomberclone                        	       1       14       13        0        0
 9720 bongosurfer                        	       1        1        0        0        0
 9721 bookworm                           	       1       20       18        1        0
 9722 boomaga                            	       1       15       14        0        0
 9723 bootcd                             	       1        3        2        0        0
 9724 bootparamd                         	       1        3        2        0        0
 9725 boswars                            	       1       12       11        0        0
 9726 boxes                              	       1        9        8        0        0
 9727 bplay                              	       1       10        9        0        0
 9728 braa                               	       1       25       24        0        0
 9729 brainparty                         	       1       16       15        0        0
 9730 brave-browser-beta                 	       1        6        5        0        0
 9731 browser-plugin-gnash               	       1       13       12        0        0
 9732 brscan-skey                        	       1       69        1        0       67
 9733 brscan4                            	       1       66       65        0        0
 9734 bruteforce-salted-openssl          	       1       24       23        0        0
 9735 bruteforce-wallet                  	       1       20       19        0        0
 9736 brutespray                         	       1       21       20        0        0
 9737 bsdgames-nonfree                   	       1       21       20        0        0
 9738 bsfilter                           	       1       21       20        0        0
 9739 bspwm                              	       1       24       23        0        0
 9740 btcheck                            	       1        6        5        0        0
 9741 budgie-wallstreet                  	       1        2        1        0        0
 9742 buici-clock                        	       1        8        7        0        0
 9743 buku                               	       1       14       13        0        0
 9744 bully                              	       1       21       20        0        0
 9745 burp                               	       1        3        2        0        0
 9746 buthead                            	       1        3        2        0        0
 9747 bwbasic                            	       1       11       10        0        0
 9748 bwm-ng                             	       1       32       31        0        0
 9749 bximage                            	       1       13       12        0        0
 9750 byacc                              	       1       43       41        1        0
 9751 bzip3                              	       1       17       16        0        0
 9752 bzr                                	       1       44        9        0       34
 9753 bzrtools                           	       1       11        3        0        7
 9754 cabal-install                      	       1       15       14        0        0
 9755 cachefilesd                        	       1        3        2        0        0
 9756 caffeine                           	       1       11       10        0        0
 9757 cairo-dock-alsamixer-plug-in       	       1        6        1        0        4
 9758 cairo-dock-animated-icons-plug-in  	       1        5        1        0        3
 9759 cairo-dock-cairo-penguin-plug-in   	       1        4        1        0        2
 9760 cairo-dock-clipper-plug-in         	       1        6        1        0        4
 9761 cairo-dock-clock-plug-in           	       1        5        1        0        3
 9762 cairo-dock-core                    	       1        9        8        0        0
 9763 cairo-dock-dbus-plug-in            	       1        4        3        0        0
 9764 cairo-dock-desklet-rendering-plug-in	       1        4        1        0        2
 9765 cairo-dock-dialog-rendering-plug-in	       1        4        1        0        2
 9766 cairo-dock-dnd2share-plug-in       	       1        4        1        0        2
 9767 cairo-dock-drop-indicator-plug-in  	       1        4        1        0        2
 9768 cairo-dock-dustbin-plug-in         	       1        4        1        0        2
 9769 cairo-dock-folders-plug-in         	       1        4        1        0        2
 9770 cairo-dock-gmenu-plug-in           	       1        4        1        0        2
 9771 cairo-dock-gnome-integration-plug-in	       1        4        1        0        2
 9772 cairo-dock-icon-effect-plug-in     	       1        4        1        0        2
 9773 cairo-dock-illusion-plug-in        	       1        4        1        0        2
 9774 cairo-dock-impulse-plug-in         	       1        3        1        0        1
 9775 cairo-dock-keyboard-indicator-plug-in	       1        4        1        0        2
 9776 cairo-dock-logout-plug-in          	       1        4        1        0        2
 9777 cairo-dock-mail-plug-in            	       1        4        1        0        2
 9778 cairo-dock-messaging-menu-plug-in  	       1        4        1        0        2
 9779 cairo-dock-motion-blur-plug-in     	       1        4        1        0        2
 9780 cairo-dock-musicplayer-plug-in     	       1        4        1        0        2
 9781 cairo-dock-netspeed-plug-in        	       1        4        1        0        2
 9782 cairo-dock-plug-in-data            	       1       18        1        0       16
 9783 cairo-dock-powermanager-plug-in    	       1        5        1        0        3
 9784 cairo-dock-quick-browser-plug-in   	       1        4        1        0        2
 9785 cairo-dock-recent-events-plug-in   	       1        4        1        0        2
 9786 cairo-dock-remote-control-plug-in  	       1        4        1        0        2
 9787 cairo-dock-rendering-plug-in       	       1        4        1        0        2
 9788 cairo-dock-rssreader-plug-in       	       1        4        1        0        2
 9789 cairo-dock-shortcuts-plug-in       	       1        4        1        0        2
 9790 cairo-dock-showdesktop-plug-in     	       1        4        1        0        2
 9791 cairo-dock-showmouse-plug-in       	       1        4        1        0        2
 9792 cairo-dock-slider-plug-in          	       1        4        1        0        2
 9793 cairo-dock-stack-plug-in           	       1        4        1        0        2
 9794 cairo-dock-switcher-plug-in        	       1        4        1        0        2
 9795 cairo-dock-system-monitor-plug-in  	       1        4        1        0        2
 9796 cairo-dock-systray-plug-in         	       1        4        1        0        2
 9797 cairo-dock-terminal-plug-in        	       1        4        1        0        2
 9798 cairo-dock-tomboy-plug-in          	       1        4        1        0        2
 9799 cairo-dock-toons-plug-in           	       1        4        1        0        2
 9800 cairo-dock-weather-plug-in         	       1        5        1        0        3
 9801 cairo-dock-wifi-plug-in            	       1        4        1        0        2
 9802 cairo-dock-xgamma-plug-in          	       1        4        1        0        2
 9803 calamaris                          	       1        3        2        0        0
 9804 callaudiod                         	       1        5        4        0        0
 9805 calligrasheets                     	       1       27       24        2        0
 9806 calligrastage                      	       1       24       21        2        0
 9807 cam                                	       1        1        0        0        0
 9808 can-utils                          	       1       12       11        0        0
 9809 canmatrix-utils                    	       1        3        1        1        0
 9810 cantata                            	       1       22       21        0        0
 9811 caprine                            	       1        1        0        0        0
 9812 capstone-tool                      	       1       22       21        0        0
 9813 cardpeek                           	       1       10        8        1        0
 9814 cargo-mozilla                      	       1        4        3        0        0
 9815 carmetal                           	       1       11       10        0        0
 9816 casync                             	       1        2        1        0        0
 9817 cataclysm-dda-curses               	       1        9        8        0        0
 9818 cataclysm-dda-data                 	       1       16        0        0       15
 9819 catch2                             	       1       52       45        1        5
 9820 catcodec                           	       1        3        2        0        0
 9821 catimg                             	       1       26       25        0        0
 9822 cavepacker                         	       1        8        7        0        0
 9823 cbatticon                          	       1        5        3        1        0
 9824 cbm                                	       1       13       12        0        0
 9825 ccextractor                        	       1        5        4        0        0
 9826 cclib                              	       1       38       36        1        0
 9827 cclive                             	       1       14       13        0        0
 9828 cdargs                             	       1        6        5        0        0
 9829 cdck                               	       1       14       13        0        0
 9830 cdde                               	       1        2        1        0        0
 9831 cdebootstrap-static                	       1        2        1        0        0
 9832 cdist                              	       1        3        2        0        0
 9833 cdw                                	       1       15       13        1        0
 9834 celery                             	       1        2        1        0        0
 9835 cellwriter                         	       1        2        1        0        0
 9836 ceph-fs-common                     	       1        2        1        0        0
 9837 cephfs-shell                       	       1        2        1        0        0
 9838 certinfo                           	       1        3        1        1        0
 9839 cervisia                           	       1       43       42        0        0
 9840 cewl                               	       1       23       22        0        0
 9841 cgmanager                          	       1       10        9        0        0
 9842 cgminer                            	       1        3        2        0        0
 9843 cgoban                             	       1       25       24        0        0
 9844 cgpt                               	       1       13       12        0        0
 9845 changeme                           	       1        6        5        0        0
 9846 chaosreader                        	       1       24       23        0        0
 9847 chat-gpt                           	       1        1        0        0        0
 9848 chatty                             	       1        1        0        0        0
 9849 check                              	       1       32       30        1        0
 9850 check-mk-agent                     	       1        7        6        0        0
 9851 checkpolicy                        	       1       12       10        1        0
 9852 cherokee                           	       1        2        1        0        0
 9853 chezscheme                         	       1        7        6        0        0
 9854 chiark-scripts                     	       1        3        2        0        0
 9855 chiark-utils-bin                   	       1        5        4        0        0
 9856 chkconfig                          	       1       15       14        0        0
 9857 chromium-browser                   	       1        2        1        0        0
 9858 chromium-bsu                       	       1       20       19        0        0
 9859 ciderwebmail                       	       1        1        0        0        0
 9860 cin                                	       1        4        3        0        0
 9861 cinelerra-data                     	       1        2        1        0        0
 9862 circuslinux                        	       1       12       11        0        0
 9863 cisco7crack                        	       1       16       15        0        0
 9864 ckermit                            	       1       26       25        0        0
 9865 clang-11                           	       1      104      103        0        0
 9866 clang-13                           	       1       26       25        0        0
 9867 clang-16                           	       1       45       44        0        0
 9868 clang-9                            	       1       33       32        0        0
 9869 clang-format-16                    	       1        4        3        0        0
 9870 clang-tidy-16                      	       1        2        1        0        0
 9871 clang-tidy-19                      	       1       15       11        3        0
 9872 clang-tools-16                     	       1        5        4        0        0
 9873 clang-tools-19                     	       1       17       11        5        0
 9874 clangd-16                          	       1        5        4        0        0
 9875 claws-mail-attach-warner           	       1       39        5        0       33
 9876 claws-mail-feeds-reader            	       1       35        2        0       32
 9877 claws-mail-fetchinfo-plugin        	       1       33        0        0       32
 9878 claws-mail-perl-filter             	       1       27       25        1        0
 9879 claws-mail-tnef-parser             	       1       34        1        0       32
 9880 clazy                              	       1       14       13        0        0
 9881 clevis-udisks2                     	       1        3        1        0        1
 9882 cloc                               	       1       40       39        0        0
 9883 cloud-guest-utils                  	       1       19       17        1        0
 9884 cloud-init                         	       1        5        4        0        0
 9885 cloudflared                        	       1        3        2        0        0
 9886 cm-super-minimal                   	       1      174        0        0      173
 9887 cmake-format                       	       1       10        9        0        0
 9888 cmake-qt-gui                       	       1       32       30        1        0
 9889 cmdtest                            	       1       19       18        0        0
 9890 cmigemo                            	       1        2        1        0        0
 9891 cnee                               	       1       10        9        0        0
 9892 cnijfilter-common                  	       1        8        7        0        0
 9893 cnrdrvcups-ufr2-us                 	       1        8        7        0        0
 9894 codeblocks-common                  	       1       67       65        1        0
 9895 codelite-plugins                   	       1       16       14        1        0
 9896 codesearch                         	       1        2        1        0        0
 9897 coinor-libipopt-dev                	       1        1        0        0        0
 9898 collectl                           	       1        3        2        0        0
 9899 colobot                            	       1       16       15        0        0
 9900 colorized-logs                     	       1       15       14        0        0
 9901 colormake                          	       1       10        9        0        0
 9902 colplot                            	       1        3        2        0        0
 9903 compface                           	       1       10        9        0        0
 9904 concalc                            	       1       10        9        0        0
 9905 conda                              	       1        8        7        0        0
 9906 confget                            	       1        7        6        0        0
 9907 configure-debian                   	       1       13       12        0        0
 9908 conky-cli                          	       1        2        1        0        0
 9909 consolation                        	       1        1        0        0        0
 9910 containernetworking-plugins        	       1       63       55        7        0
 9911 containers-storage                 	       1       17       13        3        0
 9912 convertall                         	       1       13       12        0        0
 9913 convlit                            	       1       28       26        1        0
 9914 cookietool                         	       1        6        5        0        0
 9915 cool-retro-term                    	       1       34       32        1        0
 9916 cotp                               	       1        2        1        0        0
 9917 courier-authlib-postgresql         	       1        2        1        0        0
 9918 cowpatty                           	       1       23       22        0        0
 9919 cpp-14-i686-linux-gnu              	       1        7        6        0        0
 9920 cpp-4.4                            	       1       16       15        0        0
 9921 cpp-4.6                            	       1       29       28        0        0
 9922 cpp-4.8                            	       1       64       63        0        0
 9923 cpp-9                              	       1       35       34        0        0
 9924 cpp-i686-linux-gnu                 	       1       17       16        0        0
 9925 cppcheck-gui                       	       1       17       13        3        0
 9926 cpphs                              	       1        2        1        0        0
 9927 cpplint                            	       1        8        6        1        0
 9928 cpu-x                              	       1       54       48        5        0
 9929 cpuidtool                          	       1        4        3        0        0
 9930 cpuinfo                            	       1       33       30        2        0
 9931 cpustat                            	       1        7        6        0        0
 9932 cputool                            	       1       14       13        0        0
 9933 cqrlog                             	       1        8        7        0        0
 9934 crack-attack                       	       1       18       17        0        0
 9935 crafty                             	       1        7        6        0        0
 9936 crashme                            	       1        5        4        0        0
 9937 cream                              	       1        7        6        0        0
 9938 creddump7                          	       1       16       15        0        0
 9939 crispy-doom                        	       1        7        6        0        0
 9940 crm114                             	       1        2        1        0        0
 9941 cronie                             	       1        2        1        0        0
 9942 cronutils                          	       1       15       14        0        0
 9943 cruft-common                       	       1        6        5        0        0
 9944 crystal                            	       1        6        4        0        1
 9945 cscope                             	       1       52       51        0        0
 9946 csh                                	       1       50       48        1        0
 9947 csv2latex                          	       1        6        5        0        0
 9948 csvtool                            	       1       20       19        0        0
 9949 ctorrent                           	       1       18       16        1        0
 9950 cube2                              	       1        9        8        0        0
 9951 cuda-cudart-dev-10-0               	       1        1        0        0        0
 9952 culmus-fancy                       	       1       15        0        0       14
 9953 cuneiform                          	       1       19       18        0        0
 9954 cups-backend-bjnp                  	       1       24       23        0        0
 9955 cupt                               	       1        6        5        0        0
 9956 curlftpfs                          	       1       23       22        0        0
 9957 curvedns                           	       1        1        0        0        0
 9958 cutesdr                            	       1       11       10        0        0
 9959 cutter                             	       1        1        0        0        0
 9960 cvs2svn                            	       1        3        2        0        0
 9961 cvsd                               	       1        2        1        0        0
 9962 cvsgraph                           	       1        3        2        0        0
 9963 cvsservice                         	       1       45       44        0        0
 9964 cvsweb                             	       1        4        3        0        0
 9965 cwcp                               	       1       15       14        0        0
 9966 cynthiune.app                      	       1        5        4        0        0
 9967 cyrus-admin                        	       1        2        1        0        0
 9968 cyrus-imapd                        	       1        3        2        0        0
 9969 cyrus-pop3d                        	       1        3        2        0        0
 9970 cython                             	       1        6        5        0        0
 9971 daa2iso                            	       1        9        8        0        0
 9972 dante-client                       	       1        7        5        1        0
 9973 daptup                             	       1        2        1        0        0
 9974 dar                                	       1       21       19        1        0
 9975 dar-static                         	       1       10        8        1        0
 9976 darkplaces                         	       1       24       23        0        0
 9977 datefudge                          	       1        3        2        0        0
 9978 davinci-resolve                    	       1        1        0        0        0
 9979 dbab                               	       1        3        2        0        0
 9980 dbar                               	       1        4        3        0        0
 9981 dbeaver-ce                         	       1       38       34        1        2
 9982 dbf2mysql                          	       1        4        3        0        0
 9983 dclock                             	       1       24       23        0        0
 9984 dconf                              	       1        1        0        0        0
 9985 dcpj4120dwlpr                      	       1        1        0        0        0
 9986 dcpj925dwlpr                       	       1        1        0        0        0
 9987 dcpt310pdrv                        	       1        1        0        0        0
 9988 ddate                              	       1       14       13        0        0
 9989 ddcui                              	       1        3        2        0        0
 9990 ddd                                	       1       50       47        2        0
 9991 ddir                               	       1        4        3        0        0
 9992 ddpt                               	       1        3        2        0        0
 9993 ddrescueview                       	       1       44       42        1        0
 9994 de4dot                             	       1       19       18        0        0
 9995 debaux                             	       1        3        2        0        0
 9996 debian-edu-artwork-buster          	       1        5        4        0        0
 9997 debian-edu-artwork-homeworld       	       1        7        6        0        0
 9998 debootstick                        	       1        4        3        0        0
 9999 debram                             	       1        4        2        0        1
10000 debsigs                            	       1        7        6        0        0
10001 deepin-boot-maker                  	       1        3        2        0        0
10002 deepin-notifications               	       1        7        6        0        0
10003 deluge-console                     	       1       15       14        0        0
10004 deluge-web                         	       1        6        5        0        0
10005 deluged                            	       1       15       13        1        0
10006 designer-qt6                       	       1       77       65       11        0
10007 deskflow                           	       1        1        0        0        0
10008 devuan-lintian-profile             	       1       17       12        0        4
10009 dh-apparmor                        	       1       22       20        1        0
10010 dh-consoledata                     	       1        2        1        0        0
10011 dh-di                              	       1        2        1        0        0
10012 dh-golang                          	       1        7        6        0        0
10013 dh-make                            	       1       71       66        4        0
10014 dh-make-golang                     	       1        4        3        0        0
10015 dh-make-perl                       	       1        9        7        1        0
10016 dh-php                             	       1       10        9        0        0
10017 dh-virtualenv                      	       1        5        4        0        0
10018 dhcpcd-gtk                         	       1        4        3        0        0
10019 dhex                               	       1       21       20        0        0
10020 di                                 	       1       11        9        1        0
10021 dia2code                           	       1       18       17        0        0
10022 dict-freedict-deu-eng              	       1        9        0        0        8
10023 dict-freedict-epo-eng              	       1        2        0        0        1
10024 dict-freedict-fra-eng              	       1        9        0        0        8
10025 dict-freedict-jpn-eng              	       1        3        0        0        2
10026 dict-freedict-nld-eng              	       1        4        0        0        3
10027 dict-freedict-por-eng              	       1        3        0        0        2
10028 dict-freedict-spa-eng              	       1        7        0        0        6
10029 dict-gcide                         	       1       25        1        0       23
10030 dict-jargon                        	       1       20        1        0       18
10031 dict-vera                          	       1       10        0        0        9
10032 dict-wn                            	       1       20        0        0       19
10033 diction                            	       1        8        7        0        0
10034 didiwiki                           	       1        2        1        0        0
10035 dir2ogg                            	       1       11       10        0        0
10036 dirb                               	       1       24       23        0        0
10037 discus                             	       1        6        5        0        0
10038 disk-filltest                      	       1        3        2        0        0
10039 dislocker                          	       1       28       25        2        0
10040 displaycal                         	       1        7        6        0        0
10041 djbdns                             	       1        2        1        0        0
10042 djview-plugin                      	       1        6        5        0        0
10043 dnsjit                             	       1        3        2        0        0
10044 dnsmasq-base-lua                   	       1        4        3        0        0
10045 docbook-dsssl                      	       1       98       96        1        0
10046 docbook-to-man                     	       1       67       62        4        0
10047 docker-cli                         	       1       17       10        6        0
10048 docker-gen                         	       1        1        0        0        0
10049 dokuwiki                           	       1        6        5        0        0
10050 donkey                             	       1        2        1        0        0
10051 doona                              	       1       21       20        0        0
10052 dosbox-debug                       	       1        5        4        0        0
10053 doscan                             	       1        6        5        0        0
10054 doschk                             	       1        6        5        0        0
10055 dosemu                             	       1       16       15        0        0
10056 doublecmd-qt                       	       1       11       10        0        0
10057 dov4l                              	       1        7        6        0        0
10058 dovecot-antispam                   	       1        7        6        0        0
10059 dovecot-fts-xapian                 	       1        1        0        0        0
10060 dovecot-ldap                       	       1       10        9        0        0
10061 dovecot-lucene                     	       1        7        6        0        0
10062 dovecot-solr                       	       1        3        2        0        0
10063 dovecot-sqlite                     	       1        6        5        0        0
10064 doxygen-gui                        	       1       27       26        0        0
10065 doxyqml                            	       1        7        6        0        0
10066 dpatch                             	       1       19       18        0        0
10067 dpf-plugins-ladspa                 	       1        3        2        0        0
10068 dpf-plugins-lv2                    	       1        2        1        0        0
10069 dpkg-sig                           	       1       11       10        0        0
10070 dput-ng                            	       1        6        5        0        0
10071 dqcache                            	       1        4        3        0        0
10072 dragonfly-reverb-lv2               	       1        3        2        0        0
10073 driverctl                          	       1        8        7        0        0
10074 drm-info                           	       1       12       10        1        0
10075 drupal7                            	       1        1        0        0        0
10076 dsc                                	       1        1        0        0        0
10077 duf                                	       1       27       24        2        0
10078 dumphd                             	       1        5        4        0        0
10079 dupload                            	       1        6        5        0        0
10080 dvbackup                           	       1        3        2        0        0
10081 dvbcut                             	       1        6        5        0        0
10082 dvdisaster                         	       1       29       28        0        0
10083 dvidvi                             	       1      100       98        1        0
10084 dwarfdump                          	       1       10        9        0        0
10085 dwm                                	       1       36       35        0        0
10086 dx                                 	       1        8        7        0        0
10087 dxsamples                          	       1        8        7        0        0
10088 dzen2                              	       1       25       24        0        0
10089 e-uae                              	       1        4        3        0        0
10090 e00compr                           	       1       13       12        0        0
10091 e16-data                           	       1        2        0        0        1
10092 e2tools                            	       1       10        8        1        0
10093 eancheck                           	       1        4        3        0        0
10094 easygit                            	       1        6        5        0        0
10095 ecasound                           	       1       18       17        0        0
10096 ecatools                           	       1        7        6        0        0
10097 ed2k-hash                          	       1       24       23        0        0
10098 edb-debugger                       	       1        5        4        0        0
10099 editorconfig                       	       1       18       17        0        0
10100 education-tasks                    	       1       13       12        0        0
10101 eep24c                             	       1        2        1        0        0
10102 efax                               	       1        9        8        0        0
10103 efax-gtk                           	       1        2        1        0        0
10104 efivar                             	       1       28       27        0        0
10105 eiciel                             	       1        5        4        0        0
10106 ejabberd                           	       1        5        4        0        0
10107 ekeyd                              	       1        2        1        0        0
10108 ekiga                              	       1        6        5        0        0
10109 element-desktop                    	       1       44        7        3       33
10110 elisa                              	       1       63       59        3        0
10111 elog                               	       1        3        2        0        0
10112 elpa-agda2-mode                    	       1        6        5        0        0
10113 elpa-atomic-chrome                 	       1        1        0        0        0
10114 elpa-ats2-mode                     	       1        2        1        0        0
10115 elpa-auto-dictionary               	       1        2        1        0        0
10116 elpa-bazel-mode                    	       1        2        0        1        0
10117 elpa-bison-mode                    	       1        2        1        0        0
10118 elpa-bpftrace-mode                 	       1        2        1        0        0
10119 elpa-caml                          	       1        7        6        0        0
10120 elpa-clojure-mode                  	       1        2        1        0        0
10121 elpa-clues-theme                   	       1        1        0        0        0
10122 elpa-compat                        	       1        5        3        1        0
10123 elpa-dimmer                        	       1        1        0        0        0
10124 elpa-editorconfig                  	       1        4        3        0        0
10125 elpa-elm-mode                      	       1        2        1        0        0
10126 elpa-emacsql                       	       1        3        2        0        0
10127 elpa-epl                           	       1        9        8        0        0
10128 elpa-ess                           	       1       14       13        0        0
10129 elpa-git-modes                     	       1        2        1        0        0
10130 elpa-gitattributes-mode            	       1        2        1        0        0
10131 elpa-gitconfig-mode                	       1        2        1        0        0
10132 elpa-gitignore-mode                	       1        2        1        0        0
10133 elpa-gitlab-ci-mode                	       1        2        1        0        0
10134 elpa-go-mode                       	       1        7        5        1        0
10135 elpa-ht                            	       1        4        3        0        0
10136 elpa-imenu-list                    	       1        5        4        0        0
10137 elpa-inform-mode                   	       1        4        3        0        0
10138 elpa-js2-mode                      	       1        5        4        0        0
10139 elpa-kivy-mode                     	       1        2        1        0        0
10140 elpa-kotlin-mode                   	       1        2        1        0        0
10141 elpa-ledger                        	       1        1        0        0        0
10142 elpa-lsp-haskell                   	       1        3        2        0        0
10143 elpa-lsp-mode                      	       1        4        3        0        0
10144 elpa-lv                            	       1        8        7        0        0
10145 elpa-matlab-mode                   	       1        2        1        0        0
10146 elpa-meson-mode                    	       1        5        4        0        0
10147 elpa-nginx-mode                    	       1        2        1        0        0
10148 elpa-olivetti                      	       1        2        1        0        0
10149 elpa-org-bullets                   	       1        1        0        0        0
10150 elpa-paredit                       	       1        5        3        1        0
10151 elpa-persist                       	       1        3        1        1        0
10152 elpa-php-mode                      	       1        6        4        1        0
10153 elpa-pip-requirements              	       1        2        1        0        0
10154 elpa-pos-tip                       	       1        2        1        0        0
10155 elpa-protobuf-mode                 	       1        2        1        0        0
10156 elpa-puppet-mode                   	       1        2        1        0        0
10157 elpa-qml-mode                      	       1        2        1        0        0
10158 elpa-scala-mode                    	       1        3        2        0        0
10159 elpa-sml-mode                      	       1        3        2        0        0
10160 elpa-spinner                       	       1        4        3        0        0
10161 elpa-systemd                       	       1        2        1        0        0
10162 elpa-transient                     	       1       10        9        0        0
10163 elpa-vala-mode                     	       1        2        1        0        0
10164 elpa-vterm                         	       1        5        4        0        0
10165 elpa-web-mode                      	       1        3        1        1        0
10166 elpa-websocket                     	       1        3        2        0        0
10167 elpa-yasnippet                     	       1        4        3        0        0
10168 elpa-yasnippet-snippets            	       1        4        3        0        0
10169 emacs-pgtk                         	       1        3        2        0        0
10170 emidpkg-backup                     	       1        1        0        0        0
10171 emiperl-lib                        	       1        1        0        0        0
10172 emirdiff-backup                    	       1        1        0        0        0
10173 emms                               	       1        3        2        0        0
10174 enca                               	       1       25       24        0        0
10175 enlightenment-data                 	       1       30        6        0       23
10176 eom-common                         	       1      544        0        0      543
10177 ephoto                             	       1        7        6        0        0
10178 epoptes                            	       1        1        0        0        0
10179 epoptes-client                     	       1        1        0        0        0
10180 epplets                            	       1        2        1        0        0
10181 epson-inkjet-printer-escpr         	       1       10        8        1        0
10182 epson-inkjet-printer-escpr2        	       1        8        7        0        0
10183 epsonscan2                         	       1       15       14        0        0
10184 epstool                            	       1       78       76        1        0
10185 eq10q                              	       1        8        7        0        0
10186 equalx                             	       1       10        9        0        0
10187 erc                                	       1        3        2        0        0
10188 eric                               	       1        8        6        1        0
10189 erlang-ftp                         	       1       32       30        1        0
10190 erlang-idna                        	       1        5        3        1        0
10191 erlang-jiffy                       	       1        8        6        1        0
10192 erlang-jose                        	       1        6        4        1        0
10193 erlang-lager                       	       1        7        5        1        0
10194 erlang-mode                        	       1       19       17        1        0
10195 erlang-os-mon                      	       1       26       24        1        0
10196 erlang-p1-acme                     	       1        4        3        0        0
10197 erlang-p1-cache-tab                	       1        7        6        0        0
10198 erlang-p1-eimp                     	       1        4        3        0        0
10199 erlang-p1-pkix                     	       1        5        4        0        0
10200 erlang-p1-stringprep               	       1        7        6        0        0
10201 erlang-p1-tls                      	       1        8        7        0        0
10202 erlang-p1-utils                    	       1        8        7        0        0
10203 erlang-p1-xml                      	       1        8        7        0        0
10204 erlang-p1-xmpp                     	       1        5        4        0        0
10205 erlang-p1-yaml                     	       1        7        6        0        0
10206 erlang-p1-yconf                    	       1        4        3        0        0
10207 erlang-p1-zlib                     	       1        7        6        0        0
10208 erlang-runtime-tools               	       1       42       40        1        0
10209 erlang-snmp                        	       1       27       25        1        0
10210 erlang-syntax-tools                	       1       63       61        1        0
10211 erlang-tftp                        	       1       32       30        1        0
10212 erlang-tools                       	       1       40       38        1        0
10213 erlang-unicode-util-compat         	       1        5        3        1        0
10214 erlang-yaws                        	       1        5        4        0        0
10215 esbuild                            	       1        3        1        1        0
10216 escputil                           	       1       19       18        0        0
10217 esekeyd                            	       1        1        0        0        0
10218 esptool                            	       1       11       10        0        0
10219 eterm                              	       1       31       30        0        0
10220 ettercap-common                    	       1       11       10        0        0
10221 ettercap-text-only                 	       1        3        2        0        0
10222 eukleides                          	       1        2        1        0        0
10223 eurephia                           	       1        2        1        0        0
10224 eventstat                          	       1        1        0        0        0
10225 evolution-plugin-spamassassin      	       1        2        1        0        0
10226 evolution-plugins-experimental     	       1       10        8        1        0
10227 ewf-tools                          	       1       21       20        0        0
10228 execstack                          	       1       14       13        0        0
10229 exempi                             	       1        2        1        0        0
10230 eximon4                            	       1       11       10        0        0
10231 exmh                               	       1        4        3        0        0
10232 expat                              	       1       28       25        2        0
10233 extra-xdg-menus                    	       1      158      155        2        0
10234 ezstream                           	       1        3        2        0        0
10235 f3                                 	       1       45       44        0        0
10236 faac                               	       1       35       33        1        0
10237 facter                             	       1       34       33        0        0
10238 fake                               	       1        4        3        0        0
10239 fake-hwclock                       	       1       13       12        0        0
10240 falcon-sensor                      	       1       10        9        0        0
10241 falcosecurity-scap-dkms            	       1        1        0        0        0
10242 fatattr                            	       1       10        8        1        0
10243 fb-tools                           	       1        3        2        0        0
10244 fbautostart                        	       1       12       11        0        0
10245 fbcat                              	       1       10        9        0        0
10246 fbless                             	       1        9        7        1        0
10247 fbpager                            	       1       22       21        0        0
10248 fcitx-frontend-qt5                 	       1       23        4        0       18
10249 fcitx-frontend-qt6                 	       1       12        0        0       11
10250 fcitx-googlepinyin                 	       1        6        0        0        5
10251 fcitx-m17n                         	       1        3        1        0        1
10252 fcitx-pinyin                       	       1        5        2        0        2
10253 fcitx-sunpinyin                    	       1        1        0        0        0
10254 fcitx-table                        	       1        5        1        0        3
10255 fcitx5                             	       1       19       17        1        0
10256 fcitx5-chinese-addons-data         	       1        8        4        0        3
10257 fcitx5-data                        	       1       20        8        0       11
10258 fcitx5-frontend-gtk3               	       1       21       11        0        9
10259 fcitx5-module-chttrans             	       1        7        1        0        5
10260 fcitx5-module-cloudpinyin          	       1        7        3        0        3
10261 fcitx5-module-fullwidth            	       1        8        1        0        6
10262 fcitx5-module-lua                  	       1        9        5        0        3
10263 fcitx5-module-pinyinhelper         	       1        7        5        0        1
10264 fcitx5-module-punctuation          	       1        8        4        0        3
10265 fcitx5-modules                     	       1       20       13        0        6
10266 fcitx5-pinyin                      	       1        7        3        0        3
10267 fckeditor                          	       1        1        0        0        0
10268 fcode-utils                        	       1        8        6        1        0
10269 fd-musl                            	       1        1        0        0        0
10270 fdm                                	       1        3        2        0        0
10271 feedgnuplot                        	       1        7        6        0        0
10272 ferdium                            	       1        6        3        0        2
10273 ferm                               	       1       11       10        0        0
10274 ffmpegthumbs                       	       1      526        3        0      522
10275 ffmulticonverter                   	       1        2        1        0        0
10276 filen                              	       1        1        0        0        0
10277 filetea                            	       1        2        1        0        0
10278 fillets-ng                         	       1       11       10        0        0
10279 fim                                	       1       18       17        0        0
10280 finch                              	       1       10        9        0        0
10281 findimagedupes                     	       1       21       20        0        0
10282 firebird2.5-common                 	       1       19       18        0        0
10283 firefox-esr-l10n-ach               	       1        1        0        0        0
10284 firefox-esr-l10n-af                	       1        1        0        0        0
10285 firefox-esr-l10n-an                	       1        1        0        0        0
10286 firefox-esr-l10n-ar                	       1        2        1        0        0
10287 firefox-esr-l10n-ast               	       1        2        1        0        0
10288 firefox-esr-l10n-az                	       1        1        0        0        0
10289 firefox-esr-l10n-be                	       1        3        2        0        0
10290 firefox-esr-l10n-bg                	       1        3        1        1        0
10291 firefox-esr-l10n-bn                	       1        2        1        0        0
10292 firefox-esr-l10n-br                	       1        1        0        0        0
10293 firefox-esr-l10n-bs                	       1        2        1        0        0
10294 firefox-esr-l10n-ca-valencia       	       1        2        1        0        0
10295 firefox-esr-l10n-cak               	       1        1        0        0        0
10296 firefox-esr-l10n-cy                	       1        2        1        0        0
10297 firefox-esr-l10n-da                	       1        4        3        0        0
10298 firefox-esr-l10n-dsb               	       1        2        1        0        0
10299 firefox-esr-l10n-el                	       1       20       19        0        0
10300 firefox-esr-l10n-en-ca             	       1        2        1        0        0
10301 firefox-esr-l10n-eo                	       1        5        4        0        0
10302 firefox-esr-l10n-et                	       1        3        2        0        0
10303 firefox-esr-l10n-eu                	       1        3        2        0        0
10304 firefox-esr-l10n-fa                	       1        2        1        0        0
10305 firefox-esr-l10n-ff                	       1        1        0        0        0
10306 firefox-esr-l10n-fy-nl             	       1        1        0        0        0
10307 firefox-esr-l10n-ga-ie             	       1        3        2        0        0
10308 firefox-esr-l10n-gd                	       1        1        0        0        0
10309 firefox-esr-l10n-gl                	       1        3        2        0        0
10310 firefox-esr-l10n-gn                	       1        1        0        0        0
10311 firefox-esr-l10n-gu-in             	       1        2        1        0        0
10312 firefox-esr-l10n-he                	       1        3        2        0        0
10313 firefox-esr-l10n-hi-in             	       1        2        1        0        0
10314 firefox-esr-l10n-hr                	       1        2        1        0        0
10315 firefox-esr-l10n-hsb               	       1        2        1        0        0
10316 firefox-esr-l10n-hy-am             	       1        1        0        0        0
10317 firefox-esr-l10n-ia                	       1        1        0        0        0
10318 firefox-esr-l10n-id                	       1        2        1        0        0
10319 firefox-esr-l10n-is                	       1        2        1        0        0
10320 firefox-esr-l10n-ka                	       1        1        0        0        0
10321 firefox-esr-l10n-kab               	       1        1        0        0        0
10322 firefox-esr-l10n-kk                	       1        2        1        0        0
10323 firefox-esr-l10n-km                	       1        2        1        0        0
10324 firefox-esr-l10n-kn                	       1        2        1        0        0
10325 firefox-esr-l10n-ko                	       1        7        4        2        0
10326 firefox-esr-l10n-lij               	       1        1        0        0        0
10327 firefox-esr-l10n-lt                	       1        2        1        0        0
10328 firefox-esr-l10n-lv                	       1        2        1        0        0
10329 firefox-esr-l10n-mk                	       1        2        1        0        0
10330 firefox-esr-l10n-mr                	       1        2        1        0        0
10331 firefox-esr-l10n-ms                	       1        1        0        0        0
10332 firefox-esr-l10n-my                	       1        1        0        0        0
10333 firefox-esr-l10n-ne-np             	       1        2        1        0        0
10334 firefox-esr-l10n-nn-no             	       1       40       34        5        0
10335 firefox-esr-l10n-oc                	       1        1        0        0        0
10336 firefox-esr-l10n-pa-in             	       1        2        1        0        0
10337 firefox-esr-l10n-pt-br             	       1       36       31        4        0
10338 firefox-esr-l10n-pt-pt             	       1        2        1        0        0
10339 firefox-esr-l10n-rm                	       1        1        0        0        0
10340 firefox-esr-l10n-ro                	       1        5        4        0        0
10341 firefox-esr-l10n-sat               	       1        1        0        0        0
10342 firefox-esr-l10n-sco               	       1        1        0        0        0
10343 firefox-esr-l10n-si                	       1        2        1        0        0
10344 firefox-esr-l10n-sk                	       1        5        4        0        0
10345 firefox-esr-l10n-skr               	       1        1        0        0        0
10346 firefox-esr-l10n-sl                	       1        2        1        0        0
10347 firefox-esr-l10n-son               	       1        1        0        0        0
10348 firefox-esr-l10n-sr                	       1        2        1        0        0
10349 firefox-esr-l10n-szl               	       1        1        0        0        0
10350 firefox-esr-l10n-ta                	       1        2        1        0        0
10351 firefox-esr-l10n-te                	       1        2        1        0        0
10352 firefox-esr-l10n-th                	       1        3        2        0        0
10353 firefox-esr-l10n-tl                	       1        2        1        0        0
10354 firefox-esr-l10n-tr                	       1        7        6        0        0
10355 firefox-esr-l10n-trs               	       1        2        1        0        0
10356 firefox-esr-l10n-uk                	       1       10        9        0        0
10357 firefox-esr-l10n-ur                	       1        1        0        0        0
10358 firefox-esr-l10n-uz                	       1        1        0        0        0
10359 firefox-esr-l10n-vi                	       1        2        1        0        0
10360 firefox-esr-l10n-xh                	       1        1        0        0        0
10361 firefox-esr-l10n-zh-tw             	       1        5        4        0        0
10362 firefox-l10n-ru                    	       1        2        1        0        0
10363 firewall-applet                    	       1        3        1        1        0
10364 firmware-ipw2x00                   	       1       48       44        3        0
10365 firmware-ivtv                      	       1       19       18        0        0
10366 firmware-libertas                  	       1      153      143        9        0
10367 firmware-marvell-prestera          	       1       31       23        7        0
10368 fizsh                              	       1       13       12        0        0
10369 flake8                             	       1       22       21        0        0
10370 flasm                              	       1        4        3        0        0
10371 fldigi                             	       1       27       25        1        0
10372 flmsg                              	       1        7        6        0        0
10373 flow-tools                         	       1        1        0        0        0
10374 flpsed                             	       1       12       11        0        0
10375 flrig                              	       1       15       14        0        0
10376 flwrap                             	       1        7        6        0        0
10377 focuswriter                        	       1       11       10        0        0
10378 fomp                               	       1        8        7        0        0
10379 font-manager                       	       1       83       79        3        0
10380 fonts-adf-solothurn                	       1       16        0        0       15
10381 fonts-adobe-sourcesans3            	       1        3        0        0        2
10382 fonts-aenigma                      	       1       26        1        0       24
10383 fonts-arabeyes                     	       1       17        0        0       16
10384 fonts-b612                         	       1       21        1        0       19
10385 fonts-babelstone-han               	       1       10        0        0        9
10386 fonts-beng-extra                   	       1       58        3        0       54
10387 fonts-breip                        	       1       21        0        0       20
10388 fonts-cardo                        	       1       16        0        0       15
10389 fonts-cascadia-code                	       1       11        4        0        6
10390 fonts-cegui                        	       1       13        0        0       12
10391 fonts-century-catalogue            	       1       26        0        0       25
10392 fonts-cmu                          	       1       26        2        0       23
10393 fonts-cns11643-kai                 	       1        9        0        0        8
10394 fonts-cns11643-sung                	       1        8        0        0        7
10395 fonts-courier-prime                	       1       45        0        0       44
10396 fonts-cwtex-heib                   	       1        8        0        0        7
10397 fonts-ddc-uchen                    	       1       10        0        0        9
10398 fonts-denemo                       	       1       22        0        0       21
10399 fonts-dzongkha                     	       1       14        0        0       13
10400 fonts-ebgaramond                   	       1       85        4        0       80
10401 fonts-ecolier-court                	       1       29        0        0       28
10402 fonts-ecolier-lignes-court         	       1       25        0        0       24
10403 fonts-elstob                       	       1       13        0        0       12
10404 fonts-engadget                     	       1       14        0        0       13
10405 fonts-essays1743                   	       1       18        0        0       17
10406 fonts-fork-awesome                 	       1       48        1        0       46
10407 fonts-gfs-didot                    	       1      202        2        0      199
10408 fonts-gfs-neohellenic              	       1      202        2        0      199
10409 fonts-gfs-olga                     	       1      201        0        0      200
10410 fonts-gfs-solomos                  	       1      202        0        0      201
10411 fonts-glasstty                     	       1       14        0        0       13
10412 fonts-go                           	       1      178        7        0      170
10413 fonts-guru-extra                   	       1       57        0        0       56
10414 fonts-inter-variable               	       1       11        0        0       10
10415 fonts-ipaexfont-gothic             	       1       84        1        0       82
10416 fonts-ipamj-mincho                 	       1       14        0        0       13
10417 fonts-jura                         	       1       25        0        0       24
10418 fonts-kanjistrokeorders            	       1       55        0        0       54
10419 fonts-katex                        	       1       46        1        0       44
10420 fonts-kode-mono                    	       1        3        0        0        2
10421 fonts-larabie-straight             	       1       19        2        0       16
10422 fonts-lklug-sinhala                	       1       13        1        0       11
10423 fonts-lobster                      	       1      198        2        0      195
10424 fonts-lobstertwo                   	       1      196        2        0      193
10425 fonts-lohit-beng-assamese          	       1       67        0        0       66
10426 fonts-lohit-deva-marathi           	       1        7        0        0        6
10427 fonts-lohit-guru                   	       1       57        0        0       56
10428 fonts-lxgw-wenkai                  	       1        5        0        0        4
10429 fonts-manrope                      	       1       11        0        0       10
10430 fonts-material-design-icons-iconfont	       1       20        0        0       19
10431 fonts-mona                         	       1       15        0        0       14
10432 fonts-monoid                       	       1       22        0        0       21
10433 fonts-mononoki                     	       1       31        3        1       26
10434 fonts-mph-2b-damase                	       1       14        0        0       13
10435 fonts-ocr-a                        	       1       33        0        0       32
10436 fonts-oradano-mincho-gsrr          	       1       11        0        0       10
10437 fonts-oxygen                       	       1       49        2        0       46
10438 fonts-paktype                      	       1       12        0        0       11
10439 fonts-pc                           	       1       46        0        0       45
10440 fonts-pc-extra                     	       1       45        1        0       43
10441 fonts-pretendard                   	       1        3        0        0        2
10442 fonts-quicksand                    	       1       30        0        0       29
10443 fonts-ricty-diminished             	       1       16        1        0       14
10444 fonts-roboto-slab                  	       1      235        5        0      229
10445 fonts-samyak-gujr                  	       1       60        0        0       59
10446 fonts-samyak-orya                  	       1       10        0        0        9
10447 fonts-sawarabi-gothic              	       1       13        0        0       12
10448 fonts-seto                         	       1       12        3        0        8
10449 fonts-sil-annapurna                	       1       48        3        0       44
10450 fonts-sil-dai-banna                	       1       11        0        0       10
10451 fonts-sil-doulos                   	       1       49        1        0       47
10452 fonts-sil-gentiumplus-compact      	       1      186        1        0      184
10453 fonts-sil-lateef                   	       1       11        0        0       10
10454 fonts-sil-nuosusil                 	       1       12        0        0       11
10455 fonts-sjfonts                      	       1       90        3        0       86
10456 fonts-smc-anjalioldlipi            	       1       30        0        0       29
10457 fonts-smc-chilanka                 	       1       30        1        0       28
10458 fonts-smc-dyuthi                   	       1       30        0        0       29
10459 fonts-smc-rachana                  	       1       30        0        0       29
10460 fonts-tagbanwa                     	       1        9        0        0        8
10461 fonts-tlwg-mono-otf                	       1      107        0        0      106
10462 fonts-tlwg-norasi-otf              	       1      107        0        0      106
10463 fonts-tlwg-purisa-otf              	       1      107        0        0      106
10464 fonts-tlwg-purisa-ttf              	       1       25        0        0       24
10465 fonts-tlwg-sawasdee-otf            	       1      106        0        0      105
10466 fonts-tlwg-typewriter-otf          	       1      106        0        0      105
10467 fonts-tlwg-typist-otf              	       1      106        0        0      105
10468 fonts-tlwg-typo-ttf                	       1       20        0        0       19
10469 fonts-tlwg-waree-ttf               	       1       29        2        0       26
10470 fonts-topaz-unicode                	       1        3        0        0        2
10471 fonts-triod-postnaja               	       1       10        0        0        9
10472 fonts-ukij-uyghur                  	       1       11        0        0       10
10473 fonts-uralic                       	       1       47        6        0       40
10474 fonts-vazirmatn                    	       1        4        0        0        3
10475 fonts-vollkorn                     	       1       22        1        0       20
10476 fonts-xfree86-nonfree              	       1       29        3        0       25
10477 fonts-yozvox-yozfont-antique       	       1       12        0        0       11
10478 fontsnaps                          	       1      131      130        0        0
10479 fonty-rg                           	       1       14       13        0        0
10480 forensics-colorize                 	       1       22       21        0        0
10481 forticlient                        	       1        6        5        0        0
10482 fossil                             	       1       36       33        2        0
10483 fotoxx                             	       1       22       21        0        0
10484 fpart                              	       1        3        2        0        0
10485 fpga-icestorm                      	       1        7        6        0        0
10486 fpm2                               	       1        2        1        0        0
10487 fracplanet                         	       1        9        8        0        0
10488 fragmaster                         	       1       94       92        1        0
10489 freebsd-buildutils                 	       1        3        2        0        0
10490 freecad                            	       1      120       16        1      102
10491 freeciv-data                       	       1       56        0        0       55
10492 freecol                            	       1       15       14        0        0
10493 freedom-maker                      	       1        2        1        0        0
10494 freedoom                           	       1       41       39        1        0
10495 freedv                             	       1       11       10        0        0
10496 freefont                           	       1        1        0        0        0
10497 freeipmi-bmc-watchdog              	       1       15       14        0        0
10498 freeipmi-ipmidetect                	       1       18       17        0        0
10499 freeipmi-ipmiseld                  	       1        2        1        0        0
10500 freeorion                          	       1       16       15        0        0
10501 freeradius-mysql                   	       1        1        0        0        0
10502 freerdp3-x11                       	       1        6        3        2        0
10503 freeship                           	       1        1        0        0        0
10504 freespacenotifier                  	       1        5        4        0        0
10505 freetennis                         	       1        2        1        0        0
10506 frei0r-plugins-dev                 	       1       14       12        1        0
10507 frr-pythontools                    	       1        6        4        1        0
10508 frr-snmp                           	       1        1        0        0        0
10509 fsarchiver                         	       1       29       28        0        0
10510 fsharp                             	       1        7        6        0        0
10511 fslint                             	       1        3        2        0        0
10512 fso-datad                          	       1        1        0        0        0
10513 fso-deviced                        	       1        2        1        0        0
10514 fstrcmp                            	       1        3        2        0        0
10515 ftdi-eeprom                        	       1        6        5        0        0
10516 fte                                	       1        6        5        0        0
10517 fte-console                        	       1        3        2        0        0
10518 fte-terminal                       	       1        3        2        0        0
10519 ftp-upload                         	       1        4        3        0        0
10520 ftpcopy                            	       1        4        3        0        0
10521 ftpd                               	       1       10        2        0        7
10522 ftpd-ssl                           	       1        8        7        0        0
10523 fuse-convmvfs                      	       1        3        2        0        0
10524 fusefat                            	       1       17       16        0        0
10525 fusesmb                            	       1       10        9        0        0
10526 fusiondirectory                    	       1        2        1        0        0
10527 fusiondirectory-smarty3-acl-render 	       1        2        1        0        0
10528 fuzzyocr                           	       1        2        1        0        0
10529 fvwm-crystal                       	       1        8        7        0        0
10530 fvwm3                              	       1        7        6        0        0
10531 fwupdate                           	       1       11        1        0        9
10532 fwupdate-amd64-signed              	       1        1        0        0        0
10533 g++-12-aarch64-linux-gnu           	       1        9        8        0        0
10534 g++-13                             	       1       70       68        1        0
10535 g++-13-x86-64-linux-gnu            	       1       60       58        1        0
10536 g++-4.9                            	       1       44       42        0        1
10537 g++-9                              	       1        9        8        0        0
10538 g++-aarch64-linux-gnu              	       1       16       15        0        0
10539 gallery                            	       1        1        0        0        0
10540 gallery-dl                         	       1       12       11        0        0
10541 galleta                            	       1       22       21        0        0
10542 gambas3-gb-gtk3                    	       1       20       17        2        0
10543 gambas3-gb-gui                     	       1       18       16        1        0
10544 gambas3-gb-image                   	       1       23       20        2        0
10545 gambas3-gb-net                     	       1       18       15        2        0
10546 gambas3-gb-net-smtp                	       1       16       13        2        0
10547 gambas3-runtime                    	       1       23       20        2        0
10548 gamescope                          	       1       37       33        3        0
10549 gamine                             	       1        9        8        0        0
10550 ganglia-monitor                    	       1        2        1        0        0
10551 gap-core                           	       1       13       12        0        0
10552 gap-dev                            	       1       13       12        0        0
10553 gap-libs                           	       1       13       12        0        0
10554 garmin-forerunner-tools            	       1        5        4        0        0
10555 gcal                               	       1       20       19        0        0
10556 gcc-12-aarch64-linux-gnu           	       1       19       18        0        0
10557 gcc-12-offload-nvptx               	       1        1        0        0        0
10558 gcc-15                             	       1        2        1        0        0
10559 gcc-15-x86-64-linux-gnu            	       1        2        1        0        0
10560 gcc-4.4                            	       1       16       15        0        0
10561 gcc-4.6                            	       1       28       27        0        0
10562 gcc-4.8                            	       1       62       61        0        0
10563 gcc-9                              	       1       32       31        0        0
10564 gcc-aarch64-linux-gnu              	       1       32       31        0        0
10565 gcc-mingw-w64-i686-win32           	       1       36       34        1        0
10566 gcc-mingw-w64-x86-64-win32         	       1       38       36        1        0
10567 gcc-offload-nvptx                  	       1        1        0        0        0
10568 gccgo-10                           	       1        3        2        0        0
10569 gccgo-12                           	       1        7        6        0        0
10570 gchempaint                         	       1        7        6        0        0
10571 gcj-4.9-jre-headless               	       1        5        4        0        0
10572 gcj-6-jre-headless                 	       1        7        6        0        0
10573 gcj-jre-headless                   	       1        6        5        0        0
10574 gcp                                	       1        9        8        0        0
10575 gcpegg                             	       1        1        0        0        0
10576 gcstar                             	       1        4        3        0        0
10577 gdbserver                          	       1       89       86        2        0
10578 gdmap                              	       1       29       28        0        0
10579 gdnsd                              	       1        3        2        0        0
10580 geany-lsp                          	       1        1        0        0        0
10581 geany-plugins                      	       1       63        0        0       62
10582 geeqie-common                      	       1      191      187        3        0
10583 gems                               	       1        1        0        0        0
10584 genders                            	       1        4        3        0        0
10585 geneagrapher                       	       1        4        3        0        0
10586 genext2fs                          	       1       16       15        0        0
10587 genisovh                           	       1        1        0        0        0
10588 genromfs                           	       1        3        2        0        0
10589 gerbera                            	       1        8        7        0        0
10590 gerbv                              	       1       35       34        0        0
10591 germinate                          	       1        1        0        0        0
10592 getmail4                           	       1       19        5        0       13
10593 gfortran-11                        	       1       13       12        0        0
10594 gfortran-13                        	       1       24       22        1        0
10595 gfortran-13-x86-64-linux-gnu       	       1       21       19        1        0
10596 gfortran-14                        	       1       30       21        8        0
10597 gfortran-14-x86-64-linux-gnu       	       1       29       20        8        0
10598 gfortran-9                         	       1        5        4        0        0
10599 gfortran-x86-64-linux-gnu          	       1       30       21        8        0
10600 gftp-gtk                           	       1       46       44        1        0
10601 ggzd                               	       1        1        0        0        0
10602 gh                                 	       1       38       36        1        0
10603 ghex                               	       1       49       48        0        0
10604 gimagereader-qt                    	       1        1        0        0        0
10605 gimp-dcraw                         	       1       11       10        0        0
10606 gimp-gmic                          	       1       70       69        0        0
10607 gip                                	       1        4        3        0        0
10608 gir1.2-appindicator3-0.1           	       1       68        3        0       64
10609 gir1.2-eom-1.0                     	       1      478        5        0      472
10610 gir1.2-gnomekeyring-1.0            	       1       95       93        1        0
10611 gir1.2-gtk-2.0                     	       1      377       13        0      363
10612 gir1.2-gtk-4.0                     	       1      468        4        1      462
10613 gir1.2-gtksource-5                 	       1        7        0        0        6
10614 gir1.2-gtkspell3-3.0               	       1       88        0        0       87
10615 gir1.2-gupnpigd-1.0                	       1       45        2        0       42
10616 gir1.2-javascriptcoregtk-4.1       	       1      255        1        0      253
10617 gir1.2-lightdm-1                   	       1       10        8        0        1
10618 gir1.2-nemo-3.0                    	       1      277        9        1      266
10619 gir1.2-osmgpsmap-1.0               	       1       48        0        0       47
10620 gir1.2-telepathyglib-0.12          	       1      283        8        0      274
10621 gir1.2-telepathylogger-0.2         	       1       22        6        0       15
10622 gir1.2-timezonemap-1.0             	       1      268        7        1      259
10623 gir1.2-totem-1.0                   	       1      401        1        0      399
10624 gir1.2-totem-plparser-1.0          	       1       13        0        0       12
10625 gir1.2-webkit2-4.1                 	       1      255        1        0      253
10626 git-build-recipe                   	       1        3        2        0        0
10627 git-crypt                          	       1        6        5        0        0
10628 git-el                             	       1        7        6        0        0
10629 git-flow                           	       1       20       19        0        0
10630 git-ftp                            	       1        4        3        0        0
10631 gitinspector                       	       1        7        6        0        0
10632 gitlab-ce                          	       1        3        2        0        0
10633 gitpkg                             	       1        5        3        1        0
10634 gjiten                             	       1        7        6        0        0
10635 gkdebconf                          	       1        9        8        0        0
10636 gkermit                            	       1       10        9        0        0
10637 gkrellm-mailwatch                  	       1        8        7        0        0
10638 gkrellm-radio                      	       1        7        6        0        0
10639 gkrellm-thinkbat                   	       1       12       11        0        0
10640 gkrellm-tz                         	       1       10        9        0        0
10641 gkrelluim                          	       1        6        5        0        0
10642 glabels                            	       1       30       28        1        0
10643 glmark2-es2-wayland                	       1        3        2        0        0
10644 glmark2-es2-x11                    	       1        4        3        0        0
10645 glmark2-wayland                    	       1        3        2        0        0
10646 gltron                             	       1        8        7        0        0
10647 glyrc                              	       1       94       89        4        0
10648 gmetad                             	       1        1        0        0        0
10649 gmic                               	       1       43       42        0        0
10650 gmt                                	       1        9        8        0        0
10651 gmtp                               	       1       56       51        4        0
10652 gnat-10                            	       1        8        7        0        0
10653 gnokii-cli                         	       1        6        5        0        0
10654 gnome-applets                      	       1       63       51       11        0
10655 gnome-authenticator                	       1        4        3        0        0
10656 gnome-calls                        	       1        3        2        0        0
10657 gnome-commander-data               	       1       17        0        0       16
10658 gnome-epub-thumbnailer             	       1       33       32        0        0
10659 gnome-firmware                     	       1       15       14        0        0
10660 gnome-initial-setup                	       1       97       80       16        0
10661 gnome-maps                         	       1      123      106       16        0
10662 gnome-music                        	       1      119      101       17        0
10663 gnome-nettool                      	       1       16       15        0        0
10664 gnome-network-displays             	       1        2        1        0        0
10665 gnome-orca                         	       1       94       52        1       40
10666 gnome-session                      	       1      128      107       16        4
10667 gnome-settings-daemon-dev          	       1        2        1        0        0
10668 gnome-shell-extension-system-monitor	       1       12        3        0        8
10669 gnome-shell-extensions             	       1      118      100       15        2
10670 gnome-system-log                   	       1       11       10        0        0
10671 gnome-tweaks                       	       1      156      138       17        0
10672 gnome-user-share                   	       1      404      367       36        0
10673 gnome-weather                      	       1      122      105       16        0
10674 gnss-sdr                           	       1        8        6        1        0
10675 gnubg                              	       1       20       18        1        0
10676 gnucap                             	       1       27       26        0        0
10677 gnucap-common                      	       1       20       19        0        0
10678 gnuhtml2latex                      	       1       12       11        0        0
10679 gnuit                              	       1        4        3        0        0
10680 gnumeric-common                    	       1      138        0        0      137
10681 gnumeric-plugins-extra             	       1       27       26        0        0
10682 gnunet-gtk                         	       1        8        7        0        0
10683 gnupg1-curl                        	       1        7        6        0        0
10684 gnuradio-dev                       	       1       42       39        2        0
10685 gnustep-back-common                	       1       28       27        0        0
10686 gnustep-gui-runtime                	       1       29       28        0        0
10687 gnustep-make                       	       1        9        8        0        0
10688 go-exploitdb                       	       1        1        0        0        0
10689 go-l2tp                            	       1        1        0        0        0
10690 go-mtpfs                           	       1       53       47        5        0
10691 go-qrcode                          	       1        4        3        0        0
10692 gocryptfs                          	       1       27       25        1        0
10693 golang-1.19-src                    	       1      115      114        0        0
10694 golang-1.21-go                     	       1        6        5        0        0
10695 golang-golang-x-tools              	       1       14       11        2        0
10696 goldencheetah                      	       1        2        1        0        0
10697 google-chrome-beta                 	       1        7        5        0        1
10698 google-chrome-unstable             	       1        4        2        1        0
10699 gosh                               	       1        1        0        0        0
10700 gosu                               	       1        3        2        0        0
10701 goxkcdpwgen                        	       1        2        1        0        0
10702 gpa                                	       1       38       35        2        0
10703 gperf                              	       1      116      114        1        0
10704 gperiodic                          	       1       14       13        0        0
10705 gpgv1                              	       1       22       21        0        0
10706 gpp                                	       1        5        4        0        0
10707 gpredict                           	       1       30       29        0        0
10708 gpsbabel-gui                       	       1       12       11        0        0
10709 gpsprune                           	       1       20       18        1        0
10710 gpw                                	       1        9        8        0        0
10711 gpxviewer                          	       1       15       14        0        0
10712 gr-fosphor                         	       1       28       26        1        0
10713 grace                              	       1       26       24        1        0
10714 graphite-carbon                    	       1        2        1        0        0
10715 grc                                	       1       16       14        1        0
10716 greetd                             	       1        3        1        1        0
10717 gretl                              	       1        6        5        0        0
10718 gridsite-clients                   	       1        2        1        0        0
10719 grig                               	       1        5        4        0        0
10720 grml-scripts-core                  	       1        2        1        0        0
10721 groupoffice-com                    	       1        1        0        0        0
10722 grpn                               	       1       18       17        0        0
10723 grub-efi-ia32-bin                  	       1      134      132        1        0
10724 grub-rescue-pc                     	       1       14       13        0        0
10725 gscan2pdf                          	       1       53       52        0        0
10726 gsm-utils                          	       1        3        2        0        0
10727 gstreamer-tools                    	       1        4        3        0        0
10728 gstreamer0.10-ffmpeg               	       1       12        0        0       11
10729 gstreamer0.10-fluendo-mp3          	       1        2        0        0        1
10730 gstreamer0.10-gconf                	       1       20        0        0       19
10731 gstreamer0.10-plugins-bad          	       1       19        2        0       16
10732 gstreamer0.10-plugins-base         	       1       56        3        0       52
10733 gstreamer0.10-plugins-good         	       1        8        1        0        6
10734 gstreamer0.10-plugins-ugly         	       1        3        0        0        2
10735 gstreamer0.10-pulseaudio           	       1       10        1        0        8
10736 gstreamer0.10-x                    	       1       23        0        0       22
10737 gstreamer1.0-gtk3                  	       1     2165        4        0     2160
10738 gstreamer1.0-pipewire              	       1      219        3        0      215
10739 gstreamer1.0-pulseaudio            	       1      799        0        0      798
10740 gtans                              	       1       14       13        0        0
10741 gtk-chtheme                        	       1       27       26        0        0
10742 gtk-doc-tools                      	       1       55       52        2        0
10743 gtk2-engines-qtpixmap              	       1        1        0        0        0
10744 gtkballs                           	       1       10        9        0        0
10745 gtklp                              	       1       77       74        1        1
10746 gtypist                            	       1       21       20        0        0
10747 guake-indicator                    	       1        6        5        0        0
10748 guestfish                          	       1       65       60        4        0
10749 guestmount                         	       1       66       61        4        0
10750 guile-2.2-libs                     	       1      587        1        0      585
10751 guile-ssh                          	       1       13       12        0        0
10752 guix                               	       1       11       10        0        0
10753 guncat                             	       1        3        2        0        0
10754 guymager                           	       1       10        9        0        0
10755 gvfs-bin                           	       1      102      101        0        0
10756 gvm                                	       1        1        0        0        0
10757 gvm-tools                          	       1        1        0        0        0
10758 gvmd                               	       1        1        0        0        0
10759 gvmd-common                        	       1        1        0        0        0
10760 gwaei                              	       1        2        1        0        0
10761 gworkspace.app                     	       1        7        6        0        0
10762 gworldclock                        	       1       11       10        0        0
10763 gwsetup                            	       1        1        0        0        0
10764 gwyddion                           	       1        6        5        0        0
10765 gxmessage                          	       1       11       10        0        0
10766 gxmms2                             	       1        8        7        0        0
10767 gxneur                             	       1        2        1        0        0
10768 hackrf                             	       1        9        8        0        0
10769 hamster-time-tracker               	       1       10        8        1        0
10770 happy                              	       1        3        2        0        0
10771 hardening-includes                 	       1       10        9        0        0
10772 hardinfo2                          	       1       31       27        3        0
10773 haroopad                           	       1        1        0        0        0
10774 hash-slinger                       	       1        3        2        0        0
10775 hashcat                            	       1       50       49        0        0
10776 hashdeep                           	       1       31       30        0        0
10777 hashid                             	       1       29       28        0        0
10778 hashrat                            	       1       22       21        0        0
10779 hatari                             	       1       12       11        0        0
10780 hcxkeys                            	       1       16       15        0        0
10781 hcxtools                           	       1       21       19        1        0
10782 hdapsd                             	       1       10        9        0        0
10783 hdf5-helpers                       	       1       86       84        1        0
10784 hearse                             	       1        2        1        0        0
10785 heif-gdk-pixbuf                    	       1       60        0        0       59
10786 heimdal-kcm                        	       1        1        0        0        0
10787 heimdall                           	       1        7        6        0        0
10788 heimdall-flash-frontend            	       1       35       33        1        0
10789 hello                              	       1       12       11        0        0
10790 help2man                           	       1       80       79        0        0
10791 helpdev                            	       1       43       41        1        0
10792 herbstluftwm                       	       1        9        8        0        0
10793 heroic                             	       1       18       15        2        0
10794 hexchat-common                     	       1      383        2        0      380
10795 hexcurse                           	       1       16       14        1        0
10796 hexer                              	       1       20       19        0        0
10797 hexxagon                           	       1        4        3        0        0
10798 hfsprogs                           	       1       40       39        0        0
10799 hfsutils                           	       1       42       40        1        0
10800 hiawatha                           	       1        1        0        0        0
10801 hiera                              	       1       29       28        0        0
10802 higan                              	       1        8        7        0        0
10803 hitch                              	       1        1        0        0        0
10804 hll8250cdnlpr                      	       1        4        3        0        0
10805 hollywood                          	       1       17       16        0        0
10806 hostsed                            	       1        1        0        0        0
10807 hotswap-gui                        	       1        2        1        0        0
10808 hotswap-text                       	       1        2        1        0        0
10809 how-can-i-help                     	       1        5        4        0        0
10810 hp-health                          	       1        4        3        0        0
10811 hp-snmp-agents                     	       1        2        1        0        0
10812 hpijs-ppds                         	       1       53       49        0        3
10813 hpsockd                            	       1        1        0        0        0
10814 hsqldb-utils                       	       1        2        1        0        0
10815 httpie                             	       1       19       18        0        0
10816 httping                            	       1       13       12        0        0
10817 httptunnel                         	       1        4        3        0        0
10818 hugo                               	       1       27       26        0        0
10819 hunspell                           	       1      108      106        1        0
10820 hunt                               	       1        4        3        0        0
10821 hw-probe                           	       1       32       31        0        0
10822 hwloc-nox                          	       1       11       10        0        0
10823 hxtools                            	       1       14       13        0        0
10824 hydra                              	       1       32       31        0        0
10825 hydra-gtk                          	       1       15       14        0        0
10826 hydrogen                           	       1       39       37        1        0
10827 i3blocks                           	       1       32       31        0        0
10828 i7z                                	       1       17       15        1        0
10829 i7z-gui                            	       1        3        2        0        0
10830 i8kutils                           	       1        6        5        0        0
10831 iat                                	       1       17       16        0        0
10832 iaxmodem                           	       1        2        1        0        0
10833 ibam                               	       1       16       15        0        0
10834 icecat                             	       1        7        6        0        0
10835 icedove                            	       1       40       37        2        0
10836 iceowl-extension                   	       1        8        0        0        7
10837 icewm-lite                         	       1        6        5        0        0
10838 icinga-cube-web                    	       1        1        0        0        0
10839 icinga-graphite-web                	       1        1        0        0        0
10840 icinga-php-library                 	       1        5        4        0        0
10841 icinga-web                         	       1        1        0        0        0
10842 icinga-x509-daemon                 	       1        1        0        0        0
10843 icinga-x509-php                    	       1        1        0        0        0
10844 icingacli                          	       1        6        5        0        0
10845 icingadb                           	       1        1        0        0        0
10846 icingadb-redis                     	       1        1        0        0        0
10847 icingadb-web                       	       1        1        0        0        0
10848 icli                               	       1        2        1        0        0
10849 icmpinfo                           	       1        9        8        0        0
10850 icmpush                            	       1        2        1        0        0
10851 icnsutils                          	       1        4        3        0        0
10852 iczech                             	       1        6        5        0        0
10853 id3tool                            	       1       28       27        0        0
10854 id3v2                              	       1       71       70        0        0
10855 idecrypt                           	       1        1        0        0        0
10856 ident2                             	       1        6        5        0        0
10857 idesk                              	       1       12       11        0        0
10858 idn                                	       1        6        5        0        0
10859 iec16022                           	       1        3        2        0        0
10860 ifcico                             	       1        2        1        0        0
10861 ifgate                             	       1        1        0        0        0
10862 ifmail                             	       1        2        1        0        0
10863 ifupdown2                          	       1       16       14        1        0
10864 ifuse                              	       1       54       53        0        0
10865 iitalian                           	       1      120      113        6        0
10866 imagination                        	       1       11        9        1        0
10867 imapproxy                          	       1        1        0        0        0
10868 imv                                	       1       19       16        2        0
10869 incus                              	       1        7        4        1        1
10870 incus-base                         	       1        2        1        0        0
10871 incus-client                       	       1        7        4        2        0
10872 indi-bin                           	       1       28       27        0        0
10873 indi-weewx-json                    	       1        1        0        0        0
10874 inetutils-ftp                      	       1        5        4        0        0
10875 inetutils-ping                     	       1        7        6        0        0
10876 inetutils-talk                     	       1        8        6        1        0
10877 inetutils-tools                    	       1       14       12        1        0
10878 inetutils-traceroute               	       1       18       17        0        0
10879 influxdb                           	       1        7        6        0        0
10880 innoextract                        	       1       45       43        1        0
10881 inoticoming                        	       1        5        4        0        0
10882 input-remapper-daemon              	       1        3        2        0        0
10883 input-remapper-gtk                 	       1        3        2        0        0
10884 input-utils                        	       1       13       12        0        0
10885 inputlirc                          	       1        4        3        0        0
10886 insomnia                           	       1        5        4        0        0
10887 inteltool                          	       1        6        5        0        0
10888 invada-studio-plugins-ladspa       	       1       14       13        0        0
10889 ion2                               	       1        1        0        0        0
10890 ioping                             	       1       13       12        0        0
10891 ioquake3-server                    	       1       40       39        0        0
10892 iotop-c                            	       1       48       47        0        0
10893 ipcalc-ng                          	       1       17       16        0        0
10894 ipolish                            	       1       77       69        7        0
10895 ipsec-tools                        	       1        7        6        0        0
10896 ipsvd                              	       1       10        9        0        0
10897 iptables-netflow-dkms              	       1        2        1        0        0
10898 iptables-persistent                	       1      108       75        3       29
10899 iptstate                           	       1       15       14        0        0
10900 iputils-clockdiff                  	       1        8        7        0        0
10901 ipwatchd                           	       1        1        0        0        0
10902 ipxe                               	       1       12       10        1        0
10903 ir-keytable                        	       1       12       11        0        0
10904 isdnutils-base                     	       1        5        4        0        0
10905 isdnvboxclient                     	       1        4        3        0        0
10906 isomaster                          	       1       22       21        0        0
10907 isomd5sum                          	       1        5        4        0        0
10908 isoquery                           	       1       17       16        0        0
10909 iwyu                               	       1        3        2        0        0
10910 jackd1                             	       1       15       14        0        0
10911 jacksum                            	       1        5        4        0        0
10912 jags                               	       1       10        9        0        0
10913 jameica                            	       1        4        3        0        0
10914 jami-daemon                        	       1       24        9        1       13
10915 jamin                              	       1        5        4        0        0
10916 janino                             	       1       36       35        0        0
10917 javahelp2                          	       1       75       73        1        0
10918 jazip                              	       1        1        0        0        0
10919 jbig2dec                           	       1        9        8        0        0
10920 jdk-17                             	       1        5        4        0        0
10921 jed-common                         	       1       39       35        3        0
10922 jedit                              	       1       10        9        0        0
10923 jellyfin-ffmpeg5                   	       1        1        0        0        0
10924 jellyfin-server                    	       1        5        4        0        0
10925 jfractionlab                       	       1        5        4        0        0
10926 jfritz                             	       1        1        0        0        0
10927 jgrep                              	       1        3        2        0        0
10928 jigdo-file                         	       1       18       17        0        0
10929 jless                              	       1        3        2        0        0
10930 jlha-utils                         	       1       14       13        0        0
10931 jodconverter                       	       1        2        1        0        0
10932 jool-dkms                          	       1        1        0        0        0
10933 joplin                             	       1        1        0        0        0
10934 jpilot                             	       1        6        5        0        0
10935 jpilot-plugins                     	       1        6        5        0        0
10936 jshon                              	       1        7        6        0        0
10937 jsoko                              	       1        1        0        0        0
10938 jupyter-qtconsole                  	       1        4        2        1        0
10939 jupyter-server                     	       1        3        2        0        0
10940 k2pdfopt                           	       1       11       10        0        0
10941 k3b-trinity                        	       1       19       18        0        0
10942 k4dirstat                          	       1       14       13        0        0
10943 kaccessible                        	       1       17       16        0        0
10944 kacpimon                           	       1        9        8        0        0
10945 kalgebra                           	       1       50       47        2        0
10946 kanatest                           	       1        1        0        0        0
10947 kanidm                             	       1        1        0        0        0
10948 kanjipad                           	       1        4        3        0        0
10949 kanshi                             	       1        6        5        0        0
10950 kapman                             	       1       60       58        1        0
10951 kappfinder-trinity                 	       1       36       31        4        0
10952 kapptemplate                       	       1       80       79        0        0
10953 kasumi                             	       1       65       61        3        0
10954 katarakt                           	       1       11        9        1        0
10955 kblocks                            	       1       65       62        2        0
10956 kbruch                             	       1       46       42        3        0
10957 kcachegrind                        	       1       57       56        0        0
10958 kde-baseapps-bin                   	       1       13       12        0        0
10959 kde-config-tablet                  	       1       10        9        0        0
10960 kde-inotify-survey                 	       1        1        0        0        0
10961 kde-l10n-de                        	       1        4        0        0        3
10962 kde-runtime-data                   	       1       63       62        0        0
10963 kde-style-breeze-qt4               	       1       21       20        0        0
10964 kde-style-breeze-qt5               	       1       21        1        0       19
10965 kde-style-oxygen                   	       1        6        2        0        3
10966 kde-style-qtcurve                  	       1        3        2        0        0
10967 kde-window-manager                 	       1        6        2        0        3
10968 kde-workspace-bin                  	       1        3        2        0        0
10969 kdeartwork-style                   	       1        1        0        0        0
10970 kdelibs-bin                        	       1       42       41        0        0
10971 kdelibs4c2a                        	       1        2        1        0        0
10972 kdesdk-scripts                     	       1       44       43        0        0
10973 kdesvn-kio-plugins                 	       1        8        0        0        7
10974 kdevelop-data                      	       1       50       49        0        0
10975 kdm                                	       1        4        3        0        0
10976 kdump-tools                        	       1        5        4        0        0
10977 kea-dhcp4-server                   	       1        5        4        0        0
10978 keepalived                         	       1        5        4        0        0
10979 keepass2-plugin-keepasshttp        	       1        5        4        0        0
10980 keepassx                           	       1       55       12        0       42
10981 keepassxc-minimal                  	       1        4        3        0        0
10982 kernel-package                     	       1       19       18        0        0
10983 kernel-wedge                       	       1       33       32        0        0
10984 kerneloops-applet                  	       1        5        4        0        0
10985 kexec-tools                        	       1       19       18        0        0
10986 key-mapper                         	       1        1        0        0        0
10987 keyboard-leds-trayicons            	       1        5        4        0        0
10988 kgb                                	       1        4        3        0        0
10989 kgendesignerplugin-bin             	       1        8        7        0        0
10990 kgeography                         	       1       54       50        3        0
10991 kicker-applets-trinity             	       1       28       25        2        0
10992 kid3                               	       1       38       34        3        0
10993 kid3-core                          	       1       51       47        3        0
10994 kipi-plugins-trinity               	       1       11       10        0        0
10995 kirigami2-dev                      	       1        8        7        0        0
10996 kiriki                             	       1       60       58        1        0
10997 kismet                             	       1       12       10        0        1
10998 kiwix                              	       1       10        9        0        0
10999 klettres                           	       1       47       45        1        0
11000 klickety                           	       1       58       56        1        0
11001 klog                               	       1        8        7        0        0
11002 klogd                              	       1        2        1        0        0
11003 kmail-trinity                      	       1       29       26        2        0
11004 kmines                             	       1       70       68        1        0
11005 kmplot                             	       1       50       48        1        0
11006 knetworkconf-trinity               	       1       25       22        2        0
11007 knights                            	       1       60       58        1        0
11008 knode-trinity                      	       1       27       24        2        0
11009 kodi-data                          	       1       87       77        5        4
11010 kodi-peripheral-xarcade            	       1        4        3        0        0
11011 koffice-libs-trinity               	       1        7        6        0        0
11012 kolourpaint-trinity                	       1       24       21        2        0
11013 kompare                            	       1       71       69        1        0
11014 kontact                            	       1       58       55        2        0
11015 kooka-trinity                      	       1       27       24        2        0
11016 kopano-backup                      	       1        1        0        0        0
11017 kopano-client                      	       1        1        0        0        0
11018 kopano-dagent                      	       1        1        0        0        0
11019 kopano-dagent-pytils               	       1        1        0        0        0
11020 kopano-gateway                     	       1        1        0        0        0
11021 kopano-ical                        	       1        1        0        0        0
11022 kopano-lang                        	       1        1        0        0        0
11023 kopano-search                      	       1        1        0        0        0
11024 kopano-server                      	       1        1        0        0        0
11025 kopano-spooler                     	       1        1        0        0        0
11026 kopano-webapp                      	       1        1        0        0        0
11027 kopano-webapp-plugin-files         	       1        1        0        0        0
11028 kopano-webapp-plugin-filesbackend-owncloud	       1        1        0        0        0
11029 kopete                             	       1       26       25        0        0
11030 koules                             	       1       12       11        0        0
11031 kpager-trinity                     	       1       35       30        4        0
11032 kpersonalizer-trinity              	       1       36       31        4        0
11033 kpf-trinity                        	       1       24       21        2        0
11034 krb5-auth-dialog                   	       1       15       14        0        0
11035 krb5-kdc-ldap                      	       1        4        3        0        0
11036 kremotecontrol                     	       1        2        1        0        0
11037 kreversi                           	       1       60       58        1        0
11038 krop                               	       1        6        5        0        0
11039 krusader-trinity                   	       1        6        5        0        0
11040 ksirk                              	       1       60       58        1        0
11041 ksplice                            	       1        1        0        0        0
11042 ksudoku                            	       1       75       73        1        0
11043 kteatime                           	       1       55       52        2        0
11044 kteatime-trinity                   	       1       25       22        2        0
11045 ktouch                             	       1       61       59        1        0
11046 kubectl                            	       1        8        4        3        0
11047 kwalletcli                         	       1       11        8        2        0
11048 kweather-trinity                   	       1       24       21        2        0
11049 kwin-wayland-backend-drm           	       1        3        0        0        2
11050 kylin-video                        	       1        9        8        0        0
11051 l3afpad                            	       1       18       16        1        0
11052 lacheck                            	       1      113      111        1        0
11053 latex209-bin                       	       1        2        1        0        0
11054 latrace                            	       1        1        0        0        0
11055 latte-dock                         	       1       13       12        0        0
11056 lboot                              	       1        1        0        0        0
11057 lbreakout2                         	       1       20       19        0        0
11058 lcab                               	       1        4        3        0        0
11059 lcd4linux                          	       1        2        1        0        0
11060 lcov                               	       1       20       19        0        0
11061 lemon                              	       1        4        2        1        0
11062 leocad                             	       1        9        8        0        0
11063 lepton-eda                         	       1       11       10        0        0
11064 letsencrypt.sh                     	       1        1        0        0        0
11065 lexmark-network-scan               	       1        1        0        0        0
11066 lft                                	       1        7        6        0        0
11067 lgrind                             	       1        4        3        0        0
11068 lha                                	       1        2        1        0        0
11069 lib25519-1                         	       1        2        0        0        1
11070 lib2geom1.2.0                      	       1      397        1        0      395
11071 lib32stdc++-14-dev                 	       1       16        9        6        0
11072 libaa-bin                          	       1       12       11        0        0
11073 libaa1-dev                         	       1       23       22        0        0
11074 libacsccid1                        	       1       13       11        1        0
11075 libadns1t64                        	       1        5        0        0        4
11076 libadwaitaqt1                      	       1      110        9        0      100
11077 libadwaitaqtpriv1                  	       1      110        9        0      100
11078 libakonadi-calendar4               	       1        6        0        0        5
11079 libakonadi-contact4                	       1        6        5        0        0
11080 libakonadi-kde4                    	       1        7        6        0        0
11081 libakonadi-kmime4                  	       1        7        0        0        6
11082 libakonadiprotocolinternals1       	       1        7        0        0        6
11083 libamarok-trinity                  	       1        6        0        0        5
11084 libany-uri-escape-perl             	       1       79       78        0        0
11085 libanyevent-dbi-perl               	       1        4        3        0        0
11086 libanyevent-fork-perl              	       1        4        3        0        0
11087 libanyevent-i3-perl                	       1      146      140        5        0
11088 libapache-logformat-compiler-perl  	       1       17       16        0        0
11089 libapache2-mod-auth-gssapi         	       1        8        7        0        0
11090 libapache2-mod-auth-pgsql          	       1        1        0        0        0
11091 libapache2-mod-authn-sasl          	       1        3        2        0        0
11092 libapache2-mod-authz-unixgroup     	       1        2        1        0        0
11093 libapache2-mod-lisp                	       1        1        0        0        0
11094 libapache2-mod-mapcache            	       1        3        2        0        0
11095 libapache2-mod-proxy-uwsgi         	       1        6        0        0        5
11096 libapache2-mod-python              	       1       19       18        0        0
11097 libapache2-mod-rivet               	       1        1        0        0        0
11098 libapache2-mod-rpaf                	       1        4        3        0        0
11099 libapache2-mod-ruid2               	       1        1        0        0        0
11100 libapache2-mod-scgi                	       1        2        1        0        0
11101 libapache2-mod-watchcat            	       1        1        0        0        0
11102 libapache2-mod-xsendfile           	       1        2        1        0        0
11103 libapparmor-perl                   	       1       19        0        0       18
11104 libappindicator3-1                 	       1       83        2        0       80
11105 libapr1-dev                        	       1       48       46        1        0
11106 libapreq2-3                        	       1        1        0        0        0
11107 libarchive12                       	       1        3        0        0        2
11108 libargon2-dev                      	       1       14       13        0        0
11109 libargtable2-dev                   	       1        4        3        0        0
11110 libaria2-0                         	       1      134        1        0      132
11111 libasound2-plugin-bluez            	       1       60        0        0       59
11112 libaspell-dev                      	       1       26       24        1        0
11113 libass5                            	       1      141        0        0      140
11114 libassuan-dev                      	       1       41       37        3        0
11115 libastro1                          	       1      141        1        0      139
11116 libastylej-jni                     	       1       85        1        0       83
11117 libatasmart-bin                    	       1        7        5        1        0
11118 libatkmm-1.6-1                     	       1       10        0        0        9
11119 libatm1t64                         	       1        2        0        0        1
11120 libattica0.4                       	       1       45        1        0       43
11121 libattr1-dev                       	       1       93       91        1        0
11122 libaudio-dev                       	       1       16       15        0        0
11123 libaudiofile-dev                   	       1       20       18        1        0
11124 libaudqt2                          	       1      504        2        0      501
11125 libav-tools                        	       1       24       19        3        1
11126 libavcodec-dev                     	       1      224      210       13        0
11127 libavcodec-extra60                 	       1       18        1        0       16
11128 libavcodec56                       	       1       81        1        0       79
11129 libavformat-dev                    	       1      187      177        9        0
11130 libavformat56                      	       1       39        0        0       38
11131 libavif-dev                        	       1      108      105        2        0
11132 libavifile-0.7-bin                 	       1        2        1        0        0
11133 libavresample2                     	       1       81        1        0       79
11134 libavutil54                        	       1       89        1        0       87
11135 libax25-dev                        	       1        4        3        0        0
11136 libb-keywords-perl                 	       1       31       30        0        0
11137 libb64-0d                          	       1       81        7        0       73
11138 libbaloopim4                       	       1        4        0        0        3
11139 libbaseencode1                     	       1       15        0        0       14
11140 libbasicusageenvironment0          	       1       26        0        0       25
11141 libbeidpkcs11-bin                  	       1        9        7        1        0
11142 libbibtex-parser-perl              	       1       23       22        0        0
11143 libbit4xpki                        	       1        4        3        0        0
11144 libblockdev-mdraid2                	       1        9        5        0        3
11145 libbloom2                          	       1        1        0        0        0
11146 libbluedevil1                      	       1        1        0        0        0
11147 libbonobo2-common                  	       1      165        0        0      164
11148 libboost-atomic1.67.0              	       1      194        1        0      192
11149 libboost-chrono1.67.0              	       1      185        1        0      183
11150 libboost-chrono1.74.0              	       1      545        4        0      540
11151 libboost-filesystem1.67.0          	       1      188        1        0      186
11152 libboost-iostreams1.67.0           	       1      230        0        0      229
11153 libboost-mpi-python1.83.0          	       1        5        2        2        0
11154 libboost-program-options1.67.0     	       1       91        0        0       90
11155 libboost-regex1.67.0               	       1       93        0        0       92
11156 libboost-system1.67.0              	       1      259        1        0      257
11157 libboost-thread1.67.0              	       1      194        1        0      192
11158 libboost1.67-dev                   	       1       15       14        0        0
11159 libboost1.83-dev                   	       1       31       21        9        0
11160 libboost1.83-tools-dev             	       1       10        6        3        0
11161 libbrasero-media3-1                	       1      604        4        0      599
11162 libbusiness-isbn-data-perl         	       1      123      117        5        0
11163 libbusiness-isbn-perl              	       1      123      121        1        0
11164 libbusiness-ismn-perl              	       1      117      115        1        0
11165 libbusiness-issn-perl              	       1      120      118        1        0
11166 libc++-11-dev                      	       1        4        2        0        1
11167 libc++-19-dev                      	       1        2        1        0        0
11168 libc++-9-dev                       	       1        1        0        0        0
11169 libc++1-14                         	       1       26       25        0        0
11170 libc++1-16t64                      	       1       14       13        0        0
11171 libc++abi-19-dev                   	       1        2        1        0        0
11172 libc++abi1-14                      	       1       26       25        0        0
11173 libc++abi1-16t64                   	       1       14       13        0        0
11174 libc6-dev-arm64-cross              	       1       36       34        1        0
11175 libcache-memcached-perl            	       1       17       16        0        0
11176 libcairomm-1.0-1                   	       1       10        0        0        9
11177 libcairomm-1.16-1                  	       1      176        6        0      169
11178 libcalendarsupport4                	       1        4        0        0        3
11179 libcallaudio-0-1                   	       1        5        2        0        2
11180 libcamera0.0.3                     	       1       24       10        0       13
11181 libcanberra-gtk3-0t64              	       1       10        0        0        9
11182 libcap-ng-utils                    	       1        6        5        0        0
11183 libcap1                            	       1       10        0        0        9
11184 libcapstone-dev                    	       1       16       14        1        0
11185 libcapstone5                       	       1       30        0        0       29
11186 libcarp-assert-perl                	       1       20       19        0        0
11187 libcatalyst-action-renderview-perl 	       1        2        1        0        0
11188 libcatalyst-authentication-credential-http-perl	       1        1        0        0        0
11189 libcatalyst-component-instancepercontext-perl	       1        2        1        0        0
11190 libcatalyst-devel-perl             	       1        2        1        0        0
11191 libcatalyst-dispatchtype-regex-perl	       1        2        1        0        0
11192 libcatalyst-model-dbic-schema-perl 	       1        2        1        0        0
11193 libcatalyst-perl                   	       1        3        2        0        0
11194 libcatalyst-plugin-authentication-perl	       1        2        1        0        0
11195 libcatalyst-plugin-configloader-perl	       1        2        1        0        0
11196 libcatalyst-plugin-log-dispatch-perl	       1        1        0        0        0
11197 libcatalyst-plugin-session-perl    	       1        2        1        0        0
11198 libcatalyst-plugin-session-state-cookie-perl	       1        2        1        0        0
11199 libcatalyst-plugin-session-store-fastmmap-perl	       1        2        1        0        0
11200 libcatalyst-plugin-stacktrace-perl 	       1        2        1        0        0
11201 libcatalyst-plugin-static-simple-perl	       1        2        1        0        0
11202 libcatalyst-view-petal-perl        	       1        1        0        0        0
11203 libcatalystx-component-traits-perl 	       1        2        1        0        0
11204 libccrtp2t64                       	       1        2        0        0        1
11205 libccrtp2v5                        	       1       17        0        0       16
11206 libcdb1                            	       1       17        0        0       16
11207 libcfitsio-bin                     	       1       34       33        0        0
11208 libcfitsio9                        	       1      201        3        0      197
11209 libcgi-compile-perl                	       1       15       14        0        0
11210 libcgi-emulate-psgi-perl           	       1       15       14        0        0
11211 libcgi-simple-perl                 	       1        9        8        0        0
11212 libcinnamon-control-center1        	       1      291        7        1      282
11213 libcivetweb1                       	       1        4        1        0        2
11214 libclamunrar12                     	       1        1        0        0        0
11215 libclang-11-dev                    	       1       10        9        0        0
11216 libclang-common-15-dev             	       1       83       80        2        0
11217 libclang-cpp14-dev                 	       1        4        3        0        0
11218 libclang-cpp15-dev                 	       1        3        2        0        0
11219 libclang-cpp15t64                  	       1        8        7        0        0
11220 libclang-cpp17t64                  	       1       16       11        4        0
11221 libclang-cpp19-dev                 	       1        2        1        0        0
11222 libclang-cpp9                      	       1       49       48        0        0
11223 libclang-rt-16-dev                 	       1       46       45        0        0
11224 libclang1-13                       	       1       31       30        0        0
11225 libclang1-15t64                    	       1       10        9        0        0
11226 libclang1-16                       	       1       24       23        0        0
11227 libclang1-17t64                    	       1       15       10        4        0
11228 libclang1-7                        	       1       32       31        0        0
11229 libclass-accessor-chained-perl     	       1       41       39        1        0
11230 libclass-accessor-grouped-perl     	       1        7        6        0        0
11231 libclass-c3-adopt-next-perl        	       1        3        2        0        0
11232 libclass-c3-componentised-perl     	       1        7        6        0        0
11233 libclass-makemethods-perl          	       1        7        6        0        0
11234 libclass-returnvalue-perl          	       1        6        5        0        0
11235 libclass-unload-perl               	       1        6        5        0        0
11236 libclc-15                          	       1       39       36        2        0
11237 libclc-17-dev                      	       1        3        2        0        0
11238 libcln6                            	       1       39        0        0       38
11239 libclutter-1.0-common              	       1      790        4        0      785
11240 libcmap4                           	       1       11        3        0        7
11241 libcodec2-1.1                      	       1        8        1        0        6
11242 libcogl-common                     	       1      793        3        0      789
11243 libcoin80c                         	       1      229        1        0      227
11244 libconfig-dev                      	       1       22       21        0        0
11245 libconfig-model-approx-perl        	       1       20       18        1        0
11246 libconfig-model-dpkg-perl          	       1       19       16        2        0
11247 libconfig-model-openssh-perl       	       1       20       18        1        0
11248 libconfig-model-systemd-perl       	       1       20       18        1        0
11249 libconfig-model-tkui-perl          	       1       20       18        1        0
11250 libcontext-preserve-perl           	       1        8        7        0        0
11251 libcork16                          	       1        4        3        0        0
11252 libcorkipset1                      	       1        4        3        0        0
11253 libcorosync-common4                	       1       23        3        0       19
11254 libcotp12                          	       1       15        0        0       14
11255 libcowsql0                         	       1        7        1        1        4
11256 libcpan-meta-perl                  	       1       68       67        0        0
11257 libcpp-httplib0.11                 	       1       26        1        0       24
11258 libcroco3                          	       1      456        3        0      452
11259 libcrypt-eksblowfish-perl          	       1       23        3        0       19
11260 libcrypt-urandom-perl              	       1       14        9        0        4
11261 libcrypt-util-perl                 	       1        2        1        0        0
11262 libcrystalhd3                      	       1      507        3        0      503
11263 libcss-minifier-perl               	       1        1        0        0        0
11264 libcunit1-dev                      	       1        9        8        0        0
11265 libcupsmime1                       	       1       73        0        0       72
11266 libcurl3                           	       1      120        0        0      119
11267 libcurses-widgets-perl             	       1        4        3        0        0
11268 libcvd-tools                       	       1        2        1        0        0
11269 libcw6                             	       1        7        2        0        4
11270 libcw7                             	       1       12        0        0       11
11271 libcwiid-dev                       	       1        9        8        0        0
11272 libdancer2-perl                    	       1        3        2        0        0
11273 libdaq2                            	       1        8        1        0        6
11274 libdata-dumper-concise-perl        	       1       15       14        0        0
11275 libdata-guid-perl                  	       1        4        3        0        0
11276 libdata-ical-perl                  	       1        5        4        0        0
11277 libdata-page-perl                  	       1       29       27        1        0
11278 libdata-record-perl                	       1        5        4        0        0
11279 libdata-visitor-perl               	       1        7        6        0        0
11280 libdate-calc-xs-perl               	       1      425        4        0      420
11281 libdatetime-astro-sunrise-perl     	       1        3        2        0        0
11282 libdatetime-format-mail-perl       	       1       33       32        0        0
11283 libdav1d-dev                       	       1      190      188        1        0
11284 libdb4.3                           	       1        3        0        0        2
11285 libdb4.8                           	       1       30        0        0       29
11286 libdb4o-cil-dev                    	       1        3        1        1        0
11287 libdb5.3++                         	       1       52        1        0       50
11288 libdbd-pgsql                       	       1        9        0        0        8
11289 libdbix-class-cursor-cached-perl   	       1        2        1        0        0
11290 libdbix-class-schema-loader-perl   	       1        4        3        0        0
11291 libdbix-simple-perl                	       1       56       55        0        0
11292 libdbus-c++-1-0v5                  	       1       29        2        0       26
11293 libdbusmenu-lxqt0                  	       1        9        4        2        2
11294 libdcmtk15                         	       1       52        0        0       51
11295 libddcutil3                        	       1       12        3        0        8
11296 libddcutil4                        	       1       18        5        0       12
11297 libde265-dev                       	       1      166      164        1        0
11298 libdebuginfod-dev                  	       1        2        1        0        0
11299 libdesktop-notify-perl             	       1        3        2        0        0
11300 libdevel-argnames-perl             	       1       13       12        0        0
11301 libdevel-callchecker-perl          	       1     1218        1        0     1216
11302 libdevel-hide-perl                 	       1        6        5        0        0
11303 libdevel-stacktrace-ashtml-perl    	       1       17       16        0        0
11304 libdevel-symdump-perl              	       1       48       47        0        0
11305 libdframeworkdbus2                 	       1       12        1        0       10
11306 libdico2                           	       1        2        0        0        1
11307 libdigest-sha3-perl                	       1       14       13        0        0
11308 libdlrestrictions1                 	       1       67        1        0       65
11309 libdmtx0a                          	       1       10        0        0        9
11310 libdmx-dev                         	       1       54       52        1        0
11311 libdouble-conversion1              	       1      223        2        0      220
11312 libdraco7                          	       1       57        0        0       56
11313 libdsk-utils                       	       1        4        3        0        0
11314 libdtkwidget5                      	       1       16        1        0       14
11315 libduktape205                      	       1      177        0        0      176
11316 libdumbnet1                        	       1       60        1        0       58
11317 libdune-common-dev                 	       1        3        2        0        0
11318 libdvbpsi9                         	       1       27        0        0       26
11319 libdvbv5-dev                       	       1        2        1        0        0
11320 libdvdcss2                         	       1      233        4        0      228
11321 libdx4t64                          	       1        1        0        0        0
11322 libeatmydata1                      	       1      105        0        0      104
11323 libeb16                            	       1       31        0        0       30
11324 libebml4                           	       1       14        0        0       13
11325 libeclipse-core-commands-java      	       1        5        4        0        0
11326 libeclipse-core-runtime-java       	       1        5        4        0        0
11327 libeclipse-e4-ui-widgets-java      	       1       26       24        1        0
11328 libeclipse-jdt-annotation-java     	       1       56       55        0        0
11329 libeclipse-jface-java              	       1        5        4        0        0
11330 libeclipse-osgi-java               	       1        5        4        0        0
11331 libeclipse-ui-forms-java           	       1        5        4        0        0
11332 libegl1-amdgpu-mesa                	       1        7        5        0        1
11333 libegl1-nvidia-legacy-340xx        	       1        8        0        0        7
11334 libei1                             	       1       16        0        0       15
11335 libelementary-bin                  	       1       24       22        1        0
11336 libelementary-data                 	       1       35        7        0       27
11337 libell-dev                         	       1        2        1        0        0
11338 libemeraldengine0                  	       1       12       10        1        0
11339 libenchant-2-dev                   	       1       21       20        0        0
11340 libepoxy-dev                       	       1      312      301       10        0
11341 libequinox-common-java             	       1        5        4        0        0
11342 libesmtp6                          	       1       47       18        0       28
11343 libestools-dev                     	       1        6        5        0        0
11344 libethumb-client-bin               	       1       34       32        1        0
11345 libetpan-dev                       	       1       11       10        0        0
11346 libetpan17                         	       1        6        0        0        5
11347 libetpan20t64                      	       1       15        4        0       10
11348 libevd-0.2-0t64                    	       1        1        0        0        0
11349 libevent-2.0-5                     	       1      198        0        0      197
11350 libevent-2.1-6                     	       1      264        6        0      257
11351 libevent-perl                      	       1       24       23        0        0
11352 libevtlog0                         	       1        5        0        0        4
11353 libexiv2-13                        	       1       26        0        0       25
11354 libexpect-perl                     	       1       26       25        0        0
11355 libextutils-autoinstall-perl       	       1        3        2        0        0
11356 libfarstream-0.1-0                 	       1        4        0        0        3
11357 libfcgi-procmanager-perl           	       1       16       15        0        0
11358 libfcitx5config6                   	       1       24       13        0       10
11359 libfcitx5core7                     	       1       22       13        0        8
11360 libfcitx5gclient2                  	       1       18       10        0        7
11361 libfcitx5utils2                    	       1       26       13        0       12
11362 libfile-changenotify-perl          	       1        2        1        0        0
11363 libfile-configdir-perl             	       1        5        4        0        0
11364 libfile-copy-link-perl             	       1        4        3        0        0
11365 libfile-modified-perl              	       1        3        2        0        0
11366 libfile-next-perl                  	       1       31       30        0        0
11367 libfile-pushd-perl                 	       1       61       60        0        0
11368 libfilehandle-unget-perl           	       1       17       15        1        0
11369 libfilesys-notify-simple-perl      	       1       17       16        0        0
11370 libfilezilla34                     	       1      196        3        0      192
11371 libfinance-quotehist-perl          	       1        1        0        0        0
11372 libflac++10                        	       1      512        3        0      508
11373 libfm-qt13                         	       1        4        3        0        0
11374 libfm-qt14                         	       1        4        2        0        1
11375 libfm-qt6-15                       	       1        9        4        2        2
11376 libfmt-dev                         	       1       69       67        1        0
11377 libfmt7                            	       1       63        3        0       59
11378 libfolks-eds26                     	       1      113        0        0      112
11379 libfolks26                         	       1      116        1        0      114
11380 libfollowupreminder4               	       1        2        0        0        1
11381 libfontconfig1-dev                 	       1      452       59        0      392
11382 libforms-dev                       	       1       88       87        0        0
11383 libfpdf-tpl-php                    	       1        1        0        0        0
11384 libfpdi-php                        	       1        1        0        0        0
11385 libfprint0                         	       1        5        4        0        0
11386 libfreecad-python3                 	       1       10        8        1        0
11387 libfreecell-solver0                	       1       89        3        0       85
11388 libfreerdp-server2-2               	       1      314        0        0      313
11389 libfreerdp-shadow-subsystem2-2     	       1       17        0        0       16
11390 libfreerdp-shadow2-2               	       1       17        0        0       16
11391 libfreetype6-dev                   	       1      453       65        0      387
11392 libfsntfs-utils                    	       1       20       19        0        0
11393 libfso-glib2                       	       1        2        0        0        1
11394 libfsobasics3                      	       1        2        0        0        1
11395 libfsoframework3                   	       1        2        0        0        1
11396 libfwupdplugin7                    	       1        1        0        0        0
11397 libgamemodeauto0                   	       1       64        0        0       63
11398 libgap-dev                         	       1       12       11        0        0
11399 libgbm1-amdgpu                     	       1        7        5        0        1
11400 libgcu0v5                          	       1        8        7        0        0
11401 libgd-graph3d-perl                 	       1       11       10        0        0
11402 libgd-tools                        	       1       12       11        0        0
11403 libgdk-pixbuf-xlib-2.0-dev         	       1      122      121        0        0
11404 libgdk-pixbuf2.0-0                 	       1     1556        1        0     1554
11405 libgeo-ipfree-perl                 	       1        3        2        0        0
11406 libgeo-metar-perl                  	       1        4        3        0        0
11407 libgeoip-dev                       	       1       15       14        0        0
11408 libgepub-0.7-0                     	       1       28        0        0       27
11409 libges-1.0-0                       	       1      433        2        0      430
11410 libggz2                            	       1        2        0        0        1
11411 libggzdmod6                        	       1        1        0        0        0
11412 libghc-aeson-dev                   	       1       12       11        0        0
11413 libghc-agda-dev                    	       1        6        5        0        0
11414 libghc-ansi-terminal-dev           	       1       11       10        0        0
11415 libghc-ansi-terminal-types-dev     	       1        3        2        0        0
11416 libghc-assoc-dev                   	       1       12       11        0        0
11417 libghc-async-dev                   	       1       16       15        0        0
11418 libghc-attoparsec-dev              	       1       24       23        0        0
11419 libghc-base-compat-batteries-dev   	       1       11       10        0        0
11420 libghc-base-compat-dev             	       1       14       13        0        0
11421 libghc-base-orphans-dev            	       1       17       16        0        0
11422 libghc-bifunctors-dev              	       1       15       14        0        0
11423 libghc-blaze-builder-dev           	       1       18       17        0        0
11424 libghc-blaze-html-dev              	       1       16       15        0        0
11425 libghc-blaze-markup-dev            	       1       16       15        0        0
11426 libghc-boxes-dev                   	       1        6        5        0        0
11427 libghc-case-insensitive-dev        	       1       11       10        0        0
11428 libghc-colour-dev                  	       1       10        9        0        0
11429 libghc-comonad-dev                 	       1       15       14        0        0
11430 libghc-contravariant-dev           	       1       15       14        0        0
11431 libghc-data-fix-dev                	       1       10        9        0        0
11432 libghc-data-hash-dev               	       1        6        5        0        0
11433 libghc-distributive-dev            	       1       15       14        0        0
11434 libghc-dlist-dev                   	       1       20       19        0        0
11435 libghc-edit-distance-dev           	       1        6        5        0        0
11436 libghc-equivalence-dev             	       1        6        5        0        0
11437 libghc-gitrev-dev                  	       1        6        5        0        0
11438 libghc-hashable-dev                	       1       27       26        0        0
11439 libghc-indexed-traversable-dev     	       1       12       11        0        0
11440 libghc-indexed-traversable-instances-dev	       1       11       10        0        0
11441 libghc-integer-logarithms-dev      	       1       25       24        0        0
11442 libghc-monad-control-dev           	       1        9        8        0        0
11443 libghc-murmur-hash-dev             	       1        6        5        0        0
11444 libghc-network-uri-dev             	       1       10        9        0        0
11445 libghc-onetuple-dev                	       1       11       10        0        0
11446 libghc-os-string-dev               	       1        4        3        0        0
11447 libghc-parallel-dev                	       1       11       10        0        0
11448 libghc-peano-dev                   	       1        2        1        0        0
11449 libghc-primitive-dev               	       1       31       30        0        0
11450 libghc-quickcheck2-dev             	       1       12       11        0        0
11451 libghc-random-dev                  	       1       43       42        0        0
11452 libghc-regex-base-dev              	       1       21       20        0        0
11453 libghc-regex-tdfa-dev              	       1       17       16        0        0
11454 libghc-scientific-dev              	       1       26       25        0        0
11455 libghc-semialign-dev               	       1       10        9        0        0
11456 libghc-semigroupoids-dev           	       1       15       14        0        0
11457 libghc-split-dev                   	       1       20       19        0        0
11458 libghc-splitmix-dev                	       1       30       29        0        0
11459 libghc-statevar-dev                	       1       16       15        0        0
11460 libghc-stmonadtrans-dev            	       1        6        5        0        0
11461 libghc-strict-dev                  	       1       13       12        0        0
11462 libghc-tagged-dev                  	       1       16       15        0        0
11463 libghc-text-short-dev              	       1       11       10        0        0
11464 libghc-th-abstraction-dev          	       1       16       15        0        0
11465 libghc-th-compat-dev               	       1       10        9        0        0
11466 libghc-these-dev                   	       1       12       11        0        0
11467 libghc-time-compat-dev             	       1       11       10        0        0
11468 libghc-transformers-base-dev       	       1       14       13        0        0
11469 libghc-transformers-compat-dev     	       1       21       20        0        0
11470 libghc-unordered-containers-dev    	       1       24       23        0        0
11471 libghc-uri-encode-dev              	       1        6        5        0        0
11472 libghc-utf8-string-dev             	       1       38       37        0        0
11473 libghc-uuid-types-dev              	       1       12       11        0        0
11474 libghc-vector-dev                  	       1       24       23        0        0
11475 libghc-vector-hashtables-dev       	       1        2        1        0        0
11476 libghc-vector-stream-dev           	       1        4        3        0        0
11477 libghc-witherable-dev              	       1       10        9        0        0
11478 libghc-zlib-dev                    	       1       20       19        0        0
11479 libgif4                            	       1       45        0        0       44
11480 libgit2-1.1                        	       1      177        7        0      169
11481 libgit2-1.5                        	       1      649        4        0      644
11482 libgit2-1.8                        	       1       65        0        0       64
11483 libgit2-27                         	       1       43        0        0       42
11484 libgit2-glib-1.0-0                 	       1      159        1        0      157
11485 libgl1-amdgpu-mesa-dri             	       1        8        6        0        1
11486 libgl1-amdgpu-mesa-glx             	       1        8        5        0        2
11487 libgl1-nvidia-legacy-340xx-glx     	       1        8        3        0        4
11488 libgl2ps-dev                       	       1       19       18        0        0
11489 libglade2-0                        	       1      474        0        0      473
11490 libglapi-amdgpu-mesa               	       1        8        5        0        2
11491 libglew-dev                        	       1       97       96        0        0
11492 libglib1.2ldbl                     	       1        5        0        0        4
11493 libglibmm-2.4-1c2a                 	       1       10        0        0        9
11494 libglm-dev                         	       1       38       37        0        0
11495 libglpk-dev                        	       1       17       16        0        0
11496 libglyr1                           	       1      102        0        0      101
11497 libgmime-2.6-0                     	       1      126        0        0      125
11498 libgmpxx4ldbl                      	       1      587        0        0      586
11499 libgnome-bluetooth13               	       1      114        6        0      107
11500 libgnome-panel0                    	       1       79        5        0       73
11501 libgnome2-bin                      	       1       75       74        0        0
11502 libgnome2-common                   	       1      154        0        0      153
11503 libgnomecanvas2-0                  	       1      227        1        0      225
11504 libgnomeui-common                  	       1      106        0        0      105
11505 libgnomevfs2-common                	       1      159        0        0      158
11506 libgnomevfs2-extra                 	       1      151        0        0      150
11507 libgnt0                            	       1       10        0        0        9
11508 libgnunet0.17                      	       1        1        0        0        0
11509 libgnunet0.20t64                   	       1        4        3        0        0
11510 libgnutls26                        	       1       80        0        0       79
11511 libgoffice-0.10-10-common          	       1      191        1        0      189
11512 libgoffice-0.10-dev                	       1        2        1        0        0
11513 libgom-1.0-0                       	       1      397        2        0      394
11514 libgoocanvas2-perl                 	       1       66       63        2        0
11515 libgooglepinyin0                   	       1        6        0        0        5
11516 libgpgme++2                        	       1        4        0        0        3
11517 libgpod4t64                        	       1       53        2        0       50
11518 libgps30                           	       1        5        1        0        3
11519 libgradle-plugins-java             	       1       47       45        1        0
11520 libgranite-7-7                     	       1        7        0        0        6
11521 libgrantlee-core0                  	       1        4        3        0        0
11522 libgrantlee-gui0                   	       1        4        0        0        3
11523 libgraph-perl                      	       1       23       21        1        0
11524 libgraphicsmagick-q16-3t64         	       1       48       38        9        0
11525 libgraphviz-dev                    	       1      108      105        2        0
11526 libgraphviz-perl                   	       1       15       14        0        0
11527 libgringotts2                      	       1       21        0        0       20
11528 libgroupsock1                      	       1       25        0        0       24
11529 libgsasl7                          	       1      504        0        0      503
11530 libgsf-1-common                    	       1      715        1        0      713
11531 libgsf-1-dev                       	       1       15       14        0        0
11532 libgsm1-dev                        	       1       87       84        2        0
11533 libgsoap-kopano-2.8.109            	       1        1        0        0        0
11534 libgsound0t64                      	       1       51        2        0       48
11535 libgspell-1-3                      	       1      152        4        1      146
11536 libgspell-1-common                 	       1     2271        3        1     2266
11537 libgssapi3-heimdal                 	       1       42        1        0       40
11538 libgssdp-1.0-3                     	       1      200        0        0      199
11539 libgssdp-1.2-0                     	       1      509        2        0      506
11540 libgstreamer-plugins-bad0.10-0     	       1       25        0        0       24
11541 libgstreamer-plugins-base0.10-0    	       1       65        3        0       61
11542 libgstreamer-plugins-base1.0-dev   	       1       48       47        0        0
11543 libgstreamer0.10-0                 	       1       66        3        0       62
11544 libgstreamer1.0-dev                	       1       71       66        4        0
11545 libgtk-vnc-2.0-0                   	       1      468        2        0      465
11546 libgtk3-imageview-perl             	       1       65       62        2        0
11547 libgtkd-3-0                        	       1       28        3        0       24
11548 libgtkglext1                       	       1      164        4        0      159
11549 libgtkmm-2.4-1c2a                  	       1       10        0        0        9
11550 libgtkmm-2.4-1v5                   	       1      357        1        0      355
11551 libgtkmm-3.0-1                     	       1        1        0        0        0
11552 libgtkmm-4.0-0                     	       1      173        6        0      166
11553 libgtksourceview-5-common          	       1      373        0        0      372
11554 libgtkspell3-3-0                   	       1      374        2        0      371
11555 libgupnp-1.0-4                     	       1      198        0        0      197
11556 libgupnp-1.2-0                     	       1      495        2        0      492
11557 libgupnp-av-1.0-3                  	       1      336        2        0      333
11558 libgupnp-dlna-2.0-4                	       1      335        2        0      332
11559 libgutenprint9                     	       1      620        0        0      619
11560 libguvcview-2.1-2                  	       1       60        0        0       59
11561 libgvnc-1.0-0                      	       1      470        2        0      467
11562 libh2o-evloop0.13                  	       1        3        0        0        2
11563 libhal1                            	       1       10        0        0        9
11564 libhash-merge-simple-perl          	       1        9        8        0        0
11565 libhash-ordered-perl               	       1        4        3        0        0
11566 libhdf5-dev                        	       1       82       80        1        0
11567 libhdhomerun4                      	       1        8        1        0        6
11568 libheif-dev                        	       1      179      177        1        0
11569 libhtml-defang-perl                	       1        1        0        0        0
11570 libhtml-gentoc-perl                	       1        4        3        0        0
11571 libhtml-linklist-perl              	       1        4        3        0        0
11572 libhtml-lint-perl                  	       1       14       13        0        0
11573 libhtml-simpleparse-perl           	       1        4        3        0        0
11574 libhtml-tidy-perl                  	       1        6        5        0        0
11575 libhttp-body-perl                  	       1        5        4        0        0
11576 libhttp-cookiejar-perl             	       1       17       16        0        0
11577 libhttp-parser-dev                 	       1       27       26        0        0
11578 libhttp-parser2.8                  	       1       51        0        0       50
11579 libhttp-tiny-perl                  	       1        4        3        0        0
11580 libhunspell-dev                    	       1       41       40        0        0
11581 libhwloc-dev                       	       1      141      138        2        0
11582 libhx32t64                         	       1        4        1        0        2
11583 libib-util                         	       1      715        2        0      712
11584 libiberty-dev                      	       1       38       36        1        0
11585 libibumad3                         	       1       62        0        0       61
11586 libibus-1.0-dev                    	       1      224      215        8        0
11587 libibverbs-dev                     	       1      137      134        2        0
11588 libical-dev                        	       1       26       24        1        0
11589 libical1a                          	       1       30        0        0       29
11590 libicu48                           	       1       20        0        0       19
11591 libieee1284-3                      	       1     2840        4        0     2835
11592 libifd-cyberjack6                  	       1       12       11        0        0
11593 libilbc2                           	       1       23        1        0       21
11594 libilmbase23                       	       1      218        1        0      216
11595 libilmbase6                        	       1       47        0        0       46
11596 libimage-png-libpng-perl           	       1       74       72        1        0
11597 libimage-size-perl                 	       1       34       33        0        0
11598 libime-data-language-model         	       1       18        3        0       14
11599 libimecore0                        	       1       18        5        0       12
11600 libimepinyin0                      	       1       18        4        0       13
11601 libincidenceeditorsng4             	       1        4        0        0        3
11602 libindicate-gtk3                   	       1        5        0        0        4
11603 libindicate5                       	       1        8        0        0        7
11604 libindicator3-7                    	       1      162        2        0      159
11605 libinput-dev                       	       1       68       65        2        0
11606 libio-tee-perl                     	       1       13       12        0        0
11607 libipc-sharedcache-perl            	       1        8        7        0        0
11608 libipt-dev                         	       1        1        0        0        0
11609 libitpp-dev                        	       1        9        8        0        0
11610 libivykis0t64                      	       1        2        1        0        0
11611 libjack-dev                        	       1       51       50        0        0
11612 libjack0                           	       1       63       11        0       51
11613 libjasper1                         	       1      149        0        0      148
11614 libjavascript-minifier-perl        	       1        1        0        0        0
11615 libjbigi-jni                       	       1        8        7        0        0
11616 libjemalloc1                       	       1       83        0        0       82
11617 libjmagick7-jni                    	       1        1        0        0        0
11618 libjna-jni                         	       1      175        2        0      172
11619 libjpeg-progs                      	       1        8        7        0        0
11620 libjq-dev                          	       1        5        4        0        0
11621 libjson-pp-perl                    	       1        5        4        0        0
11622 libjsoncpp1                        	       1      185        1        0      183
11623 libjsoncpp26                       	       1       71        2        0       68
11624 libjsonparser1.1                   	       1       40        3        0       36
11625 libjssc-java                       	       1       84       82        1        0
11626 libjudydebian1                     	       1       89        0        0       88
11627 libjxl-tools                       	       1        7        4        2        0
11628 libk3b3-extracodecs-trinity        	       1       16       15        0        0
11629 libk3b3-trinity                    	       1       19       18        0        0
11630 libkabc4                           	       1       28       27        0        0
11631 libkactivities-bin                 	       1        6        5        0        0
11632 libkactivities6                    	       1       40        0        0       39
11633 libkcalcore4                       	       1        7        0        0        6
11634 libkcalutils4                      	       1        7        0        0        6
11635 libkcarchiver0                     	       1        1        0        0        0
11636 libkcarchivercore0                 	       1        1        0        0        0
11637 libkcfreebusy0                     	       1        1        0        0        0
11638 libkcicalmapi0                     	       1        1        0        0        0
11639 libkcinetmapi0                     	       1        1        0        0        0
11640 libkcmutils4                       	       1       42        1        0       40
11641 libkcoidc0                         	       1        1        0        0        0
11642 libkcrosie0                        	       1        1        0        0        0
11643 libkcserver0                       	       1        1        0        0        0
11644 libkcsoap0                         	       1        1        0        0        0
11645 libkcutil0                         	       1        1        0        0        0
11646 libkdeclarative5                   	       1       41        0        0       40
11647 libkdecorations2private6           	       1       25        1        0       23
11648 libkdecorations4abi2               	       1        8        0        0        7
11649 libkdecore5                        	       1       43       42        0        0
11650 libkdepim4                         	       1        4        1        0        2
11651 libkdepimdbusinterfaces4           	       1        4        0        0        3
11652 libkdesu5                          	       1       40       39        0        0
11653 libkdeui5                          	       1       43        1        0       41
11654 libkdewebkit5                      	       1       41        1        0       39
11655 libkdgantt2-0                      	       1        4        0        0        3
11656 libkdnssd4                         	       1       40        1        0       38
11657 libkemoticons4                     	       1       41        0        0       40
11658 libkephal4abi1                     	       1        5        0        0        4
11659 libkf5alarmcalendar5abi1           	       1       89        2        0       86
11660 libkf5archive-dev                  	       1       24       22        1        0
11661 libkf5auth-dev                     	       1       33       32        0        0
11662 libkf5auth-dev-bin                 	       1       35       34        0        0
11663 libkf5calendarsupport-data         	       1      484        5        0      478
11664 libkf5codecs-dev                   	       1       34       33        0        0
11665 libkf5completion-dev               	       1       27       25        1        0
11666 libkf5crash-dev                    	       1       16       15        0        0
11667 libkf5dav-data                     	       1      468        1        0      466
11668 libkf5doctools-dev                 	       1       18       17        0        0
11669 libkf5emoticons-dev                	       1        8        7        0        0
11670 libkf5eventviews-data              	       1      485        3        0      481
11671 libkf5filemetadata-bin             	       1      772        3        0      768
11672 libkf5globalaccel-dev              	       1       33       32        0        0
11673 libkf5guiaddons-dev                	       1       35       34        0        0
11674 libkf5iconthemes-dev               	       1       30       29        0        0
11675 libkf5itemmodels-dev               	       1       17       16        0        0
11676 libkf5kio-dev                      	       1       24       21        2        0
11677 libkf5kipi32.0.0                   	       1      118        2        0      115
11678 libkf5kmahjongglib5                	       1       74        1        0       72
11679 libkf5libkdepimakonadi5            	       1       21        1        0       19
11680 libkf5package-dev                  	       1       73       71        1        0
11681 libkf5plasma-dev                   	       1       69       68        0        0
11682 libkf5solid-dev                    	       1       25       23        1        0
11683 libkf5windowsystem-dev             	       1       93       91        1        0
11684 libkf5xmlgui-dev                   	       1       30       27        2        0
11685 libkf6dav-data                     	       1       10        0        0        9
11686 libkf6dav6                         	       1        9        0        0        8
11687 libkf6holidays-data                	       1       32        1        0       30
11688 libkf6holidays6                    	       1       32        1        0       30
11689 libkf6konq7                        	       1       21        1        0       19
11690 libkf6konqsettings7                	       1       21        1        0       19
11691 libkf6prisonscanner6               	       1       54        0        0       53
11692 libkf6su6                          	       1       33        0        0       32
11693 libkfile4                          	       1       41       40        0        0
11694 libkgapi-data                      	       1       15        0        0       14
11695 libkgapi2-2                        	       1        5        0        0        4
11696 libkholidays4                      	       1        7        6        0        0
11697 libkhtml5                          	       1       41        0        0       40
11698 libkidletime4                      	       1        8        0        0        7
11699 libkimap-data                      	       1       10        0        0        9
11700 libkimap4                          	       1        6        0        0        5
11701 libkio5                            	       1       42        1        0       40
11702 libkjsapi4                         	       1       42        0        0       41
11703 libkldap4                          	       1       28        0        0       27
11704 libkleo4                           	       1        4        0        0        3
11705 libkmbox4                          	       1        6        0        0        5
11706 libkmime4                          	       1       28        0        0       27
11707 libknewstuff3-4                    	       1       42        1        0       40
11708 libknot14                          	       1        1        0        0        0
11709 libknotifyconfig4                  	       1       40        0        0       39
11710 libkohana2-php                     	       1        1        0        0        0
11711 libkonq-common                     	       1       17       16        0        0
11712 libkonq5abi1                       	       1       17        0        0       16
11713 libkparts4                         	       1       42        1        0       40
11714 libkpeople3                        	       1        1        0        0        0
11715 libkpgp4                           	       1        4        0        0        3
11716 libkpim6gapicore6                  	       1        9        0        0        8
11717 libkpimgapicalendar5               	       1      477        4        0      472
11718 libkpimgapicontacts5               	       1      477        4        0      472
11719 libkpimgapitasks5                  	       1      477        4        0      472
11720 libkpimidentities4                 	       1       28        0        0       27
11721 libkpimtextedit4                   	       1       28        0        0       27
11722 libkpimutils4                      	       1       28        0        0       27
11723 libkpipewiredmabuf6                	       1       32        0        0       31
11724 libkpipewirerecord6                	       1       31        0        0       30
11725 libkpmcore-dev                     	       1        1        0        0        0
11726 libkprintutils4                    	       1       17        0        0       16
11727 libkpty4                           	       1       41        0        0       40
11728 libkresources4                     	       1       28       27        0        0
11729 libksanecore6-1                    	       1       16        0        0       15
11730 libksanewidgets6-6                 	       1       16        0        0       15
11731 libkscreen1                        	       1        5        0        0        4
11732 libkseexpr4                        	       1      119        0        0      118
11733 libkseexprui4                      	       1      119        0        0      118
11734 libksgrd4                          	       1        6        0        0        5
11735 libktexteditor4                    	       1       42        0        0       41
11736 libktorrent6-6                     	       1       15        0        0       14
11737 libktpcommoninternalsprivate7      	       1        1        0        0        0
11738 libktpmodelsprivate7               	       1        1        0        0        0
11739 libktpwidgetsprivate7              	       1        1        0        0        0
11740 libkunitconversion4                	       1        8        0        0        7
11741 libkvazaar4                        	       1       13        1        0       11
11742 libkwineffects11                   	       1       22        1        0       20
11743 libkwineffects1abi5                	       1        6        0        0        5
11744 libkwinglutils11                   	       1       22        1        0       20
11745 libkwinglutils1abi2                	       1        5        0        0        4
11746 libkwinxrenderutils11              	       1       22        1        0       20
11747 libkworkspace4abi2                 	       1        6        0        0        5
11748 liblcms2-dev                       	       1      177      174        2        0
11749 liblcomp1                          	       1        2        0        0        1
11750 libldap-dev                        	       1      110      102        7        0
11751 libldb1                            	       1      264        0        0      263
11752 libleptonica-dev                   	       1       36       34        1        0
11753 liblexical-persistence-perl        	       1        6        5        0        0
11754 liblingua-en-findnumber-perl       	       1        4        3        0        0
11755 liblingua-en-inflect-number-perl   	       1        4        3        0        0
11756 liblingua-en-inflect-perl          	       1       55       54        0        0
11757 liblingua-en-inflect-phrase-perl   	       1        4        3        0        0
11758 liblingua-en-number-isordinal-perl 	       1        4        3        0        0
11759 liblingua-en-tagger-perl           	       1        4        3        0        0
11760 liblingua-en-words2nums-perl       	       1        4        3        0        0
11761 liblingua-pt-stemmer-perl          	       1        5        4        0        0
11762 liblingua-stem-perl                	       1        5        4        0        0
11763 liblingua-stem-snowball-da-perl    	       1        5        4        0        0
11764 liblinux-lvm-perl                  	       1       19       18        0        0
11765 liblirc-dev                        	       1       23       22        0        0
11766 liblirc0t64                        	       1        6        1        0        4
11767 liblistserialsj1                   	       1       84        1        0       82
11768 liblivemedia23                     	       1       27        0        0       26
11769 liblldb-14                         	       1       10        9        0        0
11770 liblldb-19                         	       1        6        4        1        0
11771 liblldb-19-dev                     	       1        2        1        0        0
11772 libllvm16                          	       1       47        4        0       42
11773 libllvm17t64                       	       1       76       19        0       56
11774 libllvm18.1-amdgpu                 	       1        4        2        0        1
11775 libllvm6.0                         	       1       28        0        0       27
11776 liblmdb-dev                        	       1       18       17        0        0
11777 liblocale-codes-perl               	       1       60       57        2        0
11778 liblocale-maketext-gettext-perl    	       1        3        2        0        0
11779 liblog-dispatch-config-perl        	       1        2        1        0        0
11780 liblog4cpp5-dev                    	       1       10        9        0        0
11781 liblogfile-rotate-perl             	       1        5        4        0        0
11782 libloudmouth1-0                    	       1     1028        0        0     1027
11783 libltdl3                           	       1        2        0        0        1
11784 liblttng-ust-common1               	       1       27       11        0       15
11785 liblttng-ust1                      	       1       27       11        0       15
11786 liblua5.1-0-dev                    	       1       57       55        1        0
11787 liblua5.2-dev                      	       1       64       63        0        0
11788 liblua50                           	       1       14        0        0       13
11789 libluajit-5.1-dev                  	       1       39       38        0        0
11790 liblualib50                        	       1       13        0        0       12
11791 liblucene2-java                    	       1        8        7        0        0
11792 libluksmeta0                       	       1       10        1        0        8
11793 liblwgeom-2.5-0                    	       1        5        0        0        4
11794 liblwp-online-perl                 	       1        4        3        0        0
11795 liblxqt-globalkeys-ui2             	       1        8        4        2        1
11796 liblxqt-globalkeys2                	       1        9        4        2        2
11797 liblxqt2                           	       1       10        4        2        3
11798 liblz-dev                          	       1       17       15        1        0
11799 liblzo2-dev                        	       1       75       74        0        0
11800 libm17n-dev                        	       1        6        5        0        0
11801 libmagick++-6-headers              	       1       43       41        1        0
11802 libmagick++-6.q16-8                	       1      701        1        0      699
11803 libmagickcore-6-arch-config        	       1       73       71        1        0
11804 libmagickcore-6-headers            	       1       75       73        1        0
11805 libmagickcore-6.q16-2              	       1       15        0        0       14
11806 libmagickcore-6.q16-7t64           	       1      105        1        0      103
11807 libmagickwand-6-headers            	       1       62       60        1        0
11808 libmagickwand-6.q16-2              	       1       14        0        0       13
11809 libmagickwand-6.q16-7t64           	       1      105        1        0      103
11810 libmail-mbox-messageparser-perl    	       1       17       15        1        0
11811 libmail-rbl-perl                   	       1        1        0        0        0
11812 libmailcommon4                     	       1        2        0        0        1
11813 libmailimporter4                   	       1        2        0        0        1
11814 libmailtransport4                  	       1        7        6        0        0
11815 libmapcache1                       	       1        3        2        0        0
11816 libmapi1                           	       1        1        0        0        0
11817 libmapserver2                      	       1       10        0        0        9
11818 libmarblewidget19                  	       1        2        0        0        1
11819 libmariadbclient18                 	       1      101        0        0      100
11820 libmartchus-c++utilities5t64       	       1        1        0        0        0
11821 libmartchus-qtforkawesome1t64      	       1        1        0        0        0
11822 libmartchus-qtutilities6           	       1       11        6        0        4
11823 libmate-slab0                      	       1      505        9        2      493
11824 libmath-random-isaac-xs-perl       	       1      188        2        0      185
11825 libmath-random-secure-perl         	       1        2        1        0        0
11826 libmatroska6                       	       1       14        0        0       13
11827 libmbedcrypto7t64                  	       1       91       13        0       77
11828 libmcrypt-dev                      	       1       19       18        0        0
11829 libmediaart-2.0-0                  	       1      505        4        0      500
11830 libmediawiki-api-perl              	       1       41       39        1        0
11831 libmemoize-expirelru-perl          	       1        4        3        0        0
11832 libmessagecomposer4                	       1        4        0        0        3
11833 libmessagecore4                    	       1        4        0        0        3
11834 libmessageviewer4                  	       1        4        3        0        0
11835 libmetacity3                       	       1       94        5        0       88
11836 libmicrodns1                       	       1       65        2        0       62
11837 libmicrohttpd12t64                 	       1       22        3        0       18
11838 libmigemo1                         	       1        3        0        0        2
11839 libmilter-dev                      	       1        5        4        0        0
11840 libmimalloc-dev                    	       1        2        1        0        0
11841 libmime-base64-urlsafe-perl        	       1       22       21        0        0
11842 libmkdoc-xml-perl                  	       1        1        0        0        0
11843 libmldbm-sync-perl                 	       1        3        2        0        0
11844 libmodplug-dev                     	       1      118      117        0        0
11845 libmodule-starter-perl             	       1        2        1        0        0
11846 libmoebinv-dev                     	       1        2        0        1        0
11847 libmoebinv0-dbgsym                 	       1        2        0        1        0
11848 libmojo-server-fastcgi-perl        	       1      125      121        3        0
11849 libmono-cairo4.0-cil               	       1      159      156        2        0
11850 libmono-system-servicemodel4.0a-cil	       1       96       93        2        0
11851 libmono-zeroconf1.0-cil            	       1       10        9        0        0
11852 libmoosex-emulate-class-accessor-fast-perl	       1        4        3        0        0
11853 libmoosex-markasmethods-perl       	       1        4        3        0        0
11854 libmoosex-methodattributes-perl    	       1        4        3        0        0
11855 libmoosex-nonmoose-perl            	       1        7        6        0        0
11856 libmoosex-singleton-perl           	       1        4        3        0        0
11857 libmoosex-traits-pluggable-perl    	       1        3        2        0        0
11858 libmoosex-types-loadableclass-perl 	       1        2        1        0        0
11859 libmoosex-types-perl               	       1       15       14        0        0
11860 libmoox-configfromfile-perl        	       1        5        4        0        0
11861 libmoox-file-configdir-perl        	       1        5        4        0        0
11862 libmosquitto1                      	       1       79        2        0       76
11863 libmotif-dev                       	       1       30       29        0        0
11864 libmozilla-publicsuffix-perl       	       1        9        8        0        0
11865 libmozjs185-dev                    	       1        2        1        0        0
11866 libmp3-info-perl                   	       1       65       64        0        0
11867 libmp3lame-dev                     	       1       56       54        1        0
11868 libmpdec2                          	       1      554        0        0      553
11869 libmpg123-dev                      	       1      128      125        2        0
11870 libmsgpack-c2                      	       1       21        1        0       19
11871 libmsgpackc2                       	       1      118        3        1      113
11872 libmtdev-dev                       	       1       75       73        1        0
11873 libmunge-dev                       	       1        1        0        0        0
11874 libmusicbrainz5cc2v5               	       1      634        0        0      633
11875 libmysqlclient15off                	       1        3        0        0        2
11876 libmysqlclient18                   	       1       74        2        0       71
11877 libmyth-33                         	       1        3        0        0        2
11878 libmythavcodec59                   	       1        4        1        0        2
11879 libmythavfilter8                   	       1        4        1        0        2
11880 libmythavformat59                  	       1        4        1        0        2
11881 libmythavutil57                    	       1        4        1        0        2
11882 libmythexiv2-0.28-33               	       1        3        0        0        2
11883 libmythpostproc56                  	       1        4        1        0        2
11884 libmythswresample4                 	       1        4        1        0        2
11885 libmythswscale6                    	       1        4        1        0        2
11886 libnagios-object-perl              	       1        2        1        0        0
11887 libnatpmp1t64                      	       1       59        4        0       54
11888 libncursesw5                       	       1      799        0        0      798
11889 libndpi2.6                         	       1        3        1        0        1
11890 libneon27                          	       1     2186        6        0     2179
11891 libneon27t64                       	       1      202        1        0      200
11892 libnepomuk4                        	       1       22        0        0       21
11893 libnepomukcore4                    	       1        9        0        0        8
11894 libnepomukquery4a                  	       1       22        0        0       21
11895 libnepomukutils4                   	       1       22        0        0       21
11896 libnet-daemon-perl                 	       1       31       30        0        0
11897 libnet-inet6glue-perl              	       1        3        2        0        0
11898 libnet-managesieve-perl            	       1        1        0        0        0
11899 libnet-ssh-perl                    	       1        5        4        0        0
11900 libnet-upnp-perl                   	       1        8        7        0        0
11901 libnet-xwhois-perl                 	       1       18       17        0        0
11902 libnetpbm10                        	       1      666        0        0      665
11903 libnetsnmptrapd40                  	       1       34        0        0       33
11904 libnews-nntpclient-perl            	       1        4        3        0        0
11905 libnewt-dev                        	       1       36       33        2        0
11906 libnewt0.52                        	       1     4139        6        0     4132
11907 libnextcloudsync0t64               	       1       11        6        0        4
11908 libnfsidmap-dev                    	       1        2        1        0        0
11909 libnghttp3-dev                     	       1       24       14        9        0
11910 libnginx-mod-rtmp                  	       1        1        0        0        0
11911 libnginx-mod-stream-js             	       1        1        0        0        0
11912 libngtcp2-dev                      	       1       16       12        3        0
11913 libnitrokey3                       	       1       10        1        0        8
11914 libnl-3-dev                        	       1      157      154        2        0
11915 libnng1                            	       1        7        0        0        6
11916 libnoteshared4                     	       1        2        0        0        1
11917 libnova-0.16-0                     	       1       85        3        0       81
11918 libnpupnp9                         	       1        3        1        0        1
11919 libnspr4-dev                       	       1       78       76        1        0
11920 libnss-libvirt                     	       1        9        1        0        7
11921 libnss-tls                         	       1        3        1        0        1
11922 libnss3-dev                        	       1       72       67        4        0
11923 libntrack-qt4-1                    	       1       41        0        0       40
11924 libntrack0                         	       1       61        0        0       60
11925 libnumber-bytes-human-perl         	       1       44       41        2        0
11926 libnumber-range-perl               	       1       55       53        1        0
11927 libnusoap-php                      	       1        2        1        0        0
11928 libnvidia-egl-xcb1                 	       1       10        1        0        8
11929 libnvidia-encode1                  	       1      166        2        0      163
11930 libnvidia-gpucomp1                 	       1        1        0        0        0
11931 libnvidia-legacy-340xx-eglcore     	       1       10        0        0        9
11932 libnvidia-legacy-340xx-glcore      	       1       11        4        0        6
11933 libnvidia-ml1                      	       1      191        6        0      184
11934 libnvjpeg12                        	       1        4        0        0        3
11935 libnvrtc-builtins12.2              	       1        4        0        0        3
11936 libnvrtc12                         	       1        4        0        0        3
11937 liboar-perl                        	       1        1        0        0        0
11938 libobasis25.2-pyuno                	       1        2        1        0        0
11939 libobject-signature-perl           	       1        2        1        0        0
11940 libobs0                            	       1      141        2        1      137
11941 libocct-data-exchange-7.6          	       1      141        4        0      136
11942 libocct-foundation-7.6             	       1      141        4        0      136
11943 libocct-modeling-algorithms-7.6    	       1      141        4        0      136
11944 libocct-modeling-data-7.6          	       1      141        4        0      136
11945 libofa0                            	       1      699        1        0      697
11946 libogg-vorbis-header-pureperl-perl 	       1       19       18        0        0
11947 libokularcore5                     	       1        3        0        0        2
11948 libonig-dev                        	       1       11       10        0        0
11949 libopenal-dev                      	       1      115      114        0        0
11950 libopencolorio2.1                  	       1      201        1        0      199
11951 libopencsd-dev                     	       1       17       15        1        0
11952 libopencv-core410                  	       1       21        0        0       20
11953 libopencv-dev                      	       1       45       43        1        0
11954 libopencv-imgproc410               	       1       21        0        0       20
11955 libopendbx1-mysql                  	       1        4        3        0        0
11956 libopendbx1-sqlite3                	       1       33       32        0        0
11957 libopendmarc2                      	       1        2        1        0        0
11958 libopendmarc2t64                   	       1        1        0        0        0
11959 libopenexr23                       	       1      216        1        0      214
11960 libopenexr6                        	       1       45        0        0       44
11961 libopenh264-5                      	       1       11        1        0        9
11962 libopenipmi0                       	       1      119        4        0      114
11963 libopenobex1                       	       1        4        1        0        2
11964 libopenraw7                        	       1       30        1        0       28
11965 libopenrawgnome7                   	       1       29        1        0       27
11966 libopensm9                         	       1        4        0        0        3
11967 liboping0                          	       1       22        0        0       21
11968 libopusfile-dev                    	       1       41       40        0        0
11969 liborc-0.4-dev                     	       1       60       59        0        0
11970 liborc-0.4-dev-bin                 	       1       61       60        0        0
11971 libosinfo-l10n                     	       1      456        3        0      452
11972 libosmcomp5                        	       1        4        0        0        3
11973 libosmgpsmap-1.0-1                 	       1      165        0        0      164
11974 libosmvendor5                      	       1        4        0        0        3
11975 libotf-dev                         	       1       10        9        0        0
11976 libow-3.2-4                        	       1        6        2        0        3
11977 liboxygenstyle5-6                  	       1        5        0        0        4
11978 liboxygenstyle6-6                  	       1       28        2        0       25
11979 libp11-3t64                        	       1        2        0        0        1
11980 libp11-kit-dev                     	       1      271      265        5        0
11981 libpackage-variant-perl            	       1        7        6        0        0
11982 libpacparser1                      	       1        9        7        1        0
11983 libpam-chksshpwd                   	       1        1        0        0        0
11984 libpam-fscrypt                     	       1        1        0        0        0
11985 libpam-google-authenticator        	       1       29       27        1        0
11986 libpam-passwdqc                    	       1        4        1        0        2
11987 libpam-poldi                       	       1        2        0        0        1
11988 libpam-snapper                     	       1        1        0        0        0
11989 libpam-ssh-agent-auth              	       1        3        0        0        2
11990 libpam-unix2                       	       1        2        1        0        0
11991 libpam-yubico                      	       1        4        3        0        0
11992 libpangomm-1.4-1                   	       1       10        0        0        9
11993 libpangomm-2.48-1t64               	       1      162        6        0      155
11994 libparallel-forkmanager-perl       	       1       63       62        0        0
11995 libparams-classify-perl            	       1     1256        1        0     1254
11996 libparams-validate-perl            	       1      436        5        0      430
11997 libpari-dev                        	       1       12       10        1        0
11998 libparse-debcontrol-perl           	       1       46       44        1        0
11999 libparse-debian-packages-perl      	       1       13       12        0        0
12000 libparse-errorstring-perl-perl     	       1        1        0        0        0
12001 libparse-yapp-perl                 	       1       24       23        0        0
12002 libpasswdqc1                       	       1        6        1        0        4
12003 libpcp-mmv1                        	       1        6        1        0        4
12004 libpcp-pmda3                       	       1        7        1        0        5
12005 libpcp-web1                        	       1        6        1        0        4
12006 libpcp3                            	       1        7        1        0        5
12007 libpcre2-posix0                    	       1        3        0        0        2
12008 libpdf-builder-perl                	       1       47       46        0        0
12009 libpeas-common                     	       1     1120        0        0     1119
12010 libperconaserverclient20-dev       	       1        2        1        0        0
12011 libperl-critic-perl                	       1       28       27        0        0
12012 libperl5.20                        	       1       31        0        0       30
12013 libperl5.34                        	       1       15       14        0        0
12014 libpetal-perl                      	       1        1        0        0        0
12015 libpetal-utils-perl                	       1        1        0        0        0
12016 libphobos2-ldc-shared100           	       1       41        2        0       38
12017 libphonon4qt5-4t64                 	       1       53       14        0       38
12018 libphysfs1                         	       1      130        0        0      129
12019 libpimcommon4                      	       1        4        0        0        3
12020 libpipewire-0.2-1                  	       1       46        1        0       44
12021 libpipewire-0.3-dev                	       1       46       39        5        1
12022 libplacebo264                      	       1       29        2        0       26
12023 libplacebo292                      	       1       12        0        0       11
12024 libplacebo338                      	       1       37        2        0       34
12025 libplack-middleware-methodoverride-perl	       1        3        2        0        0
12026 libplack-middleware-removeredundantbody-perl	       1        3        2        0        0
12027 libplack-middleware-reverseproxy-perl	       1        5        4        0        0
12028 libplack-test-externalserver-perl  	       1        3        2        0        0
12029 libplasma3                         	       1       40        1        0       38
12030 libplasmaclock4abi4                	       1        6        0        0        5
12031 libplasmagenericshell4             	       1        5        0        0        4
12032 libplymouth5                       	       1       50        0        0       49
12033 libpng12-dev                       	       1       24       23        0        0
12034 libpocl2-common                    	       1       54       53        0        0
12035 libpod-abstract-perl               	       1        3        2        0        0
12036 libpod-coverage-perl               	       1       16       15        0        0
12037 libpod-latex-perl                  	       1       80       79        0        0
12038 libpod-plainer-perl                	       1       14       13        0        0
12039 libpod-spell-perl                  	       1       28       27        0        0
12040 libpod-strip-perl                  	       1        3        2        0        0
12041 libpolkit-backend-elogind-1-0      	       1      174        2        0      171
12042 libpolkit-gobject-elogind-1-dev    	       1       37       36        0        0
12043 libpolkit-qt-1-1                   	       1       41        0        0       40
12044 libpoppler-private-dev             	       1       51       49        1        0
12045 libportsmf0                        	       1      486        0        0      485
12046 libposix-strftime-compiler-perl    	       1       17       16        0        0
12047 libpotrace0                        	       1      911        2        0      908
12048 libprintsys                        	       1        7        6        0        0
12049 libprison0                         	       1        7        0        0        6
12050 libproc-daemon-perl                	       1       19       18        0        0
12051 libproc-pid-file-perl              	       1        4        3        0        0
12052 libprocesscore4abi1                	       1        6        0        0        5
12053 libprocessui4a                     	       1        5        0        0        4
12054 libproj13                          	       1       63        0        0       62
12055 libprojectm3                       	       1       18        1        0       16
12056 libprotobuf-lite32                 	       1     1100        1        0     1098
12057 libprotobuf-lite32t64              	       1      139        1        0      137
12058 libproxy1-plugin-kconfig           	       1        8        0        0        7
12059 libproxychains3                    	       1       21       20        0        0
12060 libpspell-dev                      	       1        3        2        0        0
12061 libpth-dev                         	       1        4        3        0        0
12062 libpth20                           	       1      100        1        0       98
12063 libpurple-dev                      	       1       20       19        0        0
12064 libpyside2-py3-5.15                	       1      122      119        2        0
12065 libpyside6-py3-6.7                 	       1        2        0        1        0
12066 libpystring0                       	       1      214        1        0      212
12067 libpython3.10                      	       1       25        0        0       24
12068 libpython3.11-testsuite            	       1       59       55        3        0
12069 libpython3.4-minimal               	       1       34       33        0        0
12070 libpython3.4-stdlib                	       1       34       33        0        0
12071 libpython3.8-dev                   	       1        1        0        0        0
12072 libpython3.9-dbg                   	       1        7        6        0        0
12073 libqalculate5                      	       1        5        0        0        4
12074 libqb100                           	       1       20        7        0       12
12075 libqca-qt6-plugins                 	       1       89        2        1       85
12076 libqgis-3d3.38.3                   	       1        1        0        0        0
12077 libqgis-analysis3.38.3             	       1        1        0        0        0
12078 libqgis-app3.38.3                  	       1        1        0        0        0
12079 libqgis-core3.38.3                 	       1        1        0        0        0
12080 libqgis-gui3.38.3                  	       1        1        0        0        0
12081 libqgis-native3.38.3               	       1        1        0        0        0
12082 libqgispython3.38.3                	       1        1        0        0        0
12083 libqglviewer-headers               	       1        6        5        0        0
12084 libqgpgme1                         	       1        4        0        0        3
12085 libqimageblitz4                    	       1       31        0        0       30
12086 libqjson0                          	       1       29        0        0       28
12087 libqscintilla2-qt5-13              	       1       22        0        0       21
12088 libqt4-sql-mysql                   	       1       69        0        0       68
12089 libqt53dcore5                      	       1       59        0        0       58
12090 libqt53dextras5                    	       1       57        0        0       56
12091 libqt53dinput5                     	       1       58        0        0       57
12092 libqt53dlogic5                     	       1       58        0        0       57
12093 libqt53drender5                    	       1       59        0        0       58
12094 libqt5ct-common1.8                 	       1       12        7        0        4
12095 libqt5location5                    	       1      167        1        0      165
12096 libqt5multimediaquick5             	       1      870        1        0      868
12097 libqt5opengl5t64                   	       1      108        2        0      105
12098 libqt5pas1                         	       1       60        2        0       57
12099 libqt5positioningquick5            	       1      200        1        0      198
12100 libqt5quickparticles5              	       1      802        1        0      800
12101 libqt5remoteobjects5               	       1      112        1        0      110
12102 libqt5serialport5                  	       1      424        3        0      420
12103 libqt5test5t64                     	       1      136        2        0      133
12104 libqt5xmlpatterns5-dev             	       1       23       22        0        0
12105 libqt6bluetooth6-bin               	       1       49       30       18        0
12106 libqt6concurrent6                  	       1      152        1        0      150
12107 libqt6designer6                    	       1       90        0        0       89
12108 libqt6designercomponents6          	       1       84        0        0       83
12109 libqt6help6                        	       1       91        0        0       90
12110 libqt6opengl6-dev                  	       1       49       46        2        0
12111 libqt6pdf6                         	       1       18        2        0       15
12112 libqt6svgwidgets6                  	       1      270        3        0      266
12113 libqt6test6                        	       1      330        0        0      329
12114 libqt6websockets6                  	       1       21        1        0       19
12115 libqt6xdg4                         	       1       16        4        2        9
12116 libqt6xdgiconloader4               	       1       16        4        2        9
12117 libqtermwidget6-2                  	       1       13        4        1        7
12118 libqtglib-2.0-0                    	       1        2        0        0        1
12119 libquazip1-qt5-1                   	       1        6        1        0        4
12120 libquazip1-qt5-1t64                	       1        9        0        0        8
12121 libquazip5-1                       	       1      220        2        0      217
12122 libqwt-qt5-6                       	       1      140        1        0      138
12123 libradare2-dev                     	       1        9        8        0        0
12124 librandombytes1                    	       1        2        0        0        1
12125 librandomx0                        	       1       13        1        0       11
12126 libraw1394-tools                   	       1       56       55        0        0
12127 libraw23                           	       1       17        3        0       13
12128 librcc0                            	       1     1022      206        3      812
12129 librdkafka1                        	       1       43        0        0       42
12130 libre2-11                          	       1      156        2        0      153
12131 libre2-5                           	       1       93        0        0       92
12132 libregexp-common-email-address-perl	       1        7        6        0        0
12133 libreoffice-avmedia-backend-gstreamer	       1      186      160        3       22
12134 libreoffice-dev                    	       1        3        2        0        0
12135 libreoffice-evolution              	       1       21       19        1        0
12136 libreoffice-filter-binfilter       	       1        1        0        0        0
12137 libreoffice-filter-mobiledev       	       1        1        0        0        0
12138 libreoffice-gtk                    	       1       14       11        0        2
12139 libreoffice-gtk2                   	       1       68       65        2        0
12140 libreoffice-kde                    	       1        8        7        0        0
12141 libreoffice-l10n-ca                	       1        8        7        0        0
12142 libreoffice-l10n-hu                	       1       15       14        0        0
12143 libreoffice-l10n-nl                	       1       18       15        2        0
12144 libreoffice-l10n-pt-br             	       1       42       36        5        0
12145 libreoffice-numbertext             	       1       10        9        0        0
12146 libreoffice-ogltrans               	       1       45       38        1        5
12147 libreoffice-style-karasa-jaga      	       1       25       22        2        0
12148 libreoffice-writer2xhtml           	       1       12       11        0        0
12149 libreoffice25.2-debian-menus       	       1        2        1        0        0
12150 libreoffice5.1-debian-menus        	       1        2        1        0        0
12151 libreofficekit-data                	       1       69       59        9        0
12152 librep9                            	       1        4        0        0        3
12153 librrd4                            	       1       15        0        0       14
12154 librsync2t64                       	       1       35        0        0       34
12155 librtlsdr-dev                      	       1       19       17        1        0
12156 librygel-core-2.8-0                	       1      332        2        0      329
12157 librygel-db-2.8-0                  	       1      332        2        0      329
12158 librygel-renderer-2.8-0            	       1      332        2        0      329
12159 librygel-renderer-gst-2.8-0        	       1      125        2        0      122
12160 librygel-server-2.8-0              	       1      332        2        0      329
12161 libsac-java-gcj                    	       1       47       45        1        0
12162 libsane-common                     	       1     3033        1        0     3031
12163 libsasl2-dev                       	       1       81       77        3        0
12164 libsasl2-module-xoauth2            	       1        1        0        0        0
12165 libsasl2-modules-gssapi-heimdal    	       1        3        1        0        1
12166 libsasl2-modules-sql               	       1        4        0        0        3
12167 libsass-dev                        	       1       19       17        1        0
12168 libsbsms10                         	       1      421        0        0      420
12169 libschedule-cron-events-perl       	       1        1        0        0        0
12170 libscim8v5                         	       1      597        3        0      593
12171 libscitokens-dev                   	       1        1        0        0        0
12172 libscitokens0                      	       1        2        1        0        0
12173 libsctp-dev                        	       1       62       60        1        0
12174 libsdl-image1.2                    	       1     1608        3        0     1604
12175 libsdl-image1.2-dev                	       1       48       47        0        0
12176 libsdl-mixer1.2                    	       1      403        1        0      401
12177 libsdl-mixer1.2-dev                	       1      100       99        0        0
12178 libsdl-ttf2.0-0                    	       1      393        1        0      391
12179 libsdl2-image-2.0-0                	       1      478        4        0      473
12180 libsecp256k1-0                     	       1       22        2        0       19
12181 libsemanage-dev                    	       1        1        0        0        0
12182 libsendlater4                      	       1        4        0        0        3
12183 libsensors4                        	       1      146        0        0      145
12184 libsensors4-dev                    	       1       25       15        0        9
12185 libsepol1                          	       1     1927        6        0     1920
12186 libsepol1-dev                      	       1      162      159        2        0
12187 libserialport0                     	       1      111        1        0      109
12188 libservice-wrapper-jni             	       1        8        7        0        0
12189 libset-crontab-perl                	       1        1        0        0        0
12190 libsfcgal1                         	       1       28        0        0       27
12191 libsgmls-perl                      	       1       98       96        1        0
12192 libsharpyuv-dev                    	       1       69       66        2        0
12193 libshiboken2-py3-5.15t64           	       1       15       13        1        0
12194 libshiboken6-py3-6.7               	       1        2        0        1        0
12195 libshout-dev                       	       1       17       16        0        0
12196 libsidplay2-dev                    	       1       12       11        0        0
12197 libsidutils0                       	       1     1027        2        0     1024
12198 libsigc++-2.0-0c2a                 	       1       60        0        0       59
12199 libsigrok4                         	       1       22       21        0        0
12200 libsigrok4t64                      	       1        3        2        0        0
12201 libsitesummary-perl                	       1        6        5        0        0
12202 libsmartcols1                      	       1     4178        2        0     4175
12203 libsnapd-glib-2-1                  	       1      328        8        0      319
12204 libsndio-dev                       	       1      229      225        3        0
12205 libsnmp-dev                        	       1       37       36        0        0
12206 libsnmp30                          	       1      295        3        0      291
12207 libsnowball-norwegian-perl         	       1        5        4        0        0
12208 libsnowball-swedish-perl           	       1        5        4        0        0
12209 libsoapysdr0.8                     	       1       51        3        0       47
12210 libsocket-perl                     	       1       54        8        0       45
12211 libsofia-sip-ua-glib3              	       1       11        0        0       10
12212 libsofia-sip-ua0                   	       1       14        1        0       12
12213 libsolid4                          	       1       42        1        0       40
12214 libsombok3                         	       1      550        1        0      548
12215 libsoprano4                        	       1       23        0        0       22
12216 libsource-highlight-dev            	       1        2        1        0        0
12217 libsox-fmt-alsa                    	       1     1347        0        0     1346
12218 libsox-fmt-ao                      	       1       72        0        0       71
12219 libsox-fmt-base                    	       1     1351        0        0     1350
12220 libsox-fmt-mp3                     	       1      107        0        0      106
12221 libsox-fmt-oss                     	       1       71        0        0       70
12222 libsox-fmt-pulse                   	       1       75        0        0       74
12223 libsox3                            	       1     1306        1        0     1304
12224 libspa-0.2-dev                     	       1       46       40        5        0
12225 libspdlog-dev                      	       1       53       51        1        0
12226 libspdlog1                         	       1       19        3        0       15
12227 libspdlog1.12                      	       1       39        5        0       33
12228 libspeechd-module0                 	       1       10        0        0        9
12229 libspeex-dev                       	       1       73       70        2        0
12230 libspf2-dev                        	       1        3        2        0        0
12231 libsql-abstract-perl               	       1       86       85        0        0
12232 libsqlcipher0                      	       1       59        3        0       55
12233 libsqlite3-tcl                     	       1       23       20        2        0
12234 libsquashfuse0                     	       1      663        0        0      662
12235 libsrt1.5-openssl                  	       1      134        2        2      129
12236 libssh-dev                         	       1       36       34        1        0
12237 libssh2-1-dev                      	       1      106      104        1        0
12238 libstdc++-12-dev-arm64-cross       	       1        9        8        0        0
12239 libstdc++-4.9-dev                  	       1       55       53        0        1
12240 libstreamanalyzer0                 	       1        4        3        0        0
12241 libstreams0                        	       1       15        0        0       14
12242 libstring-approx-perl              	       1        5        0        0        4
12243 libstring-camelcase-perl           	       1        4        3        0        0
12244 libstring-crc32-perl               	       1      280        3        0      276
12245 libstring-toidentifier-en-perl     	       1        4        3        0        0
12246 libstrophe0                        	       1       19        1        0       17
12247 libsub-exporter-formethods-perl    	       1       15       14        0        0
12248 libsub-name-perl                   	       1     1535        2        0     1532
12249 libsubunit-dev                     	       1       33       32        0        0
12250 libsuil-0-0                        	       1      541        0        0      540
12251 libsunpinyin3v5                    	       1        5        1        0        3
12252 libsvthevcenc-dev                  	       1        1        0        0        0
12253 libswresample-dev                  	       1      225      211       13        0
12254 libswscale3                        	       1       47        0        0       46
12255 libsys-cpu-perl                    	       1       85        2        0       82
12256 libsys-meminfo-perl                	       1       53        4        0       48
12257 libsys-mmap-perl                   	       1       11        4        0        6
12258 libsysfs-dev                       	       1        4        3        0        0
12259 libtag-extras-dev                  	       1        5        4        0        0
12260 libtag1-vanilla                    	       1       20        0        0       19
12261 libtar-dev                         	       1        4        3        0        0
12262 libtaskmanager4abi4                	       1        6        0        0        5
12263 libtasn1-bin                       	       1       10        9        0        0
12264 libtbb-dev                         	       1       94       89        4        0
12265 libtcl8.5                          	       1       52        1        0       50
12266 libtdb-dev                         	       1       16       13        2        0
12267 libtelepathy-logger-qt4-1          	       1        1        0        0        0
12268 libtelepathy-qt4-2                 	       1        2        0        0        1
12269 libtemplate-tiny-perl              	       1        6        5        0        0
12270 libtemplateparser4                 	       1        4        0        0        3
12271 libtepl-5-0                        	       1       77        2        1       73
12272 libterm-readline-perl-perl         	       1       18       17        0        0
12273 libterm-readpassword-perl          	       1        8        7        0        0
12274 libterm-size-any-perl              	       1        5        4        0        0
12275 libterm-size-perl-perl             	       1        5        4        0        0
12276 libterm-spinner-color-perl         	       1        1        0        0        0
12277 libtesseract4                      	       1      111        4        0      106
12278 libtest-deep-perl                  	       1       82       81        0        0
12279 libtest-file-sharedir-perl         	       1       55       54        0        0
12280 libtest-mockobject-perl            	       1       14       13        0        0
12281 libtest-pod-perl                   	       1       28       27        0        0
12282 libtest-sharedfork-perl            	       1       17       16        0        0
12283 libtest-tcp-perl                   	       1       17       16        0        0
12284 libtext-autoformat-perl            	       1       34       32        1        0
12285 libtext-diff-perl                  	       1       37       35        1        0
12286 libtext-german-perl                	       1        5        4        0        0
12287 libtext-levenshtein-damerau-perl   	       1       24       22        1        0
12288 libtext-levenshtein-perl           	       1       80       78        1        0
12289 libtext-markdown-perl              	       1       39       37        1        0
12290 libtext-reform-perl                	       1       34       32        1        0
12291 libtext-simpletable-perl           	       1        6        5        0        0
12292 libtext-tabulardisplay-perl        	       1        7        6        0        0
12293 libtext-vfile-asdata-perl          	       1       10        9        0        0
12294 libtheora-bin                      	       1       15       13        1        0
12295 libtheora-dev                      	       1       78       74        3        0
12296 libthreadweaver4                   	       1       41        1        0       39
12297 libthrift-dev                      	       1       42       39        2        0
12298 libtidy-0.99-0                     	       1       33        0        0       32
12299 libtidy-dev                        	       1        9        8        0        0
12300 libtidy5                           	       1       67        0        0       66
12301 libtidy58                          	       1       22        0        0       21
12302 libtie-cache-perl                  	       1        2        1        0        0
12303 libtie-cycle-perl                  	       1      117      115        1        0
12304 libtie-toobject-perl               	       1        7        6        0        0
12305 libtime-piece-mysql-perl           	       1       18       17        0        0
12306 libtk-dirselect-perl               	       1       20       18        1        0
12307 libtk-doubleclick-perl             	       1       20       18        1        0
12308 libtk-fontdialog-perl              	       1       20       18        1        0
12309 libtk-histentry-perl               	       1       20       18        1        0
12310 libtk8.5                           	       1       31        0        0       30
12311 libtlsrpt0                         	       1        3        0        0        2
12312 libtomlplusplus3t64                	       1        7        1        0        5
12313 libtorrent-rasterbar10             	       1       57        3        0       53
12314 libtorrent-rasterbar2.0t64         	       1       34        2        1       30
12315 libtorrent21                       	       1       72        2        0       69
12316 libtotem-plparser17                	       1        1        0        0        0
12317 libtotem0                          	       1      403        1        0      401
12318 libtoxcore2                        	       1       25        3        0       21
12319 libtpl-dev                         	       1        1        0        0        0
12320 libtraceevent1                     	       1       38        0        0       37
12321 libtree-simple-perl                	       1        5        4        0        0
12322 libtree-simple-visitorfactory-perl 	       1        3        2        0        0
12323 libtree-sitter-dev                 	       1       14       12        1        0
12324 libtry-tiny-smartcatch-perl        	       1        1        0        0        0
12325 libuchardet-dev                    	       1       26       25        0        0
12326 libucommon8                        	       1       19        0        0       18
12327 libucommon8t64                     	       1        2        0        0        1
12328 libudev0                           	       1       98        0        0       97
12329 libudev1                           	       1      684        1        1      681
12330 libudns0                           	       1       23        1        0       21
12331 libunarr1                          	       1       10        0        0        9
12332 libunicode-linebreak-perl          	       1      550        1        0      548
12333 libunistring-dev                   	       1       26       25        0        0
12334 libuniversal-can-perl              	       1       14       13        0        0
12335 libuniversal-isa-perl              	       1       14       13        0        0
12336 libunoil-java                      	       1      147      144        2        0
12337 libunwind-14                       	       1       26       25        0        0
12338 libunwind-16t64                    	       1       14       13        0        0
12339 libunwind-19-dev                   	       1        3        2        0        0
12340 libunwind7-dev                     	       1        1        0        0        0
12341 libupnp6                           	       1      100        2        0       97
12342 libupnp6-dev                       	       1        3        2        0        0
12343 libupower-glib-dev                 	       1       13       12        0        0
12344 libupsclient6t64                   	       1        5        1        0        3
12345 libusageenvironment1               	       1       27        0        0       26
12346 libusbredirhost1t64                	       1       31        0        0       30
12347 libusbredirparser1t64              	       1       52        1        0       50
12348 libutf8-all-perl                   	       1        4        3        0        0
12349 libuuid-tiny-perl                  	       1       17       16        0        0
12350 libvcdinfo0                        	       1      420        0        0      419
12351 libvdeplug2t64                     	       1       49        1        0       47
12352 libvdpau-dev                       	       1       79       77        1        0
12353 libvirt-daemon-lock                	       1       24       19        4        0
12354 libvirt-daemon-plugin-sanlock      	       1       24       19        4        0
12355 libvirt-dev                        	       1       15       13        1        0
12356 libvirt-l10n                       	       1      349        4        0      344
12357 libvlccore8                        	       1       38        0        0       37
12358 libvmime-kopano3                   	       1        1        0        0        0
12359 libvolk-bin                        	       1       10        8        1        0
12360 libvolk2-bin                       	       1       39       37        1        0
12361 libvolk2-dev                       	       1       37       35        1        0
12362 libvorbisidec-dev                  	       1       14       13        0        0
12363 libvpx-dev                         	       1      173      167        5        0
12364 libvpx5                            	       1      236        3        0      232
12365 libvte9                            	       1       77       22        0       54
12366 libvted-3-0                        	       1       23        3        0       19
12367 libvtk9-dev                        	       1       10        9        0        0
12368 libwayland-amdgpu-client0          	       1        7        5        0        1
12369 libwayland-amdgpu-server0          	       1        7        5        0        1
12370 libwbxml2-utils                    	       1        4        3        0        0
12371 libwcat1                           	       1        1        0        0        0
12372 libweather-ion6                    	       1        6        0        0        5
12373 libwebm-tools                      	       1        2        1        0        0
12374 libwebsockets16                    	       1       27        2        0       24
12375 libwebsockets19t64                 	       1        8        0        0        7
12376 libwine-dev                        	       1       38       37        0        0
12377 libwine-development                	       1       32        9        1       21
12378 libwine-development-dev            	       1        4        3        0        0
12379 libwinpr-tools2-2                  	       1       22        0        0       21
12380 libwmf-dev                         	       1       91       89        1        0
12381 libwslay1                          	       1        3        0        0        2
12382 libwutil2                          	       1        2        0        0        1
12383 libwxgtk-gl3.2-1t64                	       1       43        0        0       42
12384 libwxgtk-webview3.0-gtk3-0v5       	       1       12        1        0       10
12385 libwxgtk3.0-0v5                    	       1      139        0        0      138
12386 libx264-120                        	       1        4        0        0        3
12387 libx264-142                        	       1       82        1        0       80
12388 libx264-155                        	       1      207        3        0      203
12389 libx264-157                        	       1       13        1        0       11
12390 libx264-165                        	       1        2        0        0        1
12391 libx265-165                        	       1      244        2        0      241
12392 libx265-176                        	       1       11        1        0        9
12393 libx265-dev                        	       1      184      183        0        0
12394 libx32stdc++-14-dev                	       1       16        9        6        0
12395 libxapian-dev                      	       1       17       16        0        0
12396 libxapian22                        	       1      140       19        0      120
12397 libxcb-dpms0-dev                   	       1       17       16        0        0
12398 libxcb-icccm4-dev                  	       1       52       51        0        0
12399 libxcb-imdkit1                     	       1       27       13        0       13
12400 libxcb-render-util0-dev            	       1       60       59        0        0
12401 libxcb-res0-dev                    	       1       18       16        1        0
12402 libxcb-util-dev                    	       1       58       57        0        0
12403 libxcb-util0                       	       1      304        4        0      299
12404 libxcb-xf86dri0-dev                	       1       14       13        0        0
12405 libxcb-xv0                         	       1     1753        3        0     1749
12406 libxcb-xv0-dev                     	       1       22       21        0        0
12407 libxcvt-dev                        	       1       61       59        1        0
12408 libxfont-dev                       	       1       84       82        1        0
12409 libxine2-ffmpeg                    	       1      109        5        0      103
12410 libxine2-misc-plugins              	       1      104        5        0       98
12411 libxine2-x                         	       1       95        4        0       90
12412 libxkbfile-dev                     	       1      109      107        1        0
12413 libxml-xql-perl                    	       1       15       14        0        0
12414 libxmlrpc-core-c3                  	       1       90        2        0       87
12415 libxmlrpc-epi0t64                  	       1        2        0        0        1
12416 libxmmsclient6                     	       1      100        3        0       96
12417 libxmp4                            	       1       61        0        0       60
12418 libxneur                           	       1        2        0        0        1
12419 libxosd-dev                        	       1        5        4        0        0
12420 libxosd2                           	       1       62        1        0       60
12421 libxp6                             	       1       24        0        0       23
12422 libxres-dev                        	       1       75       73        1        0
12423 libxtables-dev                     	       1       12       11        0        0
12424 libxtrxll0t64                      	       1        6        5        0        0
12425 libxtst-dev                        	       1      345      334       10        0
12426 libyaml-cpp-dev                    	       1       25       24        0        0
12427 libykclient3                       	       1        4        0        0        3
12428 libyubihsm2                        	       1        3        0        0        2
12429 libz-mingw-w64-dev                 	       1       12       11        0        0
12430 libzbar0                           	       1     2822        0        0     2821
12431 libzfs6linux                       	       1        2        0        0        1
12432 libzip4t64                         	       1       32        3        0       28
12433 libzipios++0v5                     	       1      155        2        0      152
12434 libzita-resampler1                 	       1      150        0        0      149
12435 lie                                	       1        3        2        0        0
12436 liferea                            	       1       46       45        0        0
12437 liferea-data                       	       1       49        1        0       47
12438 lighttpd-mod-webdav                	       1        5        4        0        0
12439 lilypond                           	       1       71       66        4        0
12440 lilyterm                           	       1        2        1        0        0
12441 lincity-ng                         	       1       16       15        0        0
12442 lingot                             	       1       17       16        0        0
12443 linguist-qt6                       	       1       76       64       11        0
12444 link-grammar                       	       1        3        2        0        0
12445 linssid                            	       1       30       28        1        0
12446 linux-headers-3.16.0-4-amd64       	       1       19       18        0        0
12447 linux-headers-3.16.0-4-common      	       1       23       22        0        0
12448 linux-headers-3.2.0-4-amd64        	       1       14       12        0        1
12449 linux-headers-3.2.0-4-common       	       1       16       14        0        1
12450 linux-headers-4.19.0-0.bpo.8-amd64 	       1        1        0        0        0
12451 linux-headers-4.19.0-0.bpo.8-common	       1        2        1        0        0
12452 linux-headers-4.19.0-0.bpo.9-amd64 	       1        2        1        0        0
12453 linux-headers-4.19.0-0.bpo.9-common	       1        2        1        0        0
12454 linux-headers-4.19.0-8-common      	       1        4        3        0        0
12455 linux-headers-4.9.0-12-amd64       	       1       14       13        0        0
12456 linux-headers-4.9.0-12-common      	       1       16       15        0        0
12457 linux-headers-4.9.227-vs2.3.9.12-beng	       1        5        4        0        0
12458 linux-headers-5.10.0-34-amd64      	       1        6        0        5        0
12459 linux-headers-5.10.0-34-common     	       1        6        0        5        0
12460 linux-headers-6.1.0-0.deb11.11-amd64	       1        3        2        0        0
12461 linux-headers-6.1.0-0.deb11.11-common	       1        3        2        0        0
12462 linux-headers-6.1.0-0.deb11.13-amd64	       1        5        4        0        0
12463 linux-headers-6.1.0-0.deb11.13-common	       1        5        4        0        0
12464 linux-headers-6.1.0-0.deb11.17-amd64	       1        5        4        0        0
12465 linux-headers-6.1.0-0.deb11.17-common	       1        5        4        0        0
12466 linux-headers-6.1.0-0.deb11.21-amd64	       1        4        3        0        0
12467 linux-headers-6.1.0-0.deb11.21-common	       1        4        3        0        0
12468 linux-headers-6.1.0-11-amd64       	       1       21       20        0        0
12469 linux-headers-6.1.0-11-common      	       1       21       20        0        0
12470 linux-headers-6.1.0-17-amd64       	       1       45       44        0        0
12471 linux-headers-6.1.0-17-common      	       1       47       46        0        0
12472 linux-headers-6.1.0-20-amd64       	       1       24       23        0        0
12473 linux-headers-6.1.0-20-common      	       1       24       23        0        0
12474 linux-headers-6.1.0-22-amd64       	       1       50       48        0        1
12475 linux-headers-6.1.0-22-common      	       1       53       51        0        1
12476 linux-headers-6.1.0-23-amd64       	       1      106      105        0        0
12477 linux-headers-6.1.0-23-common      	       1      109      108        0        0
12478 linux-headers-6.1.0-25-common      	       1      133      131        0        1
12479 linux-headers-6.1.0-27-amd64       	       1      110      109        0        0
12480 linux-headers-6.1.0-27-common      	       1      112      111        0        0
12481 linux-headers-6.11.10+bpo-amd64    	       1       10        9        0        0
12482 linux-headers-6.11.2-amd64         	       1        6        5        0        0
12483 linux-headers-6.11.2-common        	       1        6        5        0        0
12484 linux-headers-6.12.10-amd64        	       1        4        3        0        0
12485 linux-headers-6.12.10-common       	       1        4        3        0        0
12486 linux-headers-6.12.13-amd64        	       1        2        1        0        0
12487 linux-headers-6.12.13-common       	       1        2        1        0        0
12488 linux-headers-6.12.9+bpo-amd64     	       1       19       17        1        0
12489 linux-headers-6.12.9+bpo-common    	       1       19       17        1        0
12490 linux-headers-6.5.0-0.deb12.4-amd64	       1        6        5        0        0
12491 linux-headers-6.5.0-0.deb12.4-common	       1        6        5        0        0
12492 linux-headers-6.9.7+bpo-amd64      	       1        7        6        0        0
12493 linux-headers-6.9.7+bpo-common     	       1        7        6        0        0
12494 linux-image-3.16.0-4-amd64         	       1       63       60        1        1
12495 linux-image-3.16.0-5-amd64         	       1        9        8        0        0
12496 linux-image-3.16.0-6-amd64         	       1       23       22        0        0
12497 linux-image-3.2.0-5-amd64          	       1        2        1        0        0
12498 linux-image-4.18.0-0.bpo.1-cloud-amd64	       1        1        0        0        0
12499 linux-image-4.19.0-0.bpo.8-amd64   	       1        2        0        0        1
12500 linux-image-4.19.0-0.bpo.9-amd64   	       1        3        2        0        0
12501 linux-image-4.19.0-0.bpo.9-cloud-amd64	       1        1        0        0        0
12502 linux-image-4.19.0-22-amd64        	       1       26       25        0        0
12503 linux-image-4.19.0-24-amd64        	       1       27       26        0        0
12504 linux-image-4.19.0-26-amd64        	       1       60       59        0        0
12505 linux-image-4.19.0-9-amd64         	       1       53       52        0        0
12506 linux-image-4.9.0-0.bpo.12-amd64   	       1        1        0        0        0
12507 linux-image-4.9.0-13-amd64         	       1       24       21        0        2
12508 linux-image-4.9.0-15-amd64         	       1       21       18        0        2
12509 linux-image-4.9.0-16-amd64         	       1       24       22        0        1
12510 linux-image-4.9.0-17-amd64         	       1       16       15        0        0
12511 linux-image-4.9.0-18-amd64         	       1       27       25        0        1
12512 linux-image-4.9.0-6-amd64          	       1       69       65        0        3
12513 linux-image-4.9.0-7-amd64          	       1       14       13        0        0
12514 linux-image-4.9.0-9-amd64          	       1       16       14        0        1
12515 linux-image-5.10.0-0.bpo.12-amd64  	       1        4        3        0        0
12516 linux-image-5.10.0-0.deb10.16-amd64	       1        5        4        0        0
12517 linux-image-5.10.0-11-amd64        	       1       37       35        0        1
12518 linux-image-5.10.0-13-amd64        	       1       29       26        0        2
12519 linux-image-5.10.0-14-amd64-unsigned	       1        1        0        0        0
12520 linux-image-5.10.0-24-amd64        	       1       23       22        0        0
12521 linux-image-5.10.0-26-amd64        	       1      116      114        0        1
12522 linux-image-5.10.0-27-amd64        	       1       64       62        0        1
12523 linux-image-5.10.0-32-amd64        	       1      155      152        0        2
12524 linux-image-5.10.0-33-cloud-amd64  	       1        1        0        0        0
12525 linux-image-5.10.0-34-cloud-amd64  	       1        1        0        0        0
12526 linux-image-5.10.113               	       1        1        0        0        0
12527 linux-image-5.16.0-5-amd64         	       1        3        2        0        0
12528 linux-image-5.4.0-0.bpo.4-amd64-unsigned	       1        2        1        0        0
12529 linux-image-5.5.0-0.bpo.2-amd64    	       1        1        0        0        0
12530 linux-image-6.1.0-0.deb11.11-amd64 	       1        5        4        0        0
12531 linux-image-6.1.0-0.deb11.13-amd64 	       1        7        6        0        0
12532 linux-image-6.1.0-13-amd64         	       1      108      105        0        2
12533 linux-image-6.1.0-18-amd64         	       1      168      166        0        1
12534 linux-image-6.1.0-31-cloud-amd64   	       1        2        0        1        0
12535 linux-image-6.1.0-32-cloud-amd64   	       1        1        0        0        0
12536 linux-image-6.1.0-6-amd64          	       1        8        7        0        0
12537 linux-image-6.10.6-amd64           	       1       18       16        0        1
12538 linux-image-6.10.7-amd64           	       1        9        8        0        0
12539 linux-image-6.10.9-amd64           	       1       26       25        0        0
12540 linux-image-6.11.10+bpo-amd64-unsigned	       1        1        0        0        0
12541 linux-image-6.11.10-amd64          	       1       33       32        0        0
12542 linux-image-6.11.5+bpo-amd64       	       1       18       17        0        0
12543 linux-image-6.11.9-amd64           	       1       15       14        0        0
12544 linux-image-6.12.10-amd64-unsigned 	       1        2        1        0        0
12545 linux-image-6.12.11-amd64-unsigned 	       1        1        0        0        0
12546 linux-image-6.12.12-amd64-unsigned 	       1        1        0        0        0
12547 linux-image-6.12.16-amd64          	       1        6        2        3        0
12548 linux-image-6.12.17-amd64-unsigned 	       1        1        0        0        0
12549 linux-image-6.12.3-amd64           	       1        5        4        0        0
12550 linux-image-6.12.5-amd64-unsigned  	       1        1        0        0        0
12551 linux-image-6.12.6-amd64           	       1       30       28        1        0
12552 linux-image-6.12.6-amd64-unsigned  	       1        2        0        0        1
12553 linux-image-6.12.6-kirkwood-tld-1  	       1        1        0        0        0
12554 linux-image-6.12.8-amd64           	       1        4        3        0        0
12555 linux-image-6.12.9+bpo-amd64-unsigned	       1        1        0        0        0
12556 linux-image-6.13.5-xanmod1         	       1        1        0        0        0
12557 linux-image-6.13.7-julises         	       1        1        0        0        0
12558 linux-image-6.5.0-0.deb12.4-amd64  	       1        5        4        0        0
12559 linux-image-6.6.0                  	       1        2        1        0        0
12560 linux-image-6.6.13+bpo-amd64       	       1        5        4        0        0
12561 linux-image-6.6.15-amd64           	       1        8        7        0        0
12562 linux-image-6.6.58                 	       1        1        0        0        0
12563 linux-image-6.7.9-amd64            	       1       20       19        0        0
12564 linux-image-6.9.10-amd64           	       1        7        6        0        0
12565 linux-image-6.9.11-amd64           	       1        1        0        0        0
12566 linux-image-6.9.7+bpo-amd64        	       1       11       10        0        0
12567 linux-image-6.9.7-amd64            	       1        6        5        0        0
12568 linux-libc-dev-amd64-cross         	       1        4        3        0        0
12569 linux-libc-dev-arm64-cross         	       1       36       34        1        0
12570 linuxdoc-tools                     	       1       24       21        2        0
12571 linuxinfo                          	       1       16       15        0        0
12572 linuxvnc                           	       1        6        5        0        0
12573 lios                               	       1       12        9        2        0
12574 liquidctl                          	       1        3        2        0        0
12575 liquidsoap                         	       1        3        2        0        0
12576 listadmin                          	       1        1        0        0        0
12577 litecli                            	       1        3        1        1        0
12578 litecoind                          	       1        4        3        0        0
12579 live-config                        	       1      153      150        2        0
12580 live-config-sysvinit               	       1      163      153        8        1
12581 live-usb-maker                     	       1        7        1        5        0
12582 lldb                               	       1       14       11        2        0
12583 lldb-14                            	       1       10        9        0        0
12584 llvm-13                            	       1       31       30        0        0
12585 llvm-13-runtime                    	       1       31       30        0        0
12586 llvm-13-tools                      	       1       30       29        0        0
12587 llvm-15-linker-tools               	       1       55       54        0        0
12588 llvm-16                            	       1       46       45        0        0
12589 llvm-16-runtime                    	       1       46       45        0        0
12590 llvm-16-tools                      	       1       45       44        0        0
12591 llvm-18-dev                        	       1       10        9        0        0
12592 llvm-9                             	       1       46       45        0        0
12593 llvm-9-runtime                     	       1       47       46        0        0
12594 llvm-9-tools                       	       1       45       44        0        0
12595 lmms                               	       1       53       51        1        0
12596 lnav                               	       1       25       24        0        0
12597 loadwatch                          	       1        3        2        0        0
12598 localsend                          	       1       12        0        0       11
12599 logapp                             	       1        1        0        0        0
12600 logdigest                          	       1        2        1        0        0
12601 logitechmediaserver                	       1        3        2        0        0
12602 lomoco                             	       1        4        3        0        0
12603 lookup                             	       1        5        4        0        0
12604 lsb-core                           	       1        9        8        0        0
12605 lsb-invalid-mta                    	       1        4        1        0        2
12606 lsmount                            	       1        5        4        0        0
12607 lsp-plugins-ladspa                 	       1       26       24        0        1
12608 lsyncd                             	       1        7        6        0        0
12609 ltunify                            	       1        5        4        0        0
12610 lua-dbi-sqlite3                    	       1        4        0        0        3
12611 lua-event                          	       1       15        0        0       14
12612 lua5.3                             	       1       48       47        0        0
12613 luckybackup                        	       1       17       16        0        0
12614 luckyluks                          	       1        5        4        0        0
12615 lunzip                             	       1       10        8        1        0
12616 lv                                 	       1       52       48        3        0
12617 lvm2-dbusd                         	       1        3        2        0        0
12618 lvm2-lockd                         	       1        4        3        0        0
12619 lwatch                             	       1        6        5        0        0
12620 lxctl                              	       1       17       16        0        0
12621 lxde-common                        	       1      206        3        0      202
12622 lxde-settings-daemon               	       1      217      196       20        0
12623 lxlauncher                         	       1      145      127       17        0
12624 lxmusic                            	       1       64       63        0        0
12625 lxsession-edit                     	       1      189      169       19        0
12626 lyrionmusicserver                  	       1        2        1        0        0
12627 lzd                                	       1        7        5        1        0
12628 lzma-alone                         	       1       14       13        0        0
12629 m2vrequantiser                     	       1        4        3        0        0
12630 mac-robber                         	       1       24       23        0        0
12631 macchanger                         	       1       37       36        0        0
12632 macfanctld                         	       1        5        4        0        0
12633 macutils                           	       1        7        6        0        0
12634 madbomber                          	       1        6        5        0        0
12635 magicrescue                        	       1       36       35        0        0
12636 mail-expire                        	       1        4        3        0        0
12637 mailagent                          	       1        5        4        0        0
12638 mailcheck                          	       1        4        3        0        0
12639 maildirsync                        	       1        1        0        0        0
12640 mailman                            	       1        2        1        0        0
12641 mailman3                           	       1        2        1        0        0
12642 mailutils-mda                      	       1        2        1        0        0
12643 make-guile                         	       1       10        9        0        0
12644 makefs                             	       1        9        8        0        0
12645 makehuman                          	       1        2        1        0        0
12646 makemkv-bin                        	       1        5        3        1        0
12647 makemkv-oss                        	       1        5        3        1        0
12648 makepatch                          	       1       14       12        1        0
12649 makeself                           	       1       19       18        0        0
12650 mame                               	       1       46       45        0        0
12651 man2html                           	       1       24       21        2        0
12652 man2html-base                      	       1       29       26        2        0
12653 mandoc                             	       1       12       11        0        0
12654 mangohud                           	       1       23       22        0        0
12655 mantis                             	       1        1        0        0        0
12656 mapserver-bin                      	       1        8        7        0        0
12657 mariadb-backup                     	       1       15       11        3        0
12658 mariadb-server-10.3                	       1       36       35        0        0
12659 mariadb-server-compat              	       1        8        5        2        0
12660 markdown                           	       1       77       75        1        0
12661 maskprocessor                      	       1       22       21        0        0
12662 mason                              	       1        1        0        0        0
12663 matchbox-keyboard                  	       1        6        5        0        0
12664 mate-applet-appmenu                	       1       23       20        2        0
12665 mate-calc-common                   	       1      524        1        0      522
12666 mate-control-center-common         	       1      528        8        0      519
12667 mate-optimus                       	       1        4        3        0        0
12668 mate-polkit-bin                    	       1        9        8        0        0
12669 mate-sntray-plugin                 	       1        6        5        0        0
12670 mate-user-admin                    	       1       14        8        5        0
12671 matekbd-keyboard-display           	       1        3        0        2        0
12672 mathopd                            	       1        1        0        0        0
12673 matrix-synapse                     	       1        4        3        0        0
12674 matrix-synapse-ldap3               	       1        4        3        0        0
12675 matroxset                          	       1        2        1        0        0
12676 mazeofgalious                      	       1        6        5        0        0
12677 mbmon                              	       1        8        7        0        0
12678 mbpfan                             	       1        7        6        0        0
12679 mcelog                             	       1       16       15        0        0
12680 mdbtools-gmdb                      	       1        4        3        0        0
12681 mdf2iso                            	       1       17       16        0        0
12682 media-downloader                   	       1        4        2        1        0
12683 mednafen                           	       1       32       31        0        0
12684 mednaffe                           	       1       29       28        0        0
12685 medusa                             	       1       25       24        0        0
12686 megaraid-storage-manager           	       1        4        3        0        0
12687 memdump                            	       1       24       23        0        0
12688 mencal                             	       1        4        3        0        0
12689 menumaker                          	       1        1        0        0        0
12690 mercurial-git                      	       1        5        4        0        0
12691 mergerfs                           	       1        9        7        1        0
12692 mesa-vdpau-drivers                 	       1     3274        3        0     3270
12693 meshlab                            	       1       29       27        1        0
12694 metacam                            	       1       30       29        0        0
12695 metis                              	       1        2        1        0        0
12696 mfc9332cdwlpr                      	       1        2        1        0        0
12697 mfc9340cdwlpr                      	       1        1        0        0        0
12698 mfc9970cdwlpr                      	       1        5        4        0        0
12699 mfcuk                              	       1       22       21        0        0
12700 mfoc                               	       1       23       22        0        0
12701 mhddfs                             	       1        6        5        0        0
12702 mhonarc                            	       1       11       10        0        0
12703 micro-inetd                        	       1        1        0        0        0
12704 midish                             	       1        6        5        0        0
12705 milkytracker                       	       1       28       27        0        0
12706 minetest                           	       1       52       50        1        0
12707 minetest-server                    	       1       11       10        0        0
12708 mingw-w64-common                   	       1       48       47        0        0
12709 mingw-w64-i686-dev                 	       1       40       39        0        0
12710 mingw-w64-x86-64-dev               	       1       45       44        0        0
12711 mini-httpd                         	       1        2        1        0        0
12712 minidisc-utils                     	       1        7        5        1        0
12713 minilzip                           	       1        5        3        1        0
12714 minisign                           	       1        9        8        0        0
12715 minitube                           	       1       15       14        0        0
12716 miniupnpc                          	       1       20       19        0        0
12717 missidentify                       	       1       22       21        0        0
12718 mixmaster                          	       1        4        3        0        0
12719 mixxx                              	       1       38       37        0        0
12720 mjpegtools-gtk                     	       1       24       23        0        0
12721 mkcert                             	       1        8        7        0        0
12722 mktorrent                          	       1       17       16        0        0
12723 mktrayicon                         	       1        7        6        0        0
12724 mldonkey-server                    	       1        4        3        0        0
12725 mmm-mode                           	       1        8        7        0        0
12726 moarvm                             	       1       11       10        0        0
12727 mod-pagespeed-beta                 	       1        1        0        0        0
12728 modsecurity-crs                    	       1       17       16        0        0
12729 molequeue                          	       1       12       11        0        0
12730 molotov                            	       1        1        0        0        0
12731 monero-tests                       	       1        2        1        0        0
12732 mongodb-database-tools             	       1       15       13        1        0
12733 mongodb-mongosh                    	       1        8        4        3        0
12734 mongodb-server-core                	       1        1        0        0        0
12735 monitorix                          	       1       10        9        0        0
12736 mono-4.0-service                   	       1       54       51        2        0
12737 mono-csharp-shell                  	       1       92       88        3        0
12738 mono-jay                           	       1        6        5        0        0
12739 mono-roslyn                        	       1       21       20        0        0
12740 monodevelop                        	       1        9        8        0        0
12741 monodoc-http                       	       1       55       53        1        0
12742 morse2ascii                        	       1       14       13        0        0
12743 mousetweaks                        	       1       45       44        0        0
12744 mozplugger                         	       1        8        7        0        0
12745 mp3diags                           	       1       22       21        0        0
12746 mp3info                            	       1       64       62        1        0
12747 mp3info-gtk                        	       1       15       14        0        0
12748 mp3rename                          	       1       19       17        1        0
12749 mp3report                          	       1        8        7        0        0
12750 mpeg3-utils                        	       1        9        8        0        0
12751 mpegdemux                          	       1        5        4        0        0
12752 mplayer2                           	       1       29       28        0        0
12753 mpollux-digisign-client-for-vrk    	       1        1        0        0        0
12754 mpop                               	       1        5        4        0        0
12755 mrtg-contrib                       	       1        3        2        0        0
12756 msbuild                            	       1       23       22        0        0
12757 msn-pecan                          	       1        1        0        0        0
12758 msodbcsql17                        	       1        7        6        0        0
12759 msrtool                            	       1        2        1        0        0
12760 mt-st                              	       1       10        9        0        0
12761 mtail                              	       1        2        1        0        0
12762 mtink                              	       1        8        7        0        0
12763 mtkbabel                           	       1        6        5        0        0
12764 mtpolicyd                          	       1        1        0        0        0
12765 mullvad-browser                    	       1        6        4        1        0
12766 multiboot                          	       1       16       15        0        0
12767 multimon                           	       1        8        7        0        0
12768 multistrap                         	       1       13       12        0        0
12769 munin-plugins-c                    	       1        1        0        0        0
12770 musepack-tools                     	       1       36       34        1        0
12771 musl-dev                           	       1       18       17        0        0
12772 mutt-wizard                        	       1        1        0        0        0
12773 mutter                             	       1       30       28        1        0
12774 muttprint                          	       1       15       14        0        0
12775 muttprofile                        	       1        3        2        0        0
12776 mx-cleanup                         	       1        8        2        5        0
12777 mx-live-usb-maker                  	       1        7        1        5        0
12778 mx-locale                          	       1        7        1        5        0
12779 mx-tools                           	       1        8        2        5        0
12780 mycli                              	       1       14       12        1        0
12781 mydumper                           	       1        8        7        0        0
12782 myoffice-standard-home-edition     	       1        1        0        0        0
12783 mypaint                            	       1       33       31        1        0
12784 myrepos                            	       1       15       14        0        0
12785 myspell-fr                         	       1        8        7        0        0
12786 mysql-client-5.5                   	       1        4        3        0        0
12787 mysql-community-client             	       1        3        2        0        0
12788 mysql-community-server             	       1        3        2        0        0
12789 mysql-server-core-5.5              	       1        8        7        0        0
12790 mysql-server-core-8.0              	       1        3        1        1        0
12791 mysql-utilities                    	       1        3        2        0        0
12792 mysqltuner                         	       1       11       10        0        0
12793 mytharchive                        	       1        2        1        0        0
12794 mytharchive-data                   	       1        2        1        0        0
12795 mythtv-transcode                   	       1        5        3        1        0
12796 mz                                 	       1        1        0        0        0
12797 mzclient                           	       1        2        1        0        0
12798 nagios-plugins-contrib             	       1       14        4        0        9
12799 nagios3-cgi                        	       1        3        2        0        0
12800 nagios4-cgi                        	       1        2        1        0        0
12801 nagios4-core                       	       1        2        1        0        0
12802 nama                               	       1        2        1        0        0
12803 nas                                	       1        5        4        0        0
12804 nasty                              	       1       24       23        0        0
12805 nat-traverse                       	       1        1        0        0        0
12806 nautilus-data                      	       1      231        9        1      220
12807 nauty                              	       1       12       11        0        0
12808 navit-gui-gtk                      	       1       10        9        0        0
12809 navit-gui-internal                 	       1       10        8        1        0
12810 nbc                                	       1        2        1        0        0
12811 ncaptool                           	       1        5        4        0        0
12812 ncdt                               	       1        6        5        0        0
12813 ncmpc                              	       1       27       26        0        0
12814 ndpi                               	       1        1        0        0        0
12815 nec                                	       1        1        0        0        0
12816 nemiver                            	       1        7        6        0        0
12817 neo4j                              	       1        2        1        0        0
12818 neochat                            	       1        6        5        0        0
12819 neovim-runtime                     	       1      130        1        1      127
12820 nerd-fonts-fira-code               	       1        1        0        0        0
12821 nessusagent                        	       1       10        9        0        0
12822 net.downloadhelper.coapp           	       1      104       55        0       48
12823 netapplet                          	       1        1        0        0        0
12824 netback                            	       1        1        0        0        0
12825 netbird                            	       1        2        1        0        0
12826 netcat6                            	       1        9        8        0        0
12827 netdata-core                       	       1        4        3        0        0
12828 netdata-plugins-bash               	       1        4        3        0        0
12829 nethack-qt                         	       1        4        3        0        0
12830 netmask                            	       1        7        6        0        0
12831 netpipes                           	       1        1        0        0        0
12832 netplan                            	       1        4        3        0        0
12833 netrw                              	       1        4        3        0        0
12834 netsed                             	       1        3        2        0        0
12835 netsend                            	       1        3        2        0        0
12836 netsniff-ng                        	       1       11       10        0        0
12837 netstat-nat                        	       1       19       18        0        0
12838 nettoe                             	       1       14       13        0        0
12839 netwag                             	       1        6        5        0        0
12840 network-manager-fortisslvpn-gnome  	       1       37       35        1        0
12841 netwox                             	       1        9        8        0        0
12842 neverball                          	       1       25       24        0        0
12843 nextcloud-desktop-cmd              	       1       22       21        0        0
12844 nexuiz                             	       1       16       15        0        0
12845 nfoview                            	       1        7        6        0        0
12846 nfs4-acl-tools                     	       1       27       26        0        0
12847 nfstrace                           	       1       14       13        0        0
12848 nginx-common                       	       1      161      148       11        1
12849 ngrok                              	       1        2        0        1        0
12850 nicstat                            	       1        7        6        0        0
12851 nictools-pci                       	       1       10        9        0        0
12852 nik4                               	       1        3        2        0        0
12853 nikto                              	       1        5        4        0        0
12854 nitrokey-app                       	       1        7        6        0        0
12855 nkf                                	       1       40       37        2        0
12856 nlohmann-json3-dev                 	       1       21        0        0       20
12857 nncp                               	       1        2        1        0        0
12858 node-abbrev                        	       1      215        5        0      209
12859 node-ansi                          	       1       39        5        0       33
12860 node-ansi-align                    	       1       13        5        0        7
12861 node-ansi-regex                    	       1      215        5        0      209
12862 node-ansi-styles                   	       1      215        5        0      209
12863 node-ansistyles                    	       1       37        5        0       31
12864 node-aproba                        	       1      197        5        0      191
12865 node-archy                         	       1      209        5        0      203
12866 node-assert-plus                   	       1       68        5        0       62
12867 node-asynckit                      	       1       72        5        0       66
12868 node-aws-sign2                     	       1       64        6        0       57
12869 node-aws4                          	       1       64        6        0       57
12870 node-balanced-match                	       1      239        6        0      232
12871 node-bcrypt-pbkdf                  	       1       65        5        0       59
12872 node-bluebird                      	       1       12        5        0        6
12873 node-boxen                         	       1       12        5        0        6
12874 node-brace-expansion               	       1      239        6        0      232
12875 node-builtin-modules               	       1       40        5        0       34
12876 node-builtins                      	       1      191        5        0      185
12877 node-call-limit                    	       1       12        5        0        6
12878 node-camelcase                     	       1      190        5        0      184
12879 node-caseless                      	       1       64        6        0       57
12880 node-cbor                          	       1        3        2        0        0
12881 node-chalk                         	       1      211        5        0      205
12882 node-chownr                        	       1      210        5        0      204
12883 node-cli-boxes                     	       1      161        5        0      155
12884 node-cliui                         	       1      188        5        0      182
12885 node-clone                         	       1      215        5        0      209
12886 node-co                            	       1       17        6        0       10
12887 node-color-convert                 	       1      215        5        0      209
12888 node-color-name                    	       1      215        5        0      209
12889 node-combined-stream               	       1       72        5        0       66
12890 node-concat-map                    	       1       37        6        0       30
12891 node-concat-stream                 	       1      177        5        0      171
12892 node-config-chain                  	       1       16        5        0       10
12893 node-copy-concurrently             	       1      190        5        0      184
12894 node-cross-spawn                   	       1       11       10        0        0
12895 node-cyclist                       	       1       12        5        0        6
12896 node-dashdash                      	       1       65        5        0       59
12897 node-decamelize                    	       1      188        5        0      182
12898 node-decompress-response           	       1      170        5        0      164
12899 node-deep-extend                   	       1       13        5        0        7
12900 node-defaults                      	       1      215        5        0      209
12901 node-delayed-stream                	       1       72        5        0       66
12902 node-delegates                     	       1      197        5        0      191
12903 node-detect-indent                 	       1       38        5        0       32
12904 node-detect-newline                	       1       16        5        0       10
12905 node-duplexer3                     	       1       13        6        0        6
12906 node-duplexify                     	       1       40        6        0       33
12907 node-ecc-jsbn                      	       1       65        5        0       59
12908 node-editor                        	       1       12        5        0        6
12909 node-encoding                      	       1      209        5        0      203
12910 node-errno                         	       1      177        5        0      171
12911 node-execa                         	       1       35       14        0       20
12912 node-extend                        	       1       67       29        0       37
12913 node-extsprintf                    	       1       69        6        0       62
12914 node-find-up                       	       1      188        5        0      182
12915 node-forever-agent                 	       1       64        5        0       58
12916 node-form-data                     	       1       72        5        0       66
12917 node-from2                         	       1       12        7        0        4
12918 node-fs-vacuum                     	       1       11        5        0        5
12919 node-fs.realpath                   	       1      221       31        0      189
12920 node-gauge                         	       1      197       29        0      167
12921 node-get-stream                    	       1      171        5        0      165
12922 node-getpass                       	       1       65       30        0       34
12923 node-glob                          	       1      221        6        0      214
12924 node-got                           	       1      170        5        0      164
12925 node-graceful-fs                   	       1      217        5        0      211
12926 node-grunt-cli                     	       1        2        1        0        0
12927 node-har-schema                    	       1       64        6        0       57
12928 node-har-validator                 	       1       64        6        0       57
12929 node-has-flag                      	       1      211        5        0      205
12930 node-has-unicode                   	       1      197       28        0      168
12931 node-http-signature                	       1       64        5        0       58
12932 node-iconv-lite                    	       1      215        5        0      209
12933 node-iferr                         	       1      190       28        0      161
12934 node-import-lazy                   	       1       12        6        0        5
12935 node-imurmurhash                   	       1      209       28        0      180
12936 node-inflight                      	       1      221       31        0      189
12937 node-inherits                      	       1      223        7        0      215
12938 node-ini                           	       1      209        6        0      202
12939 node-inquirer                      	       1       29       28        0        0
12940 node-invert-kv                     	       1       13        5        0        7
12941 node-is-npm                        	       1       12        6        0        5
12942 node-is-object                     	       1       16        6        0        9
12943 node-is-stream                     	       1      187        5        0      181
12944 node-is-typedarray                 	       1      210        6        0      203
12945 node-isarray                       	       1      215        5        0      209
12946 node-isexe                         	       1      214        6        0      207
12947 node-isstream                      	       1       64       29        0       34
12948 node-isurl                         	       1       12        5        0        6
12949 node-jsbn                          	       1       68       28        0       39
12950 node-jschardet                     	       1       34       33        0        0
12951 node-json-schema                   	       1      211        6        0      204
12952 node-jsonify                       	       1      211       29        0      181
12953 node-jsonparse                     	       1      210        5        0      204
12954 node-jsprim                        	       1       67        5        0       61
12955 node-latest-version                	       1       12        5        0        6
12956 node-lazy-property                 	       1       12        6        0        5
12957 node-lcid                          	       1       13        5        0        7
12958 node-lcov-parse                    	       1      152      148        3        0
12959 node-less                          	       1       18       17        0        0
12960 node-libnpx                        	       1       11        9        0        1
12961 node-locate-path                   	       1      188        5        0      182
12962 node-lockfile                      	       1       38        5        0       32
12963 node-lowercase-keys                	       1      170        5        0      164
12964 node-lru-cache                     	       1      216        5        0      210
12965 node-mem                           	       1       14        7        0        6
12966 node-mime-types                    	       1      210        5        0      204
12967 node-mimic-fn                      	       1       36        5        0       30
12968 node-mimic-response                	       1      171        5        0      165
12969 node-minimatch                     	       1      239        6        0      232
12970 node-minimist                      	       1      190        5        0      184
12971 node-mississippi                   	       1       12        7        0        4
12972 node-move-concurrently             	       1      190       28        0      161
12973 node-mute-stream                   	       1      208        5        0      202
12974 node-npmlog                        	       1      197        5        0      191
12975 node-oauth-sign                    	       1       64        5        0       58
12976 node-object-assign                 	       1      211        5        0      205
12977 node-once                          	       1      222       31        0      190
12978 node-os-locale                     	       1       12        5        0        6
12979 node-osenv                         	       1      196       28        0      167
12980 node-p-cancelable                  	       1      171        5        0      165
12981 node-p-finally                     	       1       15        6        0        8
12982 node-p-limit                       	       1      188        5        0      182
12983 node-p-locate                      	       1      188        5        0      182
12984 node-p-timeout                     	       1       14        6        0        7
12985 node-package-json                  	       1       12        7        0        4
12986 node-parallel-transform            	       1       12        6        0        5
12987 node-path-exists                   	       1      188        5        0      182
12988 node-performance-now               	       1       64       29        0       34
12989 node-prepend-http                  	       1       38        5        0       32
12990 node-promise-inflight              	       1      191       28        0      162
12991 node-promzard                      	       1      190       28        0      161
12992 node-proto-list                    	       1       17        7        0        9
12993 node-prr                           	       1      177        7        0      169
12994 node-pump                          	       1      171        5        0      165
12995 node-pumpify                       	       1       39        5        0       33
12996 node-punycode                      	       1      212        6        0      205
12997 node-qs                            	       1       67        5        0       61
12998 node-qw                            	       1       12        7        0        4
12999 node-rc                            	       1       13        5        0        7
13000 node-read                          	       1      208        5        0      202
13001 node-registry-url                  	       1       12        6        0        5
13002 node-request                       	       1       61        6        0       54
13003 node-require-directory             	       1      188        7        0      180
13004 node-resolve-from                  	       1      209        6        0      202
13005 node-retry                         	       1      206        5        0      200
13006 node-run-queue                     	       1      190        5        0      184
13007 node-safe-buffer                   	       1      219        6        0      212
13008 node-semver-diff                   	       1       12        5        0        6
13009 node-set-blocking                  	       1      198       28        0      169
13010 node-sha                           	       1       13        5        0        7
13011 node-shebang-command               	       1      186        6        0      179
13012 node-shebang-regex                 	       1      186        4        0      181
13013 node-signal-exit                   	       1      214        5        0      208
13014 node-slash                         	       1      210        5        0      204
13015 node-slide                         	       1       14        7        0        6
13016 node-sorted-object                 	       1       12        7        0        4
13017 node-spdx-correct                  	       1      210        5        0      204
13018 node-ssri                          	       1      205        5        0      199
13019 node-stream-each                   	       1       12        5        0        6
13020 node-stream-iterate                	       1       12        7        0        4
13021 node-stream-shift                  	       1       40        6        0       33
13022 node-string-decoder                	       1      215        5        0      209
13023 node-string-width                  	       1      215        5        0      209
13024 node-strip-ansi                    	       1      215        5        0      209
13025 node-strip-eof                     	       1       35        7        0       27
13026 node-supports-color                	       1      211        5        0      205
13027 node-tar                           	       1      196        6        0      189
13028 node-term-size                     	       1       12        7        0        4
13029 node-text-table                    	       1      197       28        0      168
13030 node-through                       	       1      209        6        0      202
13031 node-through2                      	       1       41        5        0       35
13032 node-timed-out                     	       1       12        5        0        6
13033 node-tough-cookie                  	       1       68        6        0       61
13034 node-tunnel-agent                  	       1       64        5        0       58
13035 node-tweetnacl                     	       1       65        5        0       59
13036 node-typedarray                    	       1      177        7        0      169
13037 node-uid-number                    	       1       12        5        0        6
13038 node-unique-filename               	       1      190        5        0      184
13039 node-unpipe                        	       1       16        9        0        6
13040 node-util-deprecate                	       1      216       29        0      186
13041 node-uuid                          	       1      209        6        0      202
13042 node-verror                        	       1       68       30        0       37
13043 node-wcwidth.js                    	       1      215       28        0      186
13044 node-which-module                  	       1       12        7        0        4
13045 node-wide-align                    	       1      213        5        0      207
13046 node-widest-line                   	       1      161        5        0      155
13047 node-wrap-ansi                     	       1      188        5        0      182
13048 node-wrappy                        	       1      222       32        0      189
13049 node-xdg-basedir                   	       1       12        7        0        4
13050 node-xtend                         	       1      310        5        0      304
13051 node-yallist                       	       1      216        5        0      210
13052 node-yargs-parser                  	       1      188        5        0      182
13053 noderig                            	       1        2        1        0        0
13054 noflushd                           	       1        1        0        0        0
13055 noip2                              	       1        1        0        0        0
13056 normaliz-bin                       	       1       12       11        0        0
13057 notepadqq-gtk                      	       1        1        0        0        0
13058 notion                             	       1        6        4        1        0
13059 notus-scanner                      	       1        1        0        0        0
13060 novena-eeprom                      	       1        1        0        0        0
13061 nqp                                	       1       11       10        0        0
13062 nsd                                	       1        6        5        0        0
13063 nss-passwords                      	       1        5        4        0        0
13064 nted                               	       1       17       15        1        0
13065 ntfs-config                        	       1        3        2        0        0
13066 ntopng                             	       1        4        3        0        0
13067 ntrack-module-libnl-0              	       1       59       58        0        0
13068 nuitka                             	       1        6        5        0        0
13069 num-utils                          	       1        3        2        0        0
13070 numad                              	       1        3        2        0        0
13071 numix-gtk-theme                    	       1       49        6        0       42
13072 numptyphysics                      	       1       20       19        0        0
13073 nut-snmp                           	       1        6        5        0        0
13074 nvidia-container-toolkit-base      	       1        5        3        1        0
13075 nvidia-cuda-dev                    	       1       20       18        1        0
13076 nvidia-cuda-mps                    	       1       16       14        1        0
13077 nvidia-fs-dkms                     	       1        2        1        0        0
13078 nvidia-legacy-340xx-kernel-dkms    	       1        8        7        0        0
13079 nvidia-legacy-340xx-kernel-support 	       1        8        3        0        4
13080 nvidia-legacy-340xx-smi            	       1        5        4        0        0
13081 nvidia-legacy-390xx-driver-bin     	       1       12       11        0        0
13082 nvidia-legacy-390xx-kernel-dkms    	       1       13       12        0        0
13083 nvidia-legacy-390xx-vdpau-driver   	       1       13        0        0       12
13084 nvidia-libopencl1                  	       1        7        1        0        5
13085 nvidia-powerd                      	       1        4        3        0        0
13086 nvidia-settings-legacy-340xx       	       1        5        4        0        0
13087 nvidia-tesla-470-driver-bin        	       1       15       13        1        0
13088 nvidia-tesla-470-vdpau-driver      	       1       16        0        0       15
13089 nvidia-tesla-kernel-dkms           	       1        1        0        0        0
13090 nvidia-vaapi-driver                	       1        9        0        0        8
13091 nvptx-tools                        	       1        5        4        0        0
13092 nvram-wakeup                       	       1        3        2        0        0
13093 nvramtool                          	       1        7        6        0        0
13094 nvtv                               	       1        2        1        0        0
13095 nyx                                	       1       33       32        0        0
13096 nzbget                             	       1        4        3        0        0
13097 o-saft                             	       1       22       21        0        0
13098 oar-common                         	       1        1        0        0        0
13099 oar-server                         	       1        1        0        0        0
13100 oar-user                           	       1        1        0        0        0
13101 oar-web-status                     	       1        1        0        0        0
13102 obex-data-server                   	       1       18       17        0        0
13103 obexftp                            	       1       17       16        0        0
13104 obfs4proxy                         	       1       43       40        2        0
13105 obs-plugins                        	       1      153        2        2      148
13106 obs-utils                          	       1        5        4        0        0
13107 ocaml                              	       1       59       58        0        0
13108 ocaml-base                         	       1       75       72        1        1
13109 ocfs2-tools                        	       1        3        2        0        0
13110 ogmrip                             	       1       11       10        0        0
13111 ogmtools                           	       1       27       26        0        0
13112 oinkmaster                         	       1       12       11        0        0
13113 okteta                             	       1       72       67        4        0
13114 okular-mobile                      	       1       11       10        0        0
13115 olive-editor                       	       1       13       12        0        0
13116 omadac                             	       1        1        0        0        0
13117 omniidl                            	       1        3        2        0        0
13118 onedrive                           	       1        8        6        1        0
13119 onedriver                          	       1        2        1        0        0
13120 onesixtyone                        	       1        3        2        0        0
13121 onetime                            	       1        1        0        0        0
13122 onlykey                            	       1        1        0        0        0
13123 onlyoffice-desktopeditors          	       1       13       11        1        0
13124 ooo2dbk                            	       1        3        2        0        0
13125 oops                               	       1        1        0        0        0
13126 opam                               	       1       16       15        0        0
13127 openafs-dbserver                   	       1        1        0        0        0
13128 openafs-fileserver                 	       1        1        0        0        0
13129 opencachemanager                   	       1        1        0        0        0
13130 opencity                           	       1        9        8        0        0
13131 opencl-c-headers                   	       1       71       69        1        0
13132 openctm-tools                      	       1        2        1        0        0
13133 opencubicplayer                    	       1       27       25        1        0
13134 openfortigui                       	       1        4        3        0        0
13135 openjdk-11-jre                     	       1      671        4        0      666
13136 openjdk-21-jre                     	       1       87        2        0       84
13137 openjdk-8-jdk-headless             	       1       44        0        0       43
13138 openmotif                          	       1        1        0        0        0
13139 openobex-apps                      	       1       13       12        0        0
13140 openoffice                         	       1       14        2        0       11
13141 openoffice-calc                    	       1       13        2        0       10
13142 openoffice-core01                  	       1       14        2        0       11
13143 openoffice-core02                  	       1       14        2        0       11
13144 openoffice-core03                  	       1       14        2        0       11
13145 openoffice-core04                  	       1       14        2        0       11
13146 openoffice-core05                  	       1       14        2        0       11
13147 openoffice-onlineupdate            	       1       11        2        0        8
13148 openoffice-ure                     	       1       14        2        0       11
13149 openoffice-writer                  	       1       13        1        0       11
13150 openoffice.org-common              	       1        5        3        1        0
13151 openpaperwork-core                 	       1       11       10        0        0
13152 openpaperwork-gtk                  	       1       11       10        0        0
13153 openpgp-applet                     	       1        1        0        0        0
13154 openrazer-daemon                   	       1        2        1        0        0
13155 openscad-nightly                   	       1        3        2        0        0
13156 opensm                             	       1        1        0        0        0
13157 opensmtpd                          	       1        6        5        0        0
13158 openttd                            	       1       50       49        0        0
13159 openvas                            	       1        2        0        0        1
13160 openvas-scanner                    	       1        2        1        0        0
13161 openvswitch-common                 	       1        4        3        0        0
13162 openwsman                          	       1        1        0        0        0
13163 opera-beta                         	       1        4        2        1        0
13164 ophcrack                           	       1       12       11        0        0
13165 ophcrack-cli                       	       1       23       22        0        0
13166 oping                              	       1       10        9        0        0
13167 opus-tools                         	       1       95       89        5        0
13168 ora2pg                             	       1        4        3        0        0
13169 oracle-instantclient18.5-basiclite 	       1        1        0        0        0
13170 oracle-instantclient18.5-odbc      	       1        1        0        0        0
13171 oracle-instantclient18.5-sqlplus   	       1        1        0        0        0
13172 oracle-j2re1.7                     	       1        3        2        0        0
13173 orthanc                            	       1        2        1        0        0
13174 osm2pgrouting                      	       1        3        1        1        0
13175 osm2pgsql                          	       1        9        7        1        0
13176 osmo-sdr                           	       1        7        6        0        0
13177 osmosis                            	       1        6        5        0        0
13178 ospd-openvas                       	       1        1        0        0        0
13179 ostinato                           	       1        3        2        0        0
13180 otf-thai-tlwg                      	       1        1        0        0        0
13181 otpclient-cli                      	       1        7        6        0        0
13182 otrs2                              	       1        1        0        0        0
13183 otter-browser                      	       1        3        2        0        0
13184 ovh-rtm-binaries                   	       1        2        1        0        0
13185 pachi                              	       1        2        1        0        0
13186 packeth                            	       1        9        8        0        0
13187 packetsender                       	       1        8        7        0        0
13188 pacman                             	       1       22       20        1        0
13189 pacvim                             	       1        5        4        0        0
13190 padre                              	       1        1        0        0        0
13191 pads                               	       1        3        2        0        0
13192 page-crunch                        	       1       10        8        1        0
13193 pamtester                          	       1        7        6        0        0
13194 pamu2fcfg                          	       1        8        6        1        0
13195 pan                                	       1       19       18        0        0
13196 pantum                             	       1        2        1        0        0
13197 papers                             	       1        2        1        0        0
13198 paperwork-backend                  	       1       13       12        0        0
13199 paperwork-gtk                      	       1       13       12        0        0
13200 paprefs                            	       1       54       53        0        0
13201 par                                	       1       13       12        0        0
13202 parchive                           	       1       11       10        0        0
13203 pari-doc                           	       1       17       15        1        0
13204 pari-gp                            	       1       19       17        1        0
13205 parley                             	       1       50       48        1        0
13206 partclone                          	       1       59       56        2        0
13207 partimage                          	       1       44       43        0        0
13208 pasco                              	       1       22       21        0        0
13209 passwordsafe                       	       1       23       22        0        0
13210 patator                            	       1       21       20        0        0
13211 patchelf                           	       1       33       31        1        0
13212 patool                             	       1       19       17        1        0
13213 pax-utils                          	       1        9        8        0        0
13214 pcc                                	       1        5        4        0        0
13215 pcp                                	       1        6        5        0        0
13216 pcre2-utils                        	       1        7        5        1        0
13217 pcredz                             	       1        1        0        0        0
13218 pd                                 	       1        2        1        0        0
13219 pdebuild-cross                     	       1        1        0        0        0
13220 pdf2svg                            	       1       27       24        2        0
13221 pdfmod                             	       1       22       21        0        0
13222 pdfsam-basic                       	       1        6        5        0        0
13223 pdksh                              	       1        5        4        0        0
13224 pdns-backend-sqlite3               	       1        2        0        0        1
13225 pdnsd                              	       1        4        3        0        0
13226 pdsh                               	       1        4        3        0        0
13227 pekwm                              	       1       11       10        0        0
13228 penguins-eggs                      	       1        9        0        8        0
13229 peony                              	       1        5        4        0        0
13230 pepper                             	       1        3        2        0        0
13231 percona-server-client-5.7          	       1        3        2        0        0
13232 percona-server-server-5.7          	       1        3        2        0        0
13233 perforate                          	       1        5        4        0        0
13234 perlconsole                        	       1        5        4        0        0
13235 perlindex                          	       1        3        2        0        0
13236 perltidier                         	       1        2        1        0        0
13237 perltidy                           	       1       42       41        0        0
13238 persepolis                         	       1        4        3        0        0
13239 pfring                             	       1        1        0        0        0
13240 pg-activity                        	       1        6        5        0        0
13241 pg-auto-failover-cli               	       1        1        0        0        0
13242 pgagent                            	       1       25       22        2        0
13243 pgbadger                           	       1        5        4        0        0
13244 pgcharts                           	       1        1        0        0        0
13245 pgdbf                              	       1        8        6        1        0
13246 pgformatter                        	       1        8        6        1        0
13247 pgloader                           	       1        8        7        0        0
13248 pgmodeler                          	       1        8        7        0        0
13249 pgpgpg                             	       1       13       12        0        0
13250 pgreplay                           	       1        4        3        0        0
13251 pgstat                             	       1        3        2        0        0
13252 phonon-backend-gstreamer           	       1       39        0        0       38
13253 photopc                            	       1        6        5        0        0
13254 php-auth                           	       1        3        2        0        0
13255 php-cache                          	       1        1        0        0        0
13256 php-console-table                  	       1        3        2        0        0
13257 php-ds                             	       1        2        0        0        1
13258 php-file                           	       1        2        1        0        0
13259 php-gettext                        	       1       20       19        0        0
13260 php-http-request                   	       1        1        0        0        0
13261 php-icinga                         	       1        6        5        0        0
13262 php-kolab                          	       1        1        0        0        0
13263 php-kolabformat                    	       1        1        0        0        0
13264 php-log                            	       1        4        3        0        0
13265 php-mail                           	       1       13       12        0        0
13266 php-mail-mimedecode                	       1        1        0        0        0
13267 php-mapscript-ng                   	       1        3        2        0        0
13268 php-mdb2-driver-mysql              	       1        5        4        0        0
13269 php-mythtv                         	       1        3        1        1        0
13270 php-net-dime                       	       1        2        1        0        0
13271 php-net-ftp                        	       1        4        3        0        0
13272 php-net-imap                       	       1        4        3        0        0
13273 php-pclzip                         	       1        6        5        0        0
13274 php-random-compat                  	       1        6        5        0        0
13275 php-sass                           	       1        1        0        0        0
13276 php-seclib                         	       1        9        8        0        0
13277 php-services-weather               	       1        1        0        0        0
13278 php-smbclient                      	       1        3        1        0        1
13279 php-symfony-polyfill-mbstring      	       1        3        2        0        0
13280 php-tideways                       	       1        2        0        0        1
13281 php-uploadprogress                 	       1        5        3        0        1
13282 php-xml-parser                     	       1        3        2        0        0
13283 php-xml-serializer                 	       1        2        1        0        0
13284 php5-geoip                         	       1        1        0        0        0
13285 php5-memcache                      	       1        1        0        0        0
13286 php5-recode                        	       1        2        1        0        0
13287 php5-rrd                           	       1        1        0        0        0
13288 php5.6-apcu                        	       1        3        2        0        0
13289 php5.6-bz2                         	       1        2        1        0        0
13290 php5.6-cgi                         	       1        5        4        0        0
13291 php5.6-dev                         	       1        1        0        0        0
13292 php5.6-fpm                         	       1        5        4        0        0
13293 php5.6-imap                        	       1        4        3        0        0
13294 php5.6-sybase                      	       1        3        2        0        0
13295 php5.6-xdebug                      	       1        1        0        0        0
13296 php7-mapi                          	       1        1        0        0        0
13297 php7.0-apcu                        	       1        4        3        0        0
13298 php7.0-gmp                         	       1        1        0        0        0
13299 php7.0-odbc                        	       1        1        0        0        0
13300 php7.0-recode                      	       1        4        3        0        0
13301 php7.0-snmp                        	       1        1        0        0        0
13302 php7.0-xmlrpc                      	       1        7        6        0        0
13303 php7.1-apcu                        	       1        2        1        0        0
13304 php7.1-bcmath                      	       1        1        0        0        0
13305 php7.1-intl                        	       1        1        0        0        0
13306 php7.1-mysql                       	       1        4        3        0        0
13307 php7.1-zip                         	       1        2        1        0        0
13308 php7.2-apcu                        	       1        2        1        0        0
13309 php7.2-cli                         	       1        5        4        0        0
13310 php7.2-common                      	       1        6        5        0        0
13311 php7.2-json                        	       1        5        4        0        0
13312 php7.2-opcache                     	       1        5        4        0        0
13313 php7.2-readline                    	       1        5        4        0        0
13314 php7.3-cgi                         	       1       10        9        0        0
13315 php7.3-dba                         	       1        1        0        0        0
13316 php7.3-odbc                        	       1        1        0        0        0
13317 php7.3-phpdbg                      	       1        4        3        0        0
13318 php7.3-recode                      	       1        4        3        0        0
13319 php7.3-redis                       	       1        2        1        0        0
13320 php7.3-snmp                        	       1        1        0        0        0
13321 php7.3-tidy                        	       1        4        3        0        0
13322 php7.4-apcu-bc                     	       1        3        2        0        0
13323 php7.4-cgi                         	       1       16       15        0        0
13324 php7.4-dev                         	       1        7        6        0        0
13325 php7.4-geoip                       	       1        1        0        0        0
13326 php7.4-odbc                        	       1        3        2        0        0
13327 php7.4-xdebug                      	       1        1        0        0        0
13328 php7.4-yaml                        	       1        3        2        0        0
13329 php8.0-igbinary                    	       1        4        3        0        0
13330 php8.0-imap                        	       1        7        6        0        0
13331 php8.0-ldap                        	       1        1        0        0        0
13332 php8.0-pgsql                       	       1        2        1        0        0
13333 php8.0-redis                       	       1        3        2        0        0
13334 php8.1-bcmath                      	       1        7        6        0        0
13335 php8.1-fpm                         	       1        7        6        0        0
13336 php8.1-gmp                         	       1        7        6        0        0
13337 php8.1-igbinary                    	       1        4        3        0        0
13338 php8.1-lz4                         	       1        1        0        0        0
13339 php8.1-mcrypt                      	       1        1        0        0        0
13340 php8.1-memcache                    	       1        2        1        0        0
13341 php8.1-memcached                   	       1        2        1        0        0
13342 php8.1-msgpack                     	       1        2        1        0        0
13343 php8.1-oauth                       	       1        1        0        0        0
13344 php8.1-pgsql                       	       1        5        4        0        0
13345 php8.1-pspell                      	       1        6        5        0        0
13346 php8.1-snmp                        	       1        3        2        0        0
13347 php8.1-sqlite3                     	       1        7        6        0        0
13348 php8.1-yaml                        	       1        2        1        0        0
13349 php8.2-oauth                       	       1        1        0        0        0
13350 php8.2-raphf                       	       1        6        5        0        0
13351 php8.2-snmp                        	       1        4        3        0        0
13352 php8.2-ssh2                        	       1        3        2        0        0
13353 php8.2-uploadprogress              	       1        5        4        0        0
13354 php8.2-zmq                         	       1        1        0        0        0
13355 php8.3-fpm                         	       1        4        3        0        0
13356 php8.3-igbinary                    	       1        2        1        0        0
13357 php8.3-imap                        	       1        5        4        0        0
13358 php8.3-pspell                      	       1        1        0        0        0
13359 php8.3-redis                       	       1        2        1        0        0
13360 php8.3-soap                        	       1        2        1        0        0
13361 php8.3-sqlite3                     	       1        1        0        0        0
13362 php8.3-ssh2                        	       1        1        0        0        0
13363 php8.3-yaml                        	       1        1        0        0        0
13364 php8.4-enchant                     	       1        1        0        0        0
13365 php8.4-fpm                         	       1        1        0        0        0
13366 php8.4-gnupg                       	       1        1        0        0        0
13367 php8.4-http                        	       1        1        0        0        0
13368 php8.4-igbinary                    	       1        4        3        0        0
13369 php8.4-imap                        	       1        1        0        0        0
13370 php8.4-ldap                        	       1        1        0        0        0
13371 php8.4-mailparse                   	       1        1        0        0        0
13372 php8.4-mcrypt                      	       1        2        1        0        0
13373 php8.4-memcache                    	       1        2        1        0        0
13374 php8.4-oauth                       	       1        1        0        0        0
13375 php8.4-pgsql                       	       1        2        0        1        0
13376 php8.4-ps                          	       1        1        0        0        0
13377 php8.4-raphf                       	       1        1        0        0        0
13378 php8.4-redis                       	       1        4        3        0        0
13379 php8.4-smbclient                   	       1        1        0        0        0
13380 php8.4-snmp                        	       1        1        0        0        0
13381 php8.4-sqlite3                     	       1        2        0        1        0
13382 php8.4-yaml                        	       1        2        1        0        0
13383 phpldapadmin                       	       1        3        2        0        0
13384 phppgadmin                         	       1        6        5        0        0
13385 phpsysinfo                         	       1        3        2        0        0
13386 pidgin-blinklight                  	       1        5        4        0        0
13387 pidgin-dev                         	       1        5        4        0        0
13388 pidgin-encryption                  	       1        8        7        0        0
13389 pidgin-festival                    	       1        6        5        0        0
13390 pidgin-lastfm                      	       1        3        2        0        0
13391 pidgin-libnotify                   	       1        4        3        0        0
13392 pidgin-librvp                      	       1        5        0        0        4
13393 pidgin-mra                         	       1        4        3        0        0
13394 pidgin-mra-dbg                     	       1        2        1        0        0
13395 pidgin-nateon                      	       1        4        0        0        3
13396 pidgin-nateon-dbg                  	       1        2        1        0        0
13397 pidgin-opensteamworks              	       1        1        0        0        0
13398 pidgin-skype-dbg                   	       1        1        0        0        0
13399 pilot                              	       1        3        2        0        0
13400 pilot-link                         	       1        6        5        0        0
13401 pinball                            	       1       18       17        0        0
13402 pinentry-tty                       	       1       32       31        0        0
13403 pinfo                              	       1       28       26        1        0
13404 pipebench                          	       1       23       22        0        0
13405 pipemeter                          	       1        5        4        0        0
13406 pipenv                             	       1       18       17        0        0
13407 pisg                               	       1        1        0        0        0
13408 pius                               	       1        2        1        0        0
13409 pkg-kde-tools                      	       1       76       75        0        0
13410 pkg-php-tools                      	       1       38       36        1        0
13411 pkgdiff                            	       1        5        4        0        0
13412 planets                            	       1        9        8        0        0
13413 planner                            	       1       28       27        0        0
13414 plasma-dataengines-addons          	       1      499       17        1      480
13415 plasma-dataengines-workspace       	       1        4        3        0        0
13416 plasma-sdk                         	       1        7        6        0        0
13417 plasma-settings                    	       1        2        1        0        0
13418 plasma-widget-folderview           	       1        4        3        0        0
13419 plasma-widget-lancelot             	       1        3        2        0        0
13420 plasma-widgets-workspace           	       1        4        3        0        0
13421 playerctl                          	       1       20       19        0        0
13422 playmidi                           	       1       16       15        0        0
13423 plotutils                          	       1        8        7        0        0
13424 pmacct                             	       1        2        1        0        0
13425 pmidi                              	       1       19       18        0        0
13426 pngcrush                           	       1       51       50        0        0
13427 pngmeta                            	       1       10        9        0        0
13428 pngnq                              	       1       13       12        0        0
13429 pnp4nagios-bin                     	       1        1        0        0        0
13430 pnp4nagios-web                     	       1        1        0        0        0
13431 pocketsphinx                       	       1       13       12        0        0
13432 podman-docker                      	       1        6        4        1        0
13433 polenum                            	       1       22       21        0        0
13434 policyd-weight                     	       1        1        0        0        0
13435 policyrcd-script-zg2               	       1        2        1        0        0
13436 polkit-kde-1                       	       1       11        2        0        8
13437 polychromatic-common               	       1        2        1        0        0
13438 polychromatic-tray-applet          	       1        2        1        0        0
13439 polyglot                           	       1       12       11        0        0
13440 ponyprog                           	       1        5        4        0        0
13441 portaudio19-dev                    	       1       46       45        0        0
13442 portsentry                         	       1        3        2        0        0
13443 poster                             	       1       54       49        4        0
13444 postfix-cdb                        	       1        6        5        0        0
13445 postfix-gld                        	       1        1        0        0        0
13446 postfixadmin                       	       1        3        2        0        0
13447 postgrespro-1c-13-client           	       1        1        0        0        0
13448 postgrespro-1c-13-server           	       1        1        0        0        0
13449 postgresql-11-postgis-2.5          	       1        4        3        0        0
13450 postgresql-8.3                     	       1        1        0        0        0
13451 postgresql-8.4                     	       1        2        1        0        0
13452 postgresql-client-10               	       1        5        4        0        0
13453 postgresql-client-12               	       1        8        7        0        0
13454 postgresql-client-9.1              	       1        5        4        0        0
13455 postgresql-client-9.2              	       1        1        0        0        0
13456 postgresql-client-9.5              	       1        1        0        0        0
13457 postgresql-comparator              	       1        6        5        0        0
13458 postgresql-contrib-9.4             	       1        8        7        0        0
13459 postgresql-server-dev-11           	       1        3        2        0        0
13460 postgresql-server-dev-13           	       1        9        8        0        0
13461 postgresql-server-dev-17           	       1        1        0        0        0
13462 postsrsd                           	       1        1        0        0        0
13463 povray                             	       1       30       29        0        0
13464 powerline                          	       1       17       16        0        0
13465 powerpanel                         	       1        5        4        0        0
13466 powershield                        	       1        1        0        0        0
13467 powertweak-extra                   	       1        1        0        0        0
13468 powertweakd                        	       1        1        0        0        0
13469 pps-tools                          	       1       11       10        0        0
13470 ppthtml                            	       1        2        1        0        0
13471 pqueue                             	       1        1        0        0        0
13472 pragha                             	       1       10        8        1        0
13473 prayer                             	       1        2        1        0        0
13474 prayer-accountd                    	       1        2        1        0        0
13475 prelink                            	       1       10        9        0        0
13476 prettyping                         	       1        6        5        0        0
13477 price.app                          	       1        7        6        0        0
13478 privbind                           	       1        1        0        0        0
13479 procenv                            	       1        3        2        0        0
13480 procmail-wrapper                   	       1        1        0        0        0
13481 procmeter3                         	       1        6        5        0        0
13482 profanity                          	       1       12       11        0        0
13483 proguard-cli                       	       1       30       29        0        0
13484 projectm-pulseaudio                	       1       13       11        1        0
13485 prometheus                         	       1        4        3        0        0
13486 prometheus-process-exporter        	       1        1        0        0        0
13487 proot                              	       1        9        8        0        0
13488 protobuf-c-compiler                	       1       23       22        0        0
13489 protobuf-compiler                  	       1       66       61        4        0
13490 proton-vpn-gtk-app                 	       1       15       11        3        0
13491 protontricks                       	       1       19       18        0        0
13492 prove6                             	       1        9        8        0        0
13493 proxytunnel                        	       1        3        2        0        0
13494 prusa-slicer                       	       1       16       15        0        0
13495 ps-watcher                         	       1        1        0        0        0
13496 psensor                            	       1       75       72        2        0
13497 psensor-server                     	       1        4        3        0        0
13498 psgml                              	       1        7        6        0        0
13499 psi-notify                         	       1        1        0        0        0
13500 psi-plus                           	       1       15       14        0        0
13501 pslist                             	       1        5        4        0        0
13502 pstotext                           	       1       12       11        0        0
13503 psurface                           	       1        1        0        0        0
13504 ptunnel-ng                         	       1        1        0        0        0
13505 puddletag                          	       1       24       22        1        0
13506 pulseaudio-esound-compat           	       1        3        2        0        0
13507 pulseaudio-module-gconf            	       1       12       11        0        0
13508 puppet                             	       1       21       13        0        7
13509 pure-ftpd-mysql                    	       1       13       12        0        0
13510 purple-xmpp-http-upload            	       1        2        0        0        1
13511 pwget                              	       1        5        4        0        0
13512 px                                 	       1       10        9        0        0
13513 pxe                                	       1        1        0        0        0
13514 pxz                                	       1        8        7        0        0
13515 pybind11-dev                       	       1       61       59        1        0
13516 pychecker                          	       1        2        1        0        0
13517 pycodestyle                        	       1       51       50        0        0
13518 pyflakes3                          	       1       62       61        0        0
13519 pymol                              	       1        9        8        0        0
13520 pypy                               	       1       13       12        0        0
13521 pypy-lib                           	       1       13       12        0        0
13522 pysiogame                          	       1       12       11        0        0
13523 python-adns                        	       1        5        4        0        0
13524 python-aiml                        	       1        2        1        0        0
13525 python-atomicwrites                	       1        9        8        0        0
13526 python-attr                        	       1       37       36        0        0
13527 python-automat                     	       1       21       20        0        0
13528 python-avahi                       	       1        6        5        0        0
13529 python-backports-abc               	       1        6        5        0        0
13530 python-binplist                    	       1        1        0        0        0
13531 python-bson                        	       1        6        5        0        0
13532 python-bson-ext                    	       1        5        4        0        0
13533 python-bzrlib                      	       1       13       12        0        0
13534 python-certifi                     	       1       43       42        0        0
13535 python-cffi                        	       1       19       18        0        0
13536 python-cliapp                      	       1        3        2        0        0
13537 python-concurrent.futures          	       1       15       14        0        0
13538 python-configobj                   	       1       28       27        0        0
13539 python-croniter                    	       1        2        1        0        0
13540 python-defusedxml                  	       1       72       70        1        0
13541 python-distorm3                    	       1        5        4        0        0
13542 python-ecdsa                       	       1        4        3        0        0
13543 python-egenix-mxtools              	       1       33       31        1        0
13544 python-elib.intl                   	       1        2        1        0        0
13545 python-entrypoints                 	       1       29       28        0        0
13546 python-et-xmlfile                  	       1        6        5        0        0
13547 python-fasteners                   	       1       11       10        0        0
13548 python-funcsigs                    	       1       28       27        0        0
13549 python-future                      	       1       17       16        0        0
13550 python-gamin                       	       1        2        1        0        0
13551 python-gnupg                       	       1        3        2        0        0
13552 python-gridfs                      	       1        5        4        0        0
13553 python-gst0.10                     	       1        6        5        0        0
13554 python-gtk2                        	       1      195        4        0      190
13555 python-gvgen                       	       1        1        0        0        0
13556 python-hiredis                     	       1        1        0        0        0
13557 python-inotifyx                    	       1        2        1        0        0
13558 python-jinja2                      	       1       28       27        0        0
13559 python-joblib                      	       1        2        1        0        0
13560 python-kaa-base                    	       1        3        2        0        0
13561 python-kaa-imlib2                  	       1        2        1        0        0
13562 python-kaa-metadata                	       1        3        2        0        0
13563 python-launchpadlib                	       1        8        7        0        0
13564 python-lazr.restfulclient          	       1        9        8        0        0
13565 python-lazr.uri                    	       1        9        8        0        0
13566 python-libpcap                     	       1        1        0        0        0
13567 python-libsmbios                   	       1        1        0        0        0
13568 python-lockfile                    	       1       16       15        0        0
13569 python-logsparser                  	       1        1        0        0        0
13570 python-m2crypto                    	       1        5        4        0        0
13571 python-macaron                     	       1        1        0        0        0
13572 python-magic                       	       1       13       12        0        0
13573 python-markdown                    	       1       16       15        0        0
13574 python-markupsafe                  	       1       43       42        0        0
13575 python-mate-menu                   	       1       65       62        2        0
13576 python-meld3                       	       1        2        1        0        0
13577 python-moinmoin                    	       1        2        1        0        0
13578 python-monotonic                   	       1       12       11        0        0
13579 python-more-itertools              	       1        9        8        0        0
13580 python-msgpack                     	       1       22       21        0        0
13581 python-mygpoclient                 	       1        1        0        0        0
13582 python-ndg-httpsclient             	       1        8        7        0        0
13583 python-nose                        	       1       15       14        0        0
13584 python-ntdb                        	       1       35       34        0        0
13585 python-nut                         	       1        6        5        0        0
13586 python-nwsclient                   	       1        1        0        0        0
13587 python-nwsserver                   	       1        1        0        0        0
13588 python-oauth                       	       1        6        5        0        0
13589 python-ogg                         	       1       10        9        0        0
13590 python-olefile                     	       1       67       65        1        0
13591 python-ooolib                      	       1        1        0        0        0
13592 python-openid                      	       1        4        3        0        0
13593 python-openpyxl                    	       1        7        5        0        1
13594 python-pam                         	       1       22       21        0        0
13595 python-pathlib2                    	       1       14       13        0        0
13596 python-pdfminer                    	       1        2        1        0        0
13597 python-pip                         	       1       42       40        1        0
13598 python-pluggy                      	       1        9        8        0        0
13599 python-ply                         	       1       34       33        0        0
13600 python-progressbar                 	       1        1        0        0        0
13601 python-psutil                      	       1       17       15        0        1
13602 python-py                          	       1       11       10        0        0
13603 python-pyasn1-modules              	       1       35       34        0        0
13604 python-pycparser                   	       1       22       21        0        0
13605 python-pydhcplib                   	       1        1        0        0        0
13606 python-pymad                       	       1        4        3        0        0
13607 python-pymetar                     	       1        1        0        0        0
13608 python-pymongo                     	       1        6        5        0        0
13609 python-pymongo-ext                 	       1        5        4        0        0
13610 python-pytest                      	       1       10        9        0        0
13611 python-pyvorbis                    	       1       10        9        0        0
13612 python-pyxmpp                      	       1        2        1        0        0
13613 python-radix                       	       1        1        0        0        0
13614 python-redis                       	       1        2        1        0        0
13615 python-renderpm                    	       1       37       34        1        1
13616 python-reportlab                   	       1       38       35        1        1
13617 python-reportlab-accel             	       1       38       35        1        1
13618 python-rrdtool                     	       1        1        0        0        0
13619 python-scandir                     	       1       14       13        0        0
13620 python-scgi                        	       1        3        2        0        0
13621 python-scour                       	       1       45       44        0        0
13622 python-serial                      	       1       29       27        1        0
13623 python-singledispatch              	       1       10        9        0        0
13624 python-sip                         	       1       58       57        0        0
13625 python-smartpm                     	       1        2        1        0        0
13626 python-smbus                       	       1        2        1        0        0
13627 python-soappy                      	       1       68       66        1        0
13628 python-sortedcontainers            	       1        2        1        0        0
13629 python-sqlite                      	       1        7        6        0        0
13630 python-systemd                     	       1        1        0        0        0
13631 python-tornado                     	       1        6        5        0        0
13632 python-ttystatus                   	       1        3        2        0        0
13633 python-twisted-bin                 	       1       32       31        0        0
13634 python-unbound                     	       1        2        1        0        0
13635 python-unicodecsv                  	       1        2        1        0        0
13636 python-uno                         	       1        1        0        0        0
13637 python-wadllib                     	       1        9        8        0        0
13638 python-xappy                       	       1        2        1        0        0
13639 python-xlrd                        	       1       10        9        0        0
13640 python-yara                        	       1        5        4        0        0
13641 python-zmq                         	       1        8        7        0        0
13642 python-zope.browser                	       1        1        0        0        0
13643 python-zope.component              	       1        5        4        0        0
13644 python-zope.configuration          	       1        1        0        0        0
13645 python-zope.contenttype            	       1        1        0        0        0
13646 python-zope.deprecation            	       1        1        0        0        0
13647 python-zope.event                  	       1        5        4        0        0
13648 python-zope.exceptions             	       1        1        0        0        0
13649 python-zope.hookable               	       1        5        4        0        0
13650 python-zope.i18n                   	       1        1        0        0        0
13651 python-zope.i18nmessageid          	       1        1        0        0        0
13652 python-zope.location               	       1        1        0        0        0
13653 python-zope.proxy                  	       1        1        0        0        0
13654 python-zope.publisher              	       1        1        0        0        0
13655 python-zope.schema                 	       1        1        0        0        0
13656 python-zope.security               	       1        1        0        0        0
13657 python-zope.testbrowser            	       1        1        0        0        0
13658 python3-aeidon                     	       1       60       58        1        0
13659 python3-aiohappyeyeballs           	       1       15       10        4        0
13660 python3-aiosignal                  	       1       95       90        4        0
13661 python3-alsaaudio                  	       1        8        7        0        0
13662 python3-argon2                     	       1       66       65        0        0
13663 python3-arrow                      	       1       49       45        3        0
13664 python3-asgiref                    	       1       99       96        2        0
13665 python3-async-generator            	       1       59       58        0        0
13666 python3-authlib                    	       1        1        0        0        0
13667 python3-avahi                      	       1       38       37        0        0
13668 python3-bareos                     	       1        2        1        0        0
13669 python3-bcj                        	       1       27       14       12        0
13670 python3-bidict                     	       1        8        7        0        0
13671 python3-bleak                      	       1        7        6        0        0
13672 python3-blessed                    	       1       23       22        0        0
13673 python3-bottle                     	       1       49       48        0        0
13674 python3-btchip                     	       1        2        1        0        0
13675 python3-cairocffi                  	       1       50       49        0        0
13676 python3-canmatrix                  	       1        3        1        1        0
13677 python3-canonicaljson              	       1        4        3        0        0
13678 python3-cclib                      	       1       38       36        1        0
13679 python3-certbot-dns-cloudflare     	       1        4        3        0        0
13680 python3-cheetah                    	       1        6        5        0        0
13681 python3-cheroot                    	       1       22       21        0        0
13682 python3-click-plugins              	       1       62       58        3        0
13683 python3-cloudflare                 	       1        5        4        0        0
13684 python3-comm                       	       1        9        8        0        0
13685 python3-commonmark                 	       1       27       26        0        0
13686 python3-contextlib2                	       1       40       38        1        0
13687 python3-crcmod                     	       1        8        7        0        0
13688 python3-dbus-fast                  	       1        7        5        1        0
13689 python3-debugpy                    	       1       70       69        0        0
13690 python3-demjson                    	       1        2        1        0        0
13691 python3-deprecated                 	       1      123      120        2        0
13692 python3-distributed                	       1       16       15        0        0
13693 python3-django-allauth             	       1        2        1        0        0
13694 python3-django-extensions          	       1        2        1        0        0
13695 python3-django-tagging             	       1        3        2        0        0
13696 python3-djangorestframework        	       1        2        1        0        0
13697 python3-dtfabric                   	       1       13       12        0        0
13698 python3-emoji                      	       1       15       14        0        0
13699 python3-enchant                    	       1      128      123        4        0
13700 python3-engineio                   	       1        8        7        0        0
13701 python3-escript                    	       1        1        0        0        0
13702 python3-et-xmlfile                 	       1      113      112        0        0
13703 python3-eventlet                   	       1       11       10        0        0
13704 python3-executing                  	       1      266      261        4        0
13705 python3-fastjsonschema             	       1      100       97        2        0
13706 python3-firewall                   	       1       55       51        3        0
13707 python3-flask-socketio             	       1        8        7        0        0
13708 python3-flufl.i18n                 	       1        2        1        0        0
13709 python3-flufl.lock                 	       1        2        1        0        0
13710 python3-fqdn                       	       1       22       17        4        0
13711 python3-freetype                   	       1       49       46        2        0
13712 python3-frozendict                 	       1       15       14        0        0
13713 python3-gbinder                    	       1        7        6        0        0
13714 python3-geneagrapher               	       1        3        2        0        0
13715 python3-germinate                  	       1        1        0        0        0
13716 python3-gmpy2                      	       1       18       16        1        0
13717 python3-google-auth                	       1       17       16        0        0
13718 python3-google-i18n-address        	       1        4        3        0        0
13719 python3-gps                        	       1        8        7        0        0
13720 python3-graphviz                   	       1       14       13        0        0
13721 python3-grpcio                     	       1       11       10        0        0
13722 python3-gtts-token                 	       1       12       11        0        0
13723 python3-humanize                   	       1       31       29        1        0
13724 python3-hypothesis                 	       1       57       51        5        0
13725 python3-icalendar                  	       1       30       28        1        0
13726 python3-ijson                      	       1        4        3        0        0
13727 python3-inflate64                  	       1       27       14       12        0
13728 python3-intervaltree               	       1       30       29        0        0
13729 python3-ipdb                       	       1        3        2        0        0
13730 python3-ipykernel                  	       1       82       81        0        0
13731 python3-ipywidgets                 	       1       55       53        1        0
13732 python3-isodate                    	       1       65       64        0        0
13733 python3-isoduration                	       1       21       17        3        0
13734 python3-json5                      	       1        9        8        0        0
13735 python3-jsonschema-specifications  	       1       50       46        3        0
13736 python3-jupyter-client             	       1       90       89        0        0
13737 python3-jupyter-console            	       1       27       26        0        0
13738 python3-jupyter-core               	       1      126      123        2        0
13739 python3-jupyter-server             	       1        6        5        0        0
13740 python3-jupyter-server-mathjax     	       1        2        1        0        0
13741 python3-jupyter-server-terminals   	       1        2        1        0        0
13742 python3-jupyter-sphinx-theme       	       1        8        7        0        0
13743 python3-jupyterlab-pygments        	       1       75       74        0        0
13744 python3-jupyterlab-server          	       1        5        4        0        0
13745 python3-keyring-pass               	       1        1        0        0        0
13746 python3-kopano                     	       1        1        0        0        0
13747 python3-kopano-search              	       1        1        0        0        0
13748 python3-kopano-utils               	       1        1        0        0        0
13749 python3-latexcodec                 	       1       13       12        0        0
13750 python3-launchpadlib               	       1       53       50        2        0
13751 python3-lazr.config                	       1        2        1        0        0
13752 python3-lazr.delegates             	       1        2        1        0        0
13753 python3-lazy-object-proxy          	       1       83       78        4        0
13754 python3-ldap3                      	       1       50       48        1        0
13755 python3-legacy-cgi                 	       1       27       11       15        0
13756 python3-libdiscid                  	       1       62       58        3        0
13757 python3-libnmap                    	       1        6        5        0        0
13758 python3-libsmbios                  	       1       17       15        1        0
13759 python3-libvoikko                  	       1       14       13        0        0
13760 python3-linux-procfs               	       1       10        8        1        0
13761 python3-locket                     	       1       21       20        0        0
13762 python3-logutils                   	       1       11       10        0        0
13763 python3-lunr                       	       1      121      118        2        0
13764 python3-lxml-html-clean            	       1       28       25        2        0
13765 python3-mapi                       	       1        1        0        0        0
13766 python3-mapproxy                   	       1        2        1        0        0
13767 python3-matrix-common              	       1        4        3        0        0
13768 python3-mido                       	       1        4        3        0        0
13769 python3-mimeparse                  	       1        7        6        0        0
13770 python3-mistune                    	       1       35       34        0        0
13771 python3-mpi4py                     	       1       21       20        0        0
13772 python3-mpltoolkits.basemap        	       1        3        2        0        0
13773 python3-multivolumefile            	       1       27       24        2        0
13774 python3-munkres                    	       1       37       36        0        0
13775 python3-mythtv                     	       1        6        3        2        0
13776 python3-nbconvert                  	       1       86       84        1        0
13777 python3-nbsphinx                   	       1        9        8        0        0
13778 python3-ndg-httpsclient            	       1        2        1        0        0
13779 python3-nest-asyncio               	       1       74       73        0        0
13780 python3-nftables                   	       1       49       45        3        0
13781 python3-numpydoc                   	       1       33       32        0        0
13782 python3-objgraph                   	       1        5        4        0        0
13783 python3-odf                        	       1      116      113        2        0
13784 python3-omemo-dr                   	       1       12       10        1        0
13785 python3-openshot                   	       1       86       83        2        0
13786 python3-outcome                    	       1       71       70        0        0
13787 python3-overrides                  	       1        2        1        0        0
13788 python3-patiencediff               	       1       47       41        5        0
13789 python3-peewee                     	       1       20       18        1        0
13790 python3-pefile                     	       1       35       33        1        0
13791 python3-periodictable              	       1        4        3        0        0
13792 python3-phonenumbers               	       1        4        3        0        0
13793 python3-plaso                      	       1       13       12        0        0
13794 python3-plastex                    	       1        3        2        0        0
13795 python3-pooch                      	       1       36       31        4        0
13796 python3-popcon                     	       1        1        0        0        0
13797 python3-poppler-qt5                	       1       25       22        2        0
13798 python3-precis-i18n                	       1       60       58        1        0
13799 python3-prettytable                	       1       25       23        1        0
13800 python3-proton-vpn-api-core        	       1       15       11        3        0
13801 python3-proton-vpn-local-agent     	       1       14       11        2        0
13802 python3-proton-vpn-network-manager 	       1       15       11        3        0
13803 python3-psutils                    	       1       12        7        4        0
13804 python3-psycopg3                   	       1        1        0        0        0
13805 python3-public                     	       1        4        3        0        0
13806 python3-pyasn                      	       1        4        3        0        0
13807 python3-pyasyncore                 	       1      126      116        9        0
13808 python3-pyaudio                    	       1       22       21        0        0
13809 python3-pybtex                     	       1       13       12        0        0
13810 python3-pybtex-docutils            	       1       10        9        0        0
13811 python3-pycriu                     	       1       13        7        5        0
13812 python3-pydantic                   	       1       34       33        0        0
13813 python3-pydevd                     	       1       66       65        0        0
13814 python3-pydot                      	       1       96       93        2        0
13815 python3-pyelftools                 	       1       25       24        0        0
13816 python3-pyfavicon                  	       1        3        2        0        0
13817 python3-pygccxml                   	       1       39       37        1        0
13818 python3-pyginac                    	       1        2        0        1        0
13819 python3-pyginac-dbgsym             	       1        2        0        1        0
13820 python3-pykka                      	       1       12       10        1        0
13821 python3-pymacaroons                	       1        4        3        0        0
13822 python3-pymoebinv                  	       1        2        0        1        0
13823 python3-pymoebinv-dbgsym           	       1        2        0        1        0
13824 python3-pymol                      	       1        9        8        0        0
13825 python3-pympler                    	       1        2        1        0        0
13826 python3-pymysql                    	       1       40       38        1        0
13827 python3-pynvim                     	       1      125      113       11        0
13828 python3-pyocr                      	       1       16       15        0        0
13829 python3-pyotp                      	       1        6        5        0        0
13830 python3-pypdf                      	       1       26       21        4        0
13831 python3-pyperclip                  	       1       56       55        0        0
13832 python3-pyphen                     	       1       13       12        0        0
13833 python3-pypillowfight              	       1       13       12        0        0
13834 python3-pyppmd                     	       1       27       14       12        0
13835 python3-pyqt5.qtremoteobjects      	       1      105      103        1        0
13836 python3-pyqt5.qtsensors            	       1      105      103        1        0
13837 python3-pyqt5.qttexttospeech       	       1      105      103        1        0
13838 python3-pyqt5.qtwebsockets         	       1      121      119        1        0
13839 python3-pyqt5.qtxmlpatterns        	       1      117      115        1        0
13840 python3-pyqt6.qtmultimedia         	       1       27       16       10        0
13841 python3-pyqt6.qttexttospeech       	       1       26       15       10        0
13842 python3-pyside6.qtcore             	       1        4        0        3        0
13843 python3-pyside6.qtgui              	       1        3        0        2        0
13844 python3-pyside6.qthelp             	       1        2        0        1        0
13845 python3-pyside6.qtprintsupport     	       1        2        0        1        0
13846 python3-pyside6.qtwidgets          	       1        3        0        2        0
13847 python3-pysmi                      	       1       65       61        3        0
13848 python3-pysol-cards                	       1        3        2        0        0
13849 python3-pythonjsonlogger           	       1        3        2        0        0
13850 python3-pytz                       	       1       39       23       15        0
13851 python3-pytz-deprecation-shim      	       1       36       34        1        0
13852 python3-pyx                        	       1        3        2        0        0
13853 python3-pyxb                       	       1        1        0        0        0
13854 python3-pyyaml-env-tag             	       1      120      118        1        0
13855 python3-pyzbar                     	       1        4        3        0        0
13856 python3-pyzstd                     	       1       27       14       12        0
13857 python3-q-text-as-data             	       1        5        4        0        0
13858 python3-qdarkstyle                 	       1       43       41        1        0
13859 python3-qgis-common                	       1       42        4        0       37
13860 python3-qtconsole                  	       1       35       33        1        0
13861 python3-qwt                        	       1        5        4        0        0
13862 python3-rcssmin                    	       1        2        1        0        0
13863 python3-recoll                     	       1       28       26        1        0
13864 python3-recommonmark               	       1       23       22        0        0
13865 python3-referencing                	       1       50       46        3        0
13866 python3-requests-oauthlib          	       1       33       29        3        0
13867 python3-rfc3339-validator          	       1       22       17        4        0
13868 python3-rfc3986-validator          	       1       21       17        3        0
13869 python3-rfc6555                    	       1        4        3        0        0
13870 python3-rjsmin                     	       1        4        3        0        0
13871 python3-rlpycairo                  	       1       46       43        2        0
13872 python3-routes                     	       1      224      220        3        0
13873 python3-rpds-py                    	       1       50       35       14        0
13874 python3-rsa                        	       1       24       23        0        0
13875 python3-scapy                      	       1       19       18        0        0
13876 python3-schema                     	       1       41       39        1        0
13877 python3-semver                     	       1       12        9        2        0
13878 python3-shellingham                	       1       27       19        7        0
13879 python3-shodan                     	       1        6        5        0        0
13880 python3-shtab                      	       1       19       18        0        0
13881 python3-signedjson                 	       1        4        3        0        0
13882 python3-sklearn                    	       1       31       29        1        0
13883 python3-sklearn-lib                	       1       31       28        2        0
13884 python3-socketio                   	       1        8        7        0        0
13885 python3-socksio                    	       1       73       60       12        0
13886 python3-sphinx-bootstrap-theme     	       1        9        8        0        0
13887 python3-sphinxcontrib-log-cabinet  	       1        1        0        0        0
13888 python3-sphinxcontrib.actdiag      	       1        3        2        0        0
13889 python3-sphinxcontrib.bibtex       	       1       10        9        0        0
13890 python3-sphinxcontrib.blockdiag    	       1        2        1        0        0
13891 python3-sphinxcontrib.devhelp      	       1        1        0        0        0
13892 python3-sphinxcontrib.doxylink     	       1        3        2        0        0
13893 python3-sphinxcontrib.nwdiag       	       1        2        1        0        0
13894 python3-sphinxcontrib.qthelp       	       1        2        1        0        0
13895 python3-sphinxcontrib.restbuilder  	       1        2        1        0        0
13896 python3-sphinxcontrib.seqdiag      	       1        2        1        0        0
13897 python3-sphinxcontrib.serializinghtml	       1        3        2        0        0
13898 python3-sphinxcontrib.svg2pdfconverter	       1        2        1        0        0
13899 python3-sqlalchemy                 	       1       91       89        1        0
13900 python3-sqlalchemy-ext             	       1       83       76        6        0
13901 python3-stdnum                     	       1        6        5        0        0
13902 python3-stetl                      	       1        3        2        0        0
13903 python3-svn                        	       1       41       38        2        0
13904 python3-tables-lib                 	       1       96       92        3        0
13905 python3-termcolor                  	       1       21       20        0        0
13906 python3-threadpoolctl              	       1       32       31        0        0
13907 python3-tld                        	       1       27       26        0        0
13908 python3-tldextract                 	       1       20       14        5        0
13909 python3-toml                       	       1      320      292       27        0
13910 python3-treq                       	       1        4        3        0        0
13911 python3-trio                       	       1       71       67        3        0
13912 python3-trio-websocket             	       1       37       35        1        0
13913 python3-ttystatus                  	       1       21       20        0        0
13914 python3-typed-ast                  	       1       12       11        0        0
13915 python3-uinput                     	       1        6        4        1        0
13916 python3-unicodedata2               	       1      132      114       17        0
13917 python3-unpaddedbase64             	       1       11       10        0        0
13918 python3-uritools                   	       1       66       65        0        0
13919 python3-venv                       	       1      438       16        0      421
13920 python3-virtualenv-clone           	       1       27       26        0        0
13921 python3-vobject                    	       1       85       83        1        0
13922 python3-waitress                   	       1       15       13        1        0
13923 python3-webob                      	       1      206      201        4        0
13924 python3-whisper                    	       1        3        2        0        0
13925 python3-whoosh                     	       1       19       18        0        0
13926 python3-wordcloud                  	       1        1        0        0        0
13927 python3-wsproto                    	       1       66       65        0        0
13928 python3-xcffib                     	       1       50       49        0        0
13929 python3-xgboost                    	       1        1        0        0        0
13930 python3-xlwt                       	       1       34       33        0        0
13931 python3-xstatic-json2yaml          	       1        1        0        0        0
13932 python3-yoyo                       	       1        3        2        0        0
13933 python3-zbar                       	       1       47       43        3        0
13934 python3-zope.configuration         	       1        2        1        0        0
13935 python3-zope.i18nmessageid         	       1        2        1        0        0
13936 python3-zope.schema                	       1        2        1        0        0
13937 python3.11-examples                	       1       66       61        4        0
13938 python3.12-tk                      	       1       69       63        5        0
13939 python3.13-venv                    	       1       24       16        7        0
13940 python3.4-minimal                  	       1       34       33        0        0
13941 python3.7-venv                     	       1       15       14        0        0
13942 python3.8-dev                      	       1        1        0        0        0
13943 python3.9-venv                     	       1       46       43        2        0
13944 pyzo                               	       1        4        3        0        0
13945 qasconfig                          	       1       32       31        0        0
13946 qashctl                            	       1       25       24        0        0
13947 qdirstat                           	       1       39       35        3        0
13948 qdmr                               	       1        7        6        0        0
13949 qdoc-qt6                           	       1       23       12       10        0
13950 qemuctl                            	       1        2        1        0        0
13951 qgis-common                        	       1       48        0        0       47
13952 qhull-bin                          	       1       64       63        0        0
13953 qjoypad                            	       1       14       13        0        0
13954 qlcplus                            	       1        7        6        0        0
13955 qmidiarp                           	       1        7        6        0        0
13956 qml-module-org-kde-userfeedback    	       1      620       17        1      601
13957 qml-module-qtmultimedia            	       1      862        1        0      860
13958 qml-module-qtquick-particles2      	       1      742        1        0      740
13959 qml6-module-org-kde-activities     	       1       30        0        0       29
13960 qml6-module-qtmultimedia           	       1       78        1        0       76
13961 qmmp-plugin-projectm               	       1       11       10        0        0
13962 qoi                                	       1        1        0        0        0
13963 qpdfview-translations              	       1      304        1        0      302
13964 qprint                             	       1       18       17        0        0
13965 qpwgraph                           	       1       29       28        0        0
13966 qpxtool                            	       1       14       13        0        0
13967 qshutdown                          	       1       11       10        0        0
13968 qsstv                              	       1       17       16        0        0
13969 qstat                              	       1       17       16        0        0
13970 qt5-gtk2-platformtheme             	       1      337       13        0      323
13971 qt6-5compat-dev                    	       1        9        6        2        0
13972 qt6-documentation-tools            	       1       74       62       11        0
13973 qt6-image-formats-plugin-pdf       	       1       10        2        0        7
13974 qt6-multimedia-dev                 	       1       14       11        2        0
13975 qt6-svg-dev                        	       1       32       29        2        0
13976 qt6-tools-dev-tools                	       1       74       62       11        0
13977 qtcreator-data                     	       1       62       60        1        0
13978 qtdeclarative5-dev                 	       1      124      123        0        0
13979 qtdeclarative5-dev-tools           	       1      130      127        0        2
13980 qterm                              	       1        2        1        0        0
13981 qtermwidget-data                   	       1       13        3        0        9
13982 qtkeychain-qt6-dev                 	       1        4        3        0        0
13983 qtox                               	       1       22       21        0        0
13984 qtqr                               	       1       45       42        2        0
13985 qtxdg-tools                        	       1        7        6        0        0
13986 qualitis-carpaltunnel              	       1        1        0        0        0
13987 quarto                             	       1        5        4        0        0
13988 quassel                            	       1      146      128       17        0
13989 quassel-client                     	       1        8        5        2        0
13990 quassel-core                       	       1        1        0        0        0
13991 quicktime-utils                    	       1        7        6        0        0
13992 quilt-el                           	       1        2        1        0        0
13993 quintuple-agent                    	       1        1        0        0        0
13994 quiterss                           	       1       18       17        0        0
13995 qwinff                             	       1       16       14        1        0
13996 r-base-html                        	       1       97       90        6        0
13997 r-bioc-graph                       	       1        6        5        0        0
13998 r-cran-actuar                      	       1        8        7        0        0
13999 r-cran-afex                        	       1        7        6        0        0
14000 r-cran-amelia                      	       1        6        5        0        0
14001 r-cran-ape                         	       1        9        8        0        0
14002 r-cran-areal                       	       1        4        3        0        0
14003 r-cran-arm                         	       1       11       10        0        0
14004 r-cran-bayesfactor                 	       1        8        7        0        0
14005 r-cran-bayesfm                     	       1        6        5        0        0
14006 r-cran-bayesplot                   	       1        8        7        0        0
14007 r-cran-bbmle                       	       1        7        6        0        0
14008 r-cran-beeswarm                    	       1        7        6        0        0
14009 r-cran-biasedurn                   	       1        6        5        0        0
14010 r-cran-blme                        	       1        6        5        0        0
14011 r-cran-brglm2                      	       1        5        4        0        0
14012 r-cran-bridgesampling              	       1        8        7        0        0
14013 r-cran-brms                        	       1        8        7        0        0
14014 r-cran-brobdingnag                 	       1        8        7        0        0
14015 r-cran-broom.helpers               	       1        5        4        0        0
14016 r-cran-broom.mixed                 	       1        8        7        0        0
14017 r-cran-ca                          	       1        6        5        0        0
14018 r-cran-caic4                       	       1        6        5        0        0
14019 r-cran-chk                         	       1        6        5        0        0
14020 r-cran-clue                        	       1        7        6        0        0
14021 r-cran-cmprsk                      	       1        5        4        0        0
14022 r-cran-coda                        	       1       18       17        0        0
14023 r-cran-coin                        	       1        6        5        0        0
14024 r-cran-colourpicker                	       1        9        8        0        0
14025 r-cran-conflicted                  	       1        5        4        0        0
14026 r-cran-contfrac                    	       1        9        8        0        0
14027 r-cran-corrplot                    	       1        5        4        0        0
14028 r-cran-crul                        	       1        7        6        0        0
14029 r-cran-cubature                    	       1        2        1        0        0
14030 r-cran-cvar                        	       1        6        5        0        0
14031 r-cran-cyclocomp                   	       1        5        4        0        0
14032 r-cran-datawizard                  	       1        6        5        0        0
14033 r-cran-dbscan                      	       1        6        5        0        0
14034 r-cran-dendextend                  	       1        6        5        0        0
14035 r-cran-deriv                       	       1        5        4        0        0
14036 r-cran-desolve                     	       1        8        7        0        0
14037 r-cran-diagram                     	       1        7        6        0        0
14038 r-cran-dials                       	       1        3        2        0        0
14039 r-cran-dicedesign                  	       1        3        2        0        0
14040 r-cran-dichromat                   	       1        7        6        0        0
14041 r-cran-diptest                     	       1        5        4        0        0
14042 r-cran-distributional              	       1        8        7        0        0
14043 r-cran-doby                        	       1        5        4        0        0
14044 r-cran-dorng                       	       1        6        5        0        0
14045 r-cran-ecodist                     	       1        2        1        0        0
14046 r-cran-effects                     	       1        7        6        0        0
14047 r-cran-effectsize                  	       1        6        5        0        0
14048 r-cran-egg                         	       1        3        2        0        0
14049 r-cran-elliptic                    	       1        8        7        0        0
14050 r-cran-emdbook                     	       1        6        5        0        0
14051 r-cran-emmeans                     	       1       10        9        0        0
14052 r-cran-enrichwith                  	       1        5        4        0        0
14053 r-cran-epi                         	       1        5        4        0        0
14054 r-cran-epir                        	       1        6        5        0        0
14055 r-cran-etm                         	       1        5        4        0        0
14056 r-cran-exactextractr               	       1        4        3        0        0
14057 r-cran-expint                      	       1        8        7        0        0
14058 r-cran-expm                        	       1       11       10        0        0
14059 r-cran-factoextra                  	       1        5        4        0        0
14060 r-cran-factominer                  	       1        6        5        0        0
14061 r-cran-fassets                     	       1        2        1        0        0
14062 r-cran-fauxpas                     	       1        5        4        0        0
14063 r-cran-fcopulae                    	       1        2        1        0        0
14064 r-cran-fgarch                      	       1        6        5        0        0
14065 r-cran-filelock                    	       1        4        3        0        0
14066 r-cran-fit.models                  	       1        5        4        0        0
14067 r-cran-flashclust                  	       1        6        5        0        0
14068 r-cran-flextable                   	       1        6        5        0        0
14069 r-cran-fmultivar                   	       1        2        1        0        0
14070 r-cran-fnonlinear                  	       1        2        1        0        0
14071 r-cran-formatr                     	       1        8        7        0        0
14072 r-cran-fpc                         	       1        5        4        0        0
14073 r-cran-fportfolio                  	       1        2        1        0        0
14074 r-cran-futile.logger               	       1        7        6        0        0
14075 r-cran-futile.options              	       1        7        6        0        0
14076 r-cran-gam                         	       1        7        6        0        0
14077 r-cran-gamm4                       	       1        8        7        0        0
14078 r-cran-gbm                         	       1       10        9        0        0
14079 r-cran-gbutils                     	       1        6        5        0        0
14080 r-cran-gclus                       	       1        4        3        0        0
14081 r-cran-gdtools                     	       1        9        8        0        0
14082 r-cran-gee                         	       1        7        6        0        0
14083 r-cran-ggalluvial                  	       1        3        2        0        0
14084 r-cran-ggbeeswarm                  	       1        6        5        0        0
14085 r-cran-ggeffects                   	       1        5        4        0        0
14086 r-cran-ggforce                     	       1        5        4        0        0
14087 r-cran-ggfortify                   	       1        8        7        0        0
14088 r-cran-ggplot.multistats           	       1        1        0        0        0
14089 r-cran-ggpubr                      	       1        5        4        0        0
14090 r-cran-ggrepel                     	       1        7        6        0        0
14091 r-cran-ggsci                       	       1        5        4        0        0
14092 r-cran-ggsignif                    	       1        5        4        0        0
14093 r-cran-ggstats                     	       1        5        4        0        0
14094 r-cran-ggthemes                    	       1        6        5        0        0
14095 r-cran-git2r                       	       1        7        6        0        0
14096 r-cran-glmmtmb                     	       1        8        7        0        0
14097 r-cran-glmnet                      	       1       10        9        0        0
14098 r-cran-gmp                         	       1        6        5        0        0
14099 r-cran-gparotation                 	       1        8        7        0        0
14100 r-cran-gridsvg                     	       1        5        4        0        0
14101 r-cran-gss                         	       1        8        7        0        0
14102 r-cran-heatmaply                   	       1        3        2        0        0
14103 r-cran-hsaur3                      	       1        9        8        0        0
14104 r-cran-httpcode                    	       1        7        6        0        0
14105 r-cran-hypergeo                    	       1        8        7        0        0
14106 r-cran-intergraph                  	       1        5        4        0        0
14107 r-cran-inum                        	       1        5        4        0        0
14108 r-cran-irdisplay                   	       1        6        5        0        0
14109 r-cran-isocodes                    	       1        5        4        0        0
14110 r-cran-itertools                   	       1        5        4        0        0
14111 r-cran-jomo                        	       1        8        7        0        0
14112 r-cran-kableextra                  	       1        5        4        0        0
14113 r-cran-keyring                     	       1        4        3        0        0
14114 r-cran-kmi                         	       1        5        4        0        0
14115 r-cran-labelled                    	       1        5        4        0        0
14116 r-cran-lambda.r                    	       1        7        6        0        0
14117 r-cran-lavaan                      	       1       10        9        0        0
14118 r-cran-leaps                       	       1        6        5        0        0
14119 r-cran-learnbayes                  	       1        8        7        0        0
14120 r-cran-libcoin                     	       1        6        5        0        0
14121 r-cran-lintr                       	       1        5        4        0        0
14122 r-cran-logspline                   	       1        7        6        0        0
14123 r-cran-lsmeans                     	       1        6        5        0        0
14124 r-cran-marginaleffects             	       1        5        4        0        0
14125 r-cran-mclogit                     	       1        5        4        0        0
14126 r-cran-memisc                      	       1        6        5        0        0
14127 r-cran-mertools                    	       1        6        5        0        0
14128 r-cran-mice                        	       1        8        7        0        0
14129 r-cran-microbenchmark              	       1        5        4        0        0
14130 r-cran-mitml                       	       1        8        7        0        0
14131 r-cran-mitools                     	       1        8        7        0        0
14132 r-cran-mnormt                      	       1       12       11        0        0
14133 r-cran-modeltools                  	       1        6        5        0        0
14134 r-cran-msm                         	       1       10        9        0        0
14135 r-cran-multcompview                	       1        7        6        0        0
14136 r-cran-mvnormtest                  	       1        2        1        0        0
14137 r-cran-nanoarrow                   	       1        5        4        0        0
14138 r-cran-nanotime                    	       1        4        3        0        0
14139 r-cran-network                     	       1       10        9        0        0
14140 r-cran-nfactors                    	       1        6        5        0        0
14141 r-cran-nnls                        	       1        5        4        0        0
14142 r-cran-officer                     	       1        6        5        0        0
14143 r-cran-optimparallel               	       1        5        4        0        0
14144 r-cran-ordinal                     	       1        8        7        0        0
14145 r-cran-pammtools                   	       1        4        3        0        0
14146 r-cran-pan                         	       1        9        8        0        0
14147 r-cran-parameters                  	       1        6        5        0        0
14148 r-cran-party                       	       1        5        4        0        0
14149 r-cran-partykit                    	       1        5        4        0        0
14150 r-cran-patrick                     	       1        5        4        0        0
14151 r-cran-pbivnorm                    	       1       10        9        0        0
14152 r-cran-pbmcapply                   	       1        5        4        0        0
14153 r-cran-pdftools                    	       1        9        6        2        0
14154 r-cran-pec                         	       1        5        4        0        0
14155 r-cran-performance                 	       1        6        5        0        0
14156 r-cran-phangorn                    	       1        9        8        0        0
14157 r-cran-pingr                       	       1        5        4        0        0
14158 r-cran-pki                         	       1        4        3        0        0
14159 r-cran-plotly                      	       1        4        3        0        0
14160 r-cran-polspline                   	       1        7        6        0        0
14161 r-cran-polynom                     	       1        5        4        0        0
14162 r-cran-poorman                     	       1        6        5        0        0
14163 r-cran-popepi                      	       1        4        3        0        0
14164 r-cran-prabclus                    	       1        5        4        0        0
14165 r-cran-prediction                  	       1        6        5        0        0
14166 r-cran-projpred                    	       1        8        7        0        0
14167 r-cran-prophet                     	       1        1        0        0        0
14168 r-cran-psych                       	       1        9        8        0        0
14169 r-cran-psychotools                 	       1        3        2        0        0
14170 r-cran-psychtools                  	       1        8        7        0        0
14171 r-cran-publish                     	       1        5        4        0        0
14172 r-cran-purrrlyr                    	       1        4        3        0        0
14173 r-cran-pvclust                     	       1        7        6        0        0
14174 r-cran-pwr                         	       1        5        4        0        0
14175 r-cran-qap                         	       1        4        3        0        0
14176 r-cran-qpdf                        	       1       10        7        2        0
14177 r-cran-quickjsr                    	       1        4        3        0        0
14178 r-cran-randomforest                	       1       10        9        0        0
14179 r-cran-ranger                      	       1        9        8        0        0
14180 r-cran-rcppcctz                    	       1        4        3        0        0
14181 r-cran-rcppdate                    	       1        4        3        0        0
14182 r-cran-rcsdp                       	       1        5        4        0        0
14183 r-cran-rdbnomics                   	       1        1        0        0        0
14184 r-cran-relsurv                     	       1        4        3        0        0
14185 r-cran-repr                        	       1        6        5        0        0
14186 r-cran-rglpk                       	       1        2        1        0        0
14187 r-cran-rhpcblasctl                 	       1        5        4        0        0
14188 r-cran-riskregression              	       1        5        4        0        0
14189 r-cran-rlrsim                      	       1        6        5        0        0
14190 r-cran-rmpfr                       	       1        5        4        0        0
14191 r-cran-rmpi                        	       1        7        6        0        0
14192 r-cran-rms                         	       1        6        5        0        0
14193 r-cran-rneos                       	       1        2        1        0        0
14194 r-cran-robust                      	       1        5        4        0        0
14195 r-cran-rrcov                       	       1        5        4        0        0
14196 r-cran-rsolnp                      	       1        6        5        0        0
14197 r-cran-rstan                       	       1        9        7        1        0
14198 r-cran-rstanarm                    	       1        8        7        0        0
14199 r-cran-rstatix                     	       1        5        4        0        0
14200 r-cran-rsymphony                   	       1        2        1        0        0
14201 r-cran-rtdists                     	       1        8        7        0        0
14202 r-cran-rwiener                     	       1        8        7        0        0
14203 r-cran-seriation                   	       1        4        3        0        0
14204 r-cran-shape                       	       1       12       11        0        0
14205 r-cran-shinyjs                     	       1       10        9        0        0
14206 r-cran-shinystan                   	       1        8        7        0        0
14207 r-cran-shinythemes                 	       1        8        7        0        0
14208 r-cran-sjlabelled                  	       1        4        3        0        0
14209 r-cran-sjmisc                      	       1        4        3        0        0
14210 r-cran-sjstats                     	       1        4        3        0        0
14211 r-cran-slam                        	       1        3        2        0        0
14212 r-cran-smcfcs                      	       1        4        3        0        0
14213 r-cran-sn                          	       1        4        3        0        0
14214 r-cran-sna                         	       1        9        8        0        0
14215 r-cran-snakecase                   	       1        5        4        0        0
14216 r-cran-stabledist                  	       1        8        7        0        0
14217 r-cran-statnet.common              	       1       10        9        0        0
14218 r-cran-survey                      	       1        8        7        0        0
14219 r-cran-tensora                     	       1        8        7        0        0
14220 r-cran-threejs                     	       1        8        7        0        0
14221 r-cran-tmb                         	       1        8        7        0        0
14222 r-cran-triebeard                   	       1        7        6        0        0
14223 r-cran-truncnorm                   	       1        9        8        0        0
14224 r-cran-tsp                         	       1        4        3        0        0
14225 r-cran-unitizer                    	       1        5        4        0        0
14226 r-cran-urltools                    	       1        7        6        0        0
14227 r-cran-v8                          	       1        6        5        0        0
14228 r-cran-vcd                         	       1        6        5        0        0
14229 r-cran-vcr                         	       1        5        4        0        0
14230 r-cran-venndiagram                 	       1        5        4        0        0
14231 r-cran-vgam                        	       1        8        7        0        0
14232 r-cran-vioplot                     	       1        5        4        0        0
14233 r-cran-vipor                       	       1        6        5        0        0
14234 r-cran-webmockr                    	       1        5        4        0        0
14235 r-cran-webshot                     	       1        9        8        0        0
14236 r-cran-whoami                      	       1        5        4        0        0
14237 r-cran-writexl                     	       1        6        4        1        0
14238 r-cran-xmlparsedata                	       1        5        4        0        0
14239 r-cran-zeallot                     	       1        4        3        0        0
14240 rabbitvcs-cli                      	       1        6        5        0        0
14241 radare2                            	       1       10        9        0        0
14242 radare2-cutter                     	       1        1        0        0        0
14243 radeontool                         	       1       59       58        0        0
14244 rainlendar2-lite                   	       1        1        0        0        0
14245 rainlendar2-pro                    	       1        1        0        0        0
14246 raku-zef                           	       1        8        7        0        0
14247 rakudo                             	       1       11       10        0        0
14248 rancid                             	       1        1        0        0        0
14249 rapidjson-dev                      	       1       17       16        0        0
14250 rapidsvn                           	       1       12       11        0        0
14251 raspberrypi-kernel                 	       1        2        0        0        1
14252 rawtherapee                        	       1       55       53        1        0
14253 razercfg                           	       1        3        2        0        0
14254 rbenv                              	       1        9        7        1        0
14255 readahead-fedora                   	       1        3        2        0        0
14256 readstat                           	       1       11       10        0        0
14257 realtek-r8125-dkms                 	       1        1        0        0        0
14258 realvnc-vnc-server                 	       1        4        3        0        0
14259 recap                              	       1        4        3        0        0
14260 recoverjpeg                        	       1       35       34        0        0
14261 redeclipse                         	       1       11       10        0        0
14262 redir                              	       1       17       16        0        0
14263 redsocks                           	       1        3        2        0        0
14264 refind                             	       1       39       38        0        0
14265 refractasnapshot-base              	       1      141      137        3        0
14266 refractasnapshot-gui               	       1      127      125        1        0
14267 regionset                          	       1       25       24        0        0
14268 regripper                          	       1       15       14        0        0
14269 remmina-plugin-gnome               	       1        1        0        0        0
14270 remmina-plugin-kiosk               	       1        9        8        0        0
14271 remmina-plugin-kwallet             	       1        8        0        0        7
14272 remmina-plugin-nx                  	       1        2        0        0        1
14273 remmina-plugin-telepathy           	       1        1        0        0        0
14274 remmina-plugin-x2go                	       1       13        0        0       12
14275 remmina-plugin-xdmcp               	       1        4        0        0        3
14276 rep                                	       1       19       18        0        0
14277 rep-gtk                            	       1       18       17        0        0
14278 rephrase                           	       1       22       21        0        0
14279 repmgr-common                      	       1        1        0        0        0
14280 reprepro                           	       1       25       21        3        0
14281 retry                              	       1       13       12        0        0
14282 rev-plugins                        	       1       20       19        0        0
14283 rfcdiff                            	       1        5        4        0        0
14284 rfdump                             	       1       12       11        0        0
14285 rhinote                            	       1        4        3        0        0
14286 rhvoice                            	       1        2        1        0        0
14287 rhythmbox-plugin-cdrecorder        	       1      362        1        0      360
14288 rinetd                             	       1        2        1        0        0
14289 rkdeveloptool                      	       1        1        0        0        0
14290 rmagic                             	       1        2        1        0        0
14291 rng-tools5                         	       1       10        9        0        0
14292 rocm-opencl-icd-loader             	       1        6        3        0        2
14293 rocm-smi                           	       1       10        8        0        1
14294 rocminfo                           	       1       19       18        0        0
14295 root-tail                          	       1       10        9        0        0
14296 roundcube-plugins-extra            	       1        7        5        0        1
14297 routino                            	       1       22       20        1        0
14298 rpi-imager                         	       1       47       45        1        0
14299 rrdcollect                         	       1        3        2        0        0
14300 rrep                               	       1        3        2        0        0
14301 rrqnet                             	       1        5        4        0        0
14302 rsakeyfind                         	       1       22       21        0        0
14303 rsbackup-graph                     	       1        2        1        0        0
14304 rsh-client                         	       1       20       18        1        0
14305 rsh-server                         	       1       11       10        0        0
14306 rstat-client                       	       1        2        1        0        0
14307 rstudio                            	       1       30       28        1        0
14308 rsyslog-mysql                      	       1        1        0        0        0
14309 rtl-sdr                            	       1       47       46        0        0
14310 rubber                             	       1       11       10        0        0
14311 ruby-activesupport                 	       1       24       22        1        0
14312 ruby-asciidoctor                   	       1       60       58        1        0
14313 ruby-augeas                        	       1       31       14        0       16
14314 ruby-build                         	       1        9        8        0        0
14315 ruby-builder                       	       1       41       40        0        0
14316 ruby-certificate-authority         	       1        3        2        0        0
14317 ruby-childprocess                  	       1       34       33        0        0
14318 ruby-classifier-reborn             	       1       11        9        1        0
14319 ruby-deep-merge                    	       1       29       28        0        0
14320 ruby-diffy                         	       1       21       20        0        0
14321 ruby-dnsruby                       	       1        5        4        0        0
14322 ruby-em-websocket                  	       1       12        9        2        0
14323 ruby-excon                         	       1       29       28        0        0
14324 ruby-faraday                       	       1       32       30        1        0
14325 ruby-fast-stemmer                  	       1       11        9        1        0
14326 ruby-fog-core                      	       1       25       24        0        0
14327 ruby-fog-json                      	       1       25       24        0        0
14328 ruby-fog-libvirt                   	       1       25       24        0        0
14329 ruby-fog-xml                       	       1       25       24        0        0
14330 ruby-formatador                    	       1       25       24        0        0
14331 ruby-google-protobuf               	       1       23       22        0        0
14332 ruby-googleapis-common-protos-types	       1       23       22        0        0
14333 ruby-googleauth                    	       1       23       22        0        0
14334 ruby-gtk2                          	       1        9        8        0        0
14335 ruby-hocon                         	       1       23       22        0        0
14336 ruby-http-parser.rb                	       1       12       10        1        0
14337 ruby-icalendar                     	       1        1        0        0        0
14338 ruby-ice-cube                      	       1        1        0        0        0
14339 ruby-jwt                           	       1       24       23        0        0
14340 ruby-libvirt                       	       1       25       24        0        0
14341 ruby-libxml                        	       1        2        0        0        1
14342 ruby-little-plugger                	       1       23       22        0        0
14343 ruby-log4r                         	       1       29       28        0        0
14344 ruby-logging                       	       1       23       22        0        0
14345 ruby-memoist                       	       1       26       25        0        0
14346 ruby-mime-types                    	       1       65       63        1        0
14347 ruby-mime-types-data               	       1       61       59        1        0
14348 ruby-molinillo                     	       1       12       11        0        0
14349 ruby-multi-json                    	       1       52       51        0        0
14350 ruby-multipart-post                	       1       30       29        0        0
14351 ruby-net-scp                       	       1       29       28        0        0
14352 ruby-net-sftp                      	       1       29       28        0        0
14353 ruby-net-ssh                       	       1       31       30        0        0
14354 ruby-oj                            	       1       43       42        0        0
14355 ruby-os                            	       1       23       22        0        0
14356 ruby-pry-byebug                    	       1        1        0        0        0
14357 ruby-pygments.rb                   	       1       16       14        1        0
14358 ruby-rainbow                       	       1       15       14        0        0
14359 ruby-rdiscount                     	       1       13       11        1        0
14360 ruby-redcarpet                     	       1       17       15        1        0
14361 ruby-rgen                          	       1        4        3        0        0
14362 ruby-safe-yaml                     	       1       14       13        0        0
14363 ruby-serialport                    	       1        1        0        0        0
14364 ruby-signet                        	       1       23       22        0        0
14365 ruby-sqlite3                       	       1       83       67        0       15
14366 ruby-stringex                      	       1        2        1        0        0
14367 ruby-terminal-table                	       1       10        8        1        0
14368 ruby-tomlrb                        	       1       13       11        1        0
14369 ruby-tzinfo                        	       1       27       26        0        0
14370 ruby-unicode-display-width         	       1       15       13        1        0
14371 ruby-vagrant-cloud                 	       1       28       27        0        0
14372 ruby-yajl                          	       1       11        9        1        0
14373 ruby-zip                           	       1       59       58        0        0
14374 ruby3.3-dev                        	       1        5        3        1        0
14375 rusers                             	       1        2        1        0        0
14376 rust-markdown                      	       1        3        2        0        0
14377 rutokenplugin                      	       1        1        0        0        0
14378 rwall                              	       1        2        1        0        0
14379 rxvt-ml                            	       1        4        3        0        0
14380 rygel-playbin                      	       1      139        4        0      134
14381 safe-rm                            	       1        1        0        0        0
14382 saga                               	       1        6        5        0        0
14383 samba-doc                          	       1       16       15        0        0
14384 sanitizer                          	       1        2        0        1        0
14385 sauerbraten                        	       1        5        4        0        0
14386 sawfish                            	       1       18       17        0        0
14387 saytime                            	       1        3        2        0        0
14388 scanlogd                           	       1        1        0        0        0
14389 scanmem                            	       1       16       15        0        0
14390 scantv                             	       1       27       26        0        0
14391 schism                             	       1       19       18        0        0
14392 sciebo-client                      	       1        3        2        0        0
14393 scilab                             	       1       15       14        0        0
14394 scilab-full-bin                    	       1       15       14        0        0
14395 scim                               	       1        8        7        0        0
14396 scim-anthy                         	       1        6        3        0        2
14397 scim-gtk-immodule                  	       1        8        3        0        4
14398 scim-im-agent                      	       1        8        7        0        0
14399 scim-modules-socket                	       1        8        3        0        4
14400 scim-modules-table                 	       1        2        1        0        0
14401 scite                              	       1       62       58        3        0
14402 scour                              	       1      101      100        0        0
14403 scratch                            	       1       22       21        0        0
14404 scratchbox-core                    	       1        1        0        0        0
14405 scribus                            	       1      132      126        5        0
14406 scrounge-ntfs                      	       1       46       45        0        0
14407 scummvm                            	       1       60       57        2        0
14408 sdkmanager                         	       1        4        3        0        0
14409 sdpb                               	       1        1        0        0        0
14410 seamonkey-mozilla-build            	       1       10        8        1        0
14411 search-citeseer                    	       1        3        2        0        0
14412 select-xface                       	       1        3        2        0        0
14413 self-service-password              	       1        2        1        0        0
14414 sendfile                           	       1        4        3        0        0
14415 ser2net                            	       1        4        3        0        0
14416 service-wrapper                    	       1        8        7        0        0
14417 setcd                              	       1       15       14        0        0
14418 setools                            	       1       10        8        1        0
14419 setools-gui                        	       1        2        1        0        0
14420 sfcb                               	       1        1        0        0        0
14421 sfftobmp                           	       1        4        3        0        0
14422 sgrep                              	       1        5        4        0        0
14423 sgt-puzzles                        	       1       40       39        0        0
14424 shadowsocks-libev                  	       1        4        3        0        0
14425 shed                               	       1       31       30        0        0
14426 shfmt                              	       1        8        6        1        0
14427 shiboken2                          	       1      125      121        3        0
14428 sidplay                            	       1        6        5        0        0
14429 singular-ui                        	       1       15       14        0        0
14430 singular-ui-emacs                  	       1        2        1        0        0
14431 singularity                        	       1        5        4        0        0
14432 sipcalc                            	       1       31       30        0        0
14433 sirikali                           	       1        9        8        0        0
14434 sjeng                              	       1       58       57        0        0
14435 skanlite                           	       1       57       54        2        0
14436 skeema                             	       1        1        0        0        0
14437 skkdic                             	       1       10        2        0        7
14438 skrooge                            	       1       13        9        3        0
14439 sl                                 	       1       65       64        0        0
14440 sleepd                             	       1        7        6        0        0
14441 slib                               	       1       13       12        0        0
14442 slimjet                            	       1        7        4        1        1
14443 slocate                            	       1        1        0        0        0
14444 sloccount                          	       1       27       25        1        0
14445 slsh                               	       1       40       36        3        0
14446 slurp                              	       1       19       18        0        0
14447 smarty-gettext                     	       1        2        1        0        0
14448 smarty4                            	       1        2        1        0        0
14449 smb4k                              	       1       25       24        0        0
14450 smbc                               	       1        9        8        0        0
14451 smbios-utils                       	       1       17       15        1        0
14452 smbnetfs                           	       1       22       21        0        0
14453 smitools                           	       1        3        2        0        0
14454 smp-utils                          	       1        4        3        0        0
14455 smplayer-themes                    	       1      519        4        0      514
14456 smstools                           	       1        5        4        0        0
14457 snake4                             	       1        4        3        0        0
14458 snapclient                         	       1        6        5        0        0
14459 snapper                            	       1       18       17        0        0
14460 snapserver                         	       1        3        2        0        0
14461 snd-gui-jack                       	       1       11       10        0        0
14462 snetz                              	       1        1        0        0        0
14463 sniffit                            	       1        4        3        0        0
14464 sniproxy                           	       1        1        0        0        0
14465 snis                               	       1        2        1        0        0
14466 snmptrapd                          	       1        6        5        0        0
14467 snmptt                             	       1        5        4        0        0
14468 snooper                            	       1        2        1        0        0
14469 snort                              	       1        7        6        0        0
14470 snort-common                       	       1        8        7        0        0
14471 snort-common-libraries             	       1        8        7        0        0
14472 snowdrop                           	       1       21       20        0        0
14473 soapysdr-tools                     	       1       39       37        1        0
14474 socket                             	       1        3        2        0        0
14475 softether-vpnclient                	       1        6        5        0        0
14476 softether-vpncmd                   	       1        7        6        0        0
14477 softether-vpnserver                	       1        1        0        0        0
14478 softmaker-freeoffice-2024          	       1        3        2        0        0
14479 softmaker-office-2024              	       1        6        4        1        0
14480 solid-pop3d                        	       1        2        1        0        0
14481 solvespace                         	       1       28       26        1        0
14482 sonic-visualiser                   	       1       25       22        2        0
14483 soprano-daemon                     	       1       23       22        0        0
14484 sosreport                          	       1        3        2        0        0
14485 soundkonverter                     	       1       29       26        2        0
14486 soundmodem                         	       1        8        7        0        0
14487 soundstretch                       	       1       12       11        0        0
14488 source-highlight                   	       1       23       22        0        0
14489 spacefm-gtk3                       	       1        7        5        0        1
14490 spacenavd                          	       1        4        3        0        0
14491 spamass-milter                     	       1        4        3        0        0
14492 spectools                          	       1        3        2        0        0
14493 speechd-up                         	       1        1        0        0        0
14494 speedata-publisher                 	       1        1        0        0        0
14495 speedcrunch                        	       1       33       31        1        0
14496 speedometer                        	       1       33       32        0        0
14497 spell                              	       1       37       36        0        0
14498 sphinxbase                         	       1        1        0        0        0
14499 spinner                            	       1        2        1        0        0
14500 spl                                	       1        8        1        0        6
14501 spl-dkms                           	       1        5        0        0        4
14502 split-select                       	       1       29       28        0        0
14503 splunkforwarder                    	       1       10        7        2        0
14504 spyder                             	       1       23       22        0        0
14505 sq-keyring-linter                  	       1        4        3        0        0
14506 sqitch                             	       1        2        1        0        0
14507 sqlite3-tools                      	       1       18       16        1        0
14508 sqlmap                             	       1        5        4        0        0
14509 squashfuse                         	       1       18       16        1        0
14510 squeak-vm                          	       1       23       22        0        0
14511 squeezelite                        	       1        1        0        0        0
14512 squid-cgi                          	       1        5        4        0        0
14513 squidclient                        	       1        9        8        0        0
14514 squidview                          	       1        6        5        0        0
14515 srain                              	       1        1        0        0        0
14516 srecord                            	       1       15       14        0        0
14517 srvadmin-cm                        	       1        2        1        0        0
14518 srvadmin-deng                      	       1        2        1        0        0
14519 srvadmin-deng-snmp                 	       1        1        0        0        0
14520 srvadmin-hapi                      	       1        2        1        0        0
14521 srvadmin-idrac-vmcli               	       1        1        0        0        0
14522 srvadmin-idracadm7                 	       1        1        0        0        0
14523 srvadmin-isvc                      	       1        2        1        0        0
14524 srvadmin-itunnelprovider           	       1        1        0        0        0
14525 srvadmin-jre                       	       1        2        1        0        0
14526 srvadmin-omacore                   	       1        2        1        0        0
14527 srvadmin-omcommon                  	       1        2        1        0        0
14528 srvadmin-omilcore                  	       1        2        1        0        0
14529 srvadmin-ominst                    	       1        2        1        0        0
14530 srvadmin-smcommon                  	       1        2        1        0        0
14531 srvadmin-smweb                     	       1        1        0        0        0
14532 srvadmin-storage                   	       1        2        1        0        0
14533 srvadmin-storelib-sysfs            	       1        2        1        0        0
14534 srvadmin-tomcat                    	       1        2        1        0        0
14535 ssdeep                             	       1       23       22        0        0
14536 ssed                               	       1        5        4        0        0
14537 ssh-tools                          	       1       14       10        3        0
14538 sshuttle                           	       1       16       14        1        0
14539 sslh                               	       1        1        0        0        0
14540 st-stlink-server                   	       1        7        6        0        0
14541 stalonetray                        	       1       20       19        0        0
14542 stardata-common                    	       1       10        9        0        0
14543 stardict-gtk                       	       1        8        7        0        0
14544 starship                           	       1        1        0        0        0
14545 statserial                         	       1        8        7        0        0
14546 statsprocessor                     	       1       22       21        0        0
14547 ste-plugins                        	       1       15       14        0        0
14548 stegcracker                        	       1       22       21        0        0
14549 steghide                           	       1       44       41        2        0
14550 stegosuite                         	       1       12       11        0        0
14551 stegseek                           	       1        2        1        0        0
14552 stegsnow                           	       1       23       22        0        0
14553 step                               	       1       44       42        1        0
14554 stlink                             	       1        1        0        0        0
14555 stlink-tools                       	       1       16       15        0        0
14556 stockfish                          	       1       76       74        1        0
14557 stopwatch                          	       1       21       20        0        0
14558 stow                               	       1       28       26        1        0
14559 streamlink                         	       1       23       21        1        0
14560 stumpwm                            	       1       19       18        0        0
14561 subnetcalc                         	       1       21       20        0        0
14562 subtitleeditor                     	       1       24       23        0        0
14563 sucrack                            	       1       23       22        0        0
14564 sunclock                           	       1       16       15        0        0
14565 sunpinyin-data                     	       1        5        1        0        3
14566 sunshine                           	       1        4        3        0        0
14567 sup-g                              	       1        1        0        0        0
14568 super                              	       1        6        5        0        0
14569 superiotool                        	       1        2        1        0        0
14570 supertuxkart-data                  	       1       68       56        2        9
14571 surf                               	       1       73       71        1        0
14572 surf-alggeo-nox                    	       1       12       11        0        0
14573 surfshark                          	       1        1        0        0        0
14574 suricata                           	       1        6        5        0        0
14575 suricata-oinkmaster                	       1        3        2        0        0
14576 suricata-update                    	       1        5        4        0        0
14577 swapspace                          	       1        3        2        0        0
14578 sweethome3d                        	       1       34       33        0        0
14579 sweethome3d-furniture-editor       	       1       16       15        0        0
14580 swi-prolog-core-packages           	       1       13       12        0        0
14581 swi-prolog-java                    	       1        3        2        0        0
14582 swi-prolog-x                       	       1        8        7        0        0
14583 sxid                               	       1        1        0        0        0
14584 sylfilter                          	       1       39       38        0        0
14585 sylph-searcher                     	       1        4        3        0        0
14586 syncevolution-common               	       1        3        2        0        0
14587 syncthing-discosrv                 	       1        6        5        0        0
14588 syncthingtray                      	       1       11       10        0        0
14589 synergy                            	       1        2        1        0        0
14590 sysconftool                        	       1        4        3        0        0
14591 sysinfo                            	       1        5        4        0        0
14592 sysklogd                           	       1        2        1        0        0
14593 syslinux-utils                     	       1       52       50        1        0
14594 syslog-ng-mod-journal              	       1        3        2        0        0
14595 syslog-ng-mod-json                 	       1        2        1        0        0
14596 syslog-summary                     	       1        8        7        0        0
14597 sysrqd                             	       1        2        1        0        0
14598 system-config-lvm                  	       1        2        1        0        0
14599 systempreferences.app              	       1        8        7        0        0
14600 systemtap-common                   	       1       13       11        1        0
14601 systray-mdstat                     	       1        2        1        0        0
14602 sysvbanner                         	       1       25       24        0        0
14603 t1-cyrillic                        	       1      122        0        0      121
14604 tagainijisho                       	       1        5        4        0        0
14605 tai64n                             	       1        1        0        0        0
14606 tardiff                            	       1        4        3        0        0
14607 task-spooler                       	       1        4        3        0        0
14608 tauthon                            	       1        1        0        0        0
14609 tcl-dev                            	       1       95       89        5        0
14610 tcl-expect                         	       1      190        1        0      188
14611 tcl-snack                          	       1       23       22        0        0
14612 tcl8.5-dev                         	       1        9        8        0        0
14613 tclreadline                        	       1        3        2        0        0
14614 tcm                                	       1        3        2        0        0
14615 tcpick                             	       1       27       26        0        0
14616 tcpser                             	       1        1        0        0        0
14617 tcpspy                             	       1        5        4        0        0
14618 tcpstat                            	       1       10        9        0        0
14619 tcptrack                           	       1       25       24        0        0
14620 tcs                                	       1        2        1        0        0
14621 tde-i18n-de-trinity                	       1        6        4        0        1
14622 tdebase-tdeio-smb-trinity          	       1       34       29        4        0
14623 tdeiconedit-trinity                	       1       27       24        2        0
14624 tdemultimedia-tdeio-plugins-trinity	       1       35       30        4        0
14625 tdenetwork-filesharing-trinity     	       1       25       22        2        0
14626 tdepasswd-trinity                  	       1       36       31        4        0
14627 tdewalletmanager-trinity           	       1       30       27        2        0
14628 tdsodbc                            	       1       18        0        0       17
14629 tea                                	       1       13       12        0        0
14630 tealdeer                           	       1        7        6        0        0
14631 teem-apps                          	       1        3        2        0        0
14632 tegaki-recognize                   	       1        1        0        0        0
14633 telegraf                           	       1        9        7        1        0
14634 telegram-cli                       	       1        8        7        0        0
14635 telegram-purple                    	       1        3        2        0        0
14636 telnetd-ssl                        	       1        7        6        0        0
14637 temurin-21-jdk                     	       1        3        2        0        0
14638 terminology-data                   	       1       17        2        0       14
14639 termsaver                          	       1       66       65        0        0
14640 testssl.sh                         	       1       25       24        0        0
14641 tetradraw                          	       1        4        3        0        0
14642 texify                             	       1        4        3        0        0
14643 texlive-fonts-extra                	       1      193        0        0      192
14644 texlive-games                      	       1      102       99        2        0
14645 texlive-lang-cjk                   	       1      101       95        4        1
14646 texlive-lang-french                	       1      113      107        3        2
14647 texlive-lang-korean                	       1       95       90        4        0
14648 texlive-metapost-doc               	       1      102       99        2        0
14649 texlive-publishers-doc             	       1       95       85        2        7
14650 texmaker                           	       1       42       39        2        0
14651 texmaker-data                      	       1       43        0        0       42
14652 textdraw                           	       1        6        5        0        0
14653 textedit.app                       	       1        8        7        0        0
14654 tftp                               	       1       47       15        0       31
14655 tgt                                	       1        2        1        0        0
14656 thc-ipv6                           	       1        5        4        0        0
14657 thinkfan                           	       1       16       15        0        0
14658 thorium-browser                    	       1       10        8        1        0
14659 threadscope                        	       1        1        0        0        0
14660 threema                            	       1        4        3        0        0
14661 thunderbird-l10n-es-es             	       1       19       15        3        0
14662 thunderbird-l10n-nl                	       1        8        6        1        0
14663 thunderbird-l10n-pl                	       1       13       12        0        0
14664 tiemu-skinedit                     	       1        9        8        0        0
14665 tightvnc-java                      	       1       15       14        0        0
14666 tiled                              	       1        7        6        0        0
14667 tilix-common                       	       1       23        3        0       19
14668 tilp2                              	       1       20       19        0        0
14669 time-decode                        	       1       15       14        0        0
14670 timeit                             	       1        1        0        0        0
14671 timelimit                          	       1        9        8        0        0
14672 timidity-el                        	       1        5        4        0        0
14673 timidity-interfaces-extra          	       1       10        9        0        0
14674 tinydns                            	       1        2        1        0        0
14675 tinyproxy-bin                      	       1       11       10        0        0
14676 tipp10                             	       1       22       20        1        0
14677 tippecanoe                         	       1        1        0        0        0
14678 tk8.5-dev                          	       1        7        6        0        0
14679 tkcon                              	       1       21       19        1        0
14680 tkcvs                              	       1       12       11        0        0
14681 tklib                              	       1       33       32        0        0
14682 tkmib                              	       1       10        9        0        0
14683 tkremind                           	       1       11        8        2        0
14684 tofu                               	       1        2        1        0        0
14685 tokodon                            	       1        1        0        0        0
14686 tomboy-ng                          	       1        6        5        0        0
14687 tomcat10                           	       1        7        6        0        0
14688 tomcat10-common                    	       1        7        6        0        0
14689 tomcat9                            	       1       14       12        1        0
14690 tome                               	       1        3        2        0        0
14691 tomoyo-tools                       	       1       29       28        0        0
14692 topal                              	       1        1        0        0        0
14693 topydo                             	       1        2        1        0        0
14694 toshiba-est2829a-cups              	       1        1        0        0        0
14695 totem-common                       	       1      403        1        0      401
14696 totem-plugins                      	       1      395        1        0      393
14697 toybox                             	       1        3        2        0        0
14698 tpb                                	       1       17       16        0        0
14699 tpm-tools                          	       1        9        8        0        0
14700 traceshark                         	       1        4        3        0        0
14701 translatelocally                   	       1        2        1        0        0
14702 trash-cli                          	       1       27       25        1        0
14703 trickle                            	       1       20       19        0        0
14704 trimage                            	       1       11       10        0        0
14705 trn4                               	       1        4        3        0        0
14706 trousers                           	       1       10        9        0        0
14707 trustedqsl                         	       1       10        9        0        0
14708 tstools                            	       1        6        5        0        0
14709 ttf-aenigma                        	       1       16        2        0       13
14710 ttf-denemo                         	       1        5        0        0        4
14711 ttf-xfree86-nonfree                	       1       36        1        0       34
14712 ttf2ufm                            	       1        6        5        0        0
14713 ttfautohint                        	       1        6        5        0        0
14714 ttm                                	       1        4        3        0        0
14715 ttmkfdir                           	       1        2        1        0        0
14716 tty-clock                          	       1       14       13        0        0
14717 tuba                               	       1        2        1        0        0
14718 tucnak                             	       1        7        6        0        0
14719 tumbler-plugins-extra              	       1       32        1        0       30
14720 tuxcmd                             	       1        7        6        0        0
14721 tuxguitar-alsa                     	       1       12       11        0        0
14722 tuxguitar-fluidsynth               	       1        5        3        1        0
14723 tuxguitar-synth-lv2                	       1        3        1        1        0
14724 tuxonice-userui                    	       1        4        3        0        0
14725 tuxpaint                           	       1       45       43        1        0
14726 twinkle-console                    	       1        5        4        0        0
14727 twm                                	       1       44       42        1        0
14728 twms                               	       1        2        1        0        0
14729 txt2html                           	       1       13       11        1        0
14730 txt2pdbdoc                         	       1        3        2        0        0
14731 tzwatch                            	       1        8        7        0        0
14732 u-boot                             	       1        2        0        0        1
14733 u3-tool                            	       1        2        1        0        0
14734 uanytun                            	       1        1        0        0        0
14735 udhcpc                             	       1        7        6        0        0
14736 udptunnel                          	       1        6        5        0        0
14737 ufoai-server                       	       1        1        0        0        0
14738 ufoai-uforadiant                   	       1        1        0        0        0
14739 ufraw                              	       1       24       23        0        0
14740 uim-qt5                            	       1       35       32        2        0
14741 ultracopier                        	       1        2        1        0        0
14742 umlet                              	       1        7        6        0        0
14743 unaccent                           	       1        2        1        0        0
14744 unadf                              	       1       17       16        0        0
14745 unbound-anchor                     	       1       28       27        0        0
14746 unclutter-xfixes                   	       1        6        5        0        0
14747 ungoogled-chromium                 	       1       10        9        0        0
14748 unhtml                             	       1        4        3        0        0
14749 unifont-bin                        	       1       13       11        1        0
14750 unison-2.52-gtk                    	       1       23       22        0        0
14751 unison-2.53                        	       1        9        8        0        0
14752 unison-2.53-gtk                    	       1        7        6        0        0
14753 units-filter                       	       1        4        3        0        0
14754 units-master                       	       1        3        2        0        0
14755 uniutils                           	       1        6        5        0        0
14756 unmass                             	       1        8        7        0        0
14757 unmo3                              	       1       16       15        0        0
14758 unyaffs                            	       1        3        2        0        0
14759 update-notifier-kde                	       1        1        0        0        0
14760 upnp-router-control                	       1        2        1        0        0
14761 urbackup-server                    	       1        1        0        0        0
14762 urlview                            	       1       40       38        1        0
14763 usbmount                           	       1       10        9        0        0
14764 usbredirserver                     	       1        5        4        0        0
14765 usbrelay                           	       1        3        2        0        0
14766 userinfo                           	       1        7        6        0        0
14767 usrmerge                           	       1      253      235       17        0
14768 utf8-migration-tool                	       1        3        2        0        0
14769 uthash-dev                         	       1       35       33        1        0
14770 util-vserver-build                 	       1       21       20        0        0
14771 uvccapture                         	       1       15       14        0        0
14772 uw-imapd                           	       1        4        3        0        0
14773 uwsc                               	       1        2        1        0        0
14774 uwsgi-plugin-psgi                  	       1        1        0        0        0
14775 v4l-conf                           	       1       44       43        0        0
14776 v4l2loopback-utils                 	       1       39       36        2        0
14777 vagrant                            	       1       36       33        2        0
14778 vagrant-libvirt                    	       1       25       24        0        0
14779 validns                            	       1        3        2        0        0
14780 vamp-plugin-sdk                    	       1       83       82        0        0
14781 vbindiff                           	       1       19       17        1        0
14782 vcsh                               	       1       10        9        0        0
14783 vde2-cryptcab                      	       1       13       12        0        0
14784 vdr                                	       1        8        7        0        0
14785 vdr-plugin-dvbsddevice             	       1        1        0        0        0
14786 vdr-plugin-examples                	       1        1        0        0        0
14787 vdr-plugin-femon                   	       1        2        1        0        0
14788 vice                               	       1       28       26        1        0
14789 video-downloader                   	       1        2        1        0        0
14790 view3dscene                        	       1        7        6        0        0
14791 viewpdf.app                        	       1        7        6        0        0
14792 viking                             	       1       20       19        0        0
14793 vim-gtk                            	       1       66        9        0       56
14794 virt-top                           	       1       26       25        0        0
14795 virtualbox-5.2                     	       1        4        3        0        0
14796 virtualbox-guest-utils             	       1        9        7        1        0
14797 virtualmin-config                  	       1        1        0        0        0
14798 vis                                	       1        9        8        0        0
14799 vivid-musl                         	       1        1        0        0        0
14800 vlc                                	       1     1186       49        2     1134
14801 vlc-data                           	       1     1643       16        1     1625
14802 vlc-nox                            	       1       19       12        1        5
14803 vlc-plugin-fluidsynth              	       1       67        1        0       65
14804 vlc-plugin-jack                    	       1       68        0        0       67
14805 vlc-plugin-notify                  	       1     1098       13        0     1084
14806 vlc-plugin-sdl                     	       1        4        0        0        3
14807 vlc-plugin-zvbi                    	       1        3        0        0        2
14808 vmdb2                              	       1        9        8        0        0
14809 vmfs-tools                         	       1       10        9        0        0
14810 vmtouch                            	       1        9        8        0        0
14811 vobsub2srt                         	       1        3        2        0        0
14812 volatility                         	       1        5        4        0        0
14813 volatility-tools                   	       1        6        5        0        0
14814 voms-dev                           	       1        1        0        0        0
14815 vorta                              	       1        9        8        0        0
14816 vrfy                               	       1        2        1        0        0
14817 vsdump                             	       1        1        0        0        0
14818 vsync                              	       1        1        0        0        0
14819 vtable-dumper                      	       1        5        4        0        0
14820 vtgrab                             	       1        3        2        0        0
14821 vufind                             	       1        1        0        0        0
14822 vzlogger                           	       1        1        0        0        0
14823 w-scan                             	       1       23       21        1        0
14824 waagent                            	       1        1        0        0        0
14825 wah-plugins                        	       1       18       17        0        0
14826 wammu                              	       1        4        3        0        0
14827 wapiti                             	       1       27       26        0        0
14828 warmux                             	       1       24       22        1        0
14829 waterfox                           	       1        8        6        1        0
14830 wav2cdr                            	       1        5        4        0        0
14831 waydroid                           	       1        7        5        1        0
14832 wayvnc                             	       1        6        5        0        0
14833 wazuh-agent                        	       1        9        7        1        0
14834 weasyprint                         	       1       12       11        0        0
14835 weather-util                       	       1       10        9        0        0
14836 webapp-manager                     	       1        9        2        6        0
14837 webapps-creator-ui                 	       1        7        1        5        0
14838 webcam                             	       1       14       12        1        0
14839 webcpp                             	       1        1        0        0        0
14840 webext-allow-html-temp             	       1        2        1        0        0
14841 webfs                              	       1        8        7        0        0
14842 weblint-perl                       	       1        9        8        0        0
14843 webots                             	       1        3        2        0        0
14844 weechat-core                       	       1       53        6        0       46
14845 weechat-perl                       	       1       47        3        0       43
14846 weechat-plugins                    	       1       53        6        0       46
14847 weechat-python                     	       1       52        4        0       47
14848 weechat-ruby                       	       1       46        3        0       42
14849 welcome2l                          	       1        2        1        0        0
14850 weston                             	       1       22       21        0        0
14851 wfuzz                              	       1       24       23        0        0
14852 wfview                             	       1        7        6        0        0
14853 wget2                              	       1       18       15        2        0
14854 whatmaps                           	       1        1        0        0        0
14855 whichman                           	       1        3        2        0        0
14856 whohas                             	       1        5        4        0        0
14857 wicd-cli                           	       1        6        5        0        0
14858 wicd-curses                        	       1       11       10        0        0
14859 wide-dhcpv6-client                 	       1        8        7        0        0
14860 wimtools                           	       1       33       32        0        0
14861 wine-binfmt                        	       1       52       39        0       12
14862 wine-devel-amd64                   	       1        9        8        0        0
14863 wine64-development                 	       1       16       15        0        0
14864 wine64-development-preloader       	       1        5        4        0        0
14865 wine64-development-tools           	       1        4        3        0        0
14866 wine64-tools                       	       1       36       35        0        0
14867 winehq-devel                       	       1        8        7        0        0
14868 winehq-staging                     	       1       11       10        0        0
14869 winexe                             	       1        1        0        0        0
14870 winff-gtk2                         	       1       37       36        0        0
14871 winpdb                             	       1        2        1        0        0
14872 winregfs                           	       1       23       22        0        0
14873 wkhtmltox                          	       1        7        6        0        0
14874 wks2ods                            	       1        2        1        0        0
14875 wlsunset                           	       1        5        4        0        0
14876 wmbattery                          	       1       12       11        0        0
14877 wmbiff                             	       1        6        5        0        0
14878 wmbubble                           	       1        5        4        0        0
14879 wmbutton                           	       1        6        5        0        0
14880 wmclockmon                         	       1       12       11        0        0
14881 wmcpuload                          	       1       11       10        0        0
14882 wmcube                             	       1        6        5        0        0
14883 wmdiskmon                          	       1        5        4        0        0
14884 wmfsm                              	       1        3        2        0        0
14885 wmix                               	       1       10        9        0        0
14886 wmmail                             	       1        3        2        0        0
14887 wmmixer                            	       1       12       11        0        0
14888 wmmoonclock                        	       1       20       19        0        0
14889 wmnet                              	       1       13       12        0        0
14890 wmpinboard                         	       1        5        4        0        0
14891 wmstickynotes                      	       1        9        8        0        0
14892 wmtemp                             	       1       10        9        0        0
14893 wmtime                             	       1        6        5        0        0
14894 wmweather                          	       1       10        9        0        0
14895 wmxmms2                            	       1        4        3        0        0
14896 wogerman                           	       1       16       15        0        0
14897 wondershaper                       	       1       12       11        0        0
14898 wordnet-gui                        	       1       16       15        0        0
14899 wordpress                          	       1        9        8        0        0
14900 wpagui                             	       1       53       50        2        0
14901 wput                               	       1       17       16        0        0
14902 wrapperfactory.app                 	       1        2        1        0        0
14903 writer2latex                       	       1       21       20        0        0
14904 wsjtx                              	       1       16       15        0        0
14905 wvdial                             	       1       28       27        0        0
14906 wwl                                	       1       10        9        0        0
14907 wx3.0-headers                      	       1       71       70        0        0
14908 wx3.2-headers                      	       1       67       65        1        0
14909 wxmaxima                           	       1       32       31        0        0
14910 wyrd                               	       1       10        9        0        0
14911 x11-touchscreen-calibrator         	       1        4        3        0        0
14912 x11proto-damage-dev                	       1       90       24        0       65
14913 x11proto-dri2-dev                  	       1       55       22        0       32
14914 x11proto-fixes-dev                 	       1       90       25        0       64
14915 x11proto-gl-dev                    	       1       55       22        0       32
14916 x11proto-render-dev                	       1      168       25        0      142
14917 x11proto-scrnsaver-dev             	       1      206       15        0      190
14918 x11proto-xf86vidmode-dev           	       1      234       22        0      211
14919 x13as                              	       1        2        1        0        0
14920 x2goserver-common                  	       1       65       63        1        0
14921 x2goserver-desktopsharing          	       1        5        4        0        0
14922 x3270                              	       1        9        8        0        0
14923 x42-plugins                        	       1       14       13        0        0
14924 xaos                               	       1       21       20        0        0
14925 xapian-tools                       	       1       13       12        0        0
14926 xapp                               	       1        1        0        0        0
14927 xapt                               	       1        1        0        0        0
14928 xastir                             	       1       12       11        0        0
14929 xastir-data                        	       1       12       11        0        0
14930 xaw3dg-dev                         	       1        9        8        0        0
14931 xawtv                              	       1       25       24        0        0
14932 xball                              	       1       11        9        1        0
14933 xblast-tnt                         	       1        5        4        0        0
14934 xbomb                              	       1       20       19        0        0
14935 xca                                	       1       20       19        0        0
14936 xcb                                	       1       31       30        0        0
14937 xcfa                               	       1       14       12        1        0
14938 xchainkeys                         	       1        1        0        0        0
14939 xcwcp                              	       1       17       16        0        0
14940 xd                                 	       1        4        3        0        0
14941 xdeview                            	       1        5        4        0        0
14942 xdg-desktop-portal-lxqt            	       1        8        1        2        4
14943 xdiskusage                         	       1       29       28        0        0
14944 xdman                              	       1        1        0        0        0
14945 xdms                               	       1       11       10        0        0
14946 xdu                                	       1       12       11        0        0
14947 xe-guest-utilities                 	       1        5        4        0        0
14948 xemacs21-mule                      	       1       15       14        0        0
14949 xen-utils-4.14                     	       1        2        1        0        0
14950 xen-utils-common                   	       1       14       13        0        0
14951 xenstore-utils                     	       1       16       15        0        0
14952 xeroxofficeprtdrv                  	       1        3        2        0        0
14953 xfce4-dev-tools                    	       1       34       31        2        0
14954 xfce4-docklike                     	       1        1        0        0        0
14955 xfce4-indicator-plugin             	       1       48        3        0       44
14956 xfce4-notes-plugin                 	       1      150        0        0      149
14957 xfce4-verve-plugin                 	       1     1662       35        1     1625
14958 xfce4-windowck-plugin              	       1       17        1        0       15
14959 xfonts-cronyx-75dpi                	       1       10        0        0        9
14960 xfonts-terminus                    	       1      209        0        0      208
14961 xgalaga                            	       1       15       14        0        0
14962 xgnokii                            	       1        7        6        0        0
14963 xlennart                           	       1        7        6        0        0
14964 xlhtml                             	       1        3        2        0        0
14965 xlog                               	       1       11       10        0        0
14966 xlsx2csv                           	       1        7        6        0        0
14967 xmbmon                             	       1        8        6        1        0
14968 xml-twig-tools                     	       1        7        6        0        0
14969 xml2rfc                            	       1        4        3        0        0
14970 xmlsec1                            	       1       11       10        0        0
14971 xmms2-client-cli                   	       1       30       29        0        0
14972 xmms2-client-medialib-updater      	       1        4        3        0        0
14973 xmms2-client-nycli                 	       1        9        8        0        0
14974 xmms2-plugin-airplay               	       1        8        7        0        0
14975 xmms2-plugin-alsa                  	       1       90       89        0        0
14976 xmms2-plugin-ao                    	       1        9        8        0        0
14977 xmms2-plugin-apefile               	       1        8        7        0        0
14978 xmms2-plugin-asf                   	       1       10        9        0        0
14979 xmms2-plugin-asx                   	       1        9        8        0        0
14980 xmms2-plugin-avcodec               	       1        9        8        0        0
14981 xmms2-plugin-cdda                  	       1        9        8        0        0
14982 xmms2-plugin-cue                   	       1        9        8        0        0
14983 xmms2-plugin-curl                  	       1       13       12        0        0
14984 xmms2-plugin-daap                  	       1       10        9        0        0
14985 xmms2-plugin-faad                  	       1       11       10        0        0
14986 xmms2-plugin-flac                  	       1       16       15        0        0
14987 xmms2-plugin-flv                   	       1       11       10        0        0
14988 xmms2-plugin-gme                   	       1       10        9        0        0
14989 xmms2-plugin-gvfs                  	       1        6        5        0        0
14990 xmms2-plugin-html                  	       1       11       10        0        0
14991 xmms2-plugin-ices                  	       1       12       11        0        0
14992 xmms2-plugin-icymetaint            	       1       13       12        0        0
14993 xmms2-plugin-id3v2                 	       1       90       89        0        0
14994 xmms2-plugin-jack                  	       1       10        9        0        0
14995 xmms2-plugin-karaoke               	       1        8        7        0        0
14996 xmms2-plugin-m3u                   	       1       13       12        0        0
14997 xmms2-plugin-mad                   	       1       89       88        0        0
14998 xmms2-plugin-mms                   	       1        9        8        0        0
14999 xmms2-plugin-modplug               	       1       10        9        0        0
15000 xmms2-plugin-mp4                   	       1       13       12        0        0
15001 xmms2-plugin-mpg123                	       1       12       11        0        0
15002 xmms2-plugin-musepack              	       1        9        8        0        0
15003 xmms2-plugin-normalize             	       1        9        8        0        0
15004 xmms2-plugin-ofa                   	       1        7        6        0        0
15005 xmms2-plugin-oss                   	       1       10        9        0        0
15006 xmms2-plugin-pls                   	       1       11       10        0        0
15007 xmms2-plugin-pulse                 	       1       13       12        0        0
15008 xmms2-plugin-rss                   	       1        9        8        0        0
15009 xmms2-plugin-sid                   	       1       10        9        0        0
15010 xmms2-plugin-smb                   	       1        6        5        0        0
15011 xmms2-plugin-sndfile               	       1        4        3        0        0
15012 xmms2-plugin-speex                 	       1        9        8        0        0
15013 xmms2-plugin-tta                   	       1        8        7        0        0
15014 xmms2-plugin-vocoder               	       1        9        8        0        0
15015 xmms2-plugin-vorbis                	       1       89       88        0        0
15016 xmms2-plugin-wavpack               	       1        9        8        0        0
15017 xmms2-plugin-xml                   	       1       10        9        0        0
15018 xmms2-plugin-xspf                  	       1        8        7        0        0
15019 xmms2tray                          	       1        3        2        0        0
15020 xmoto                              	       1       10        9        0        0
15021 xmount                             	       1       31       30        0        0
15022 xmp                                	       1       17       16        0        0
15023 xnest                              	       1       52       42        9        0
15024 xneur                              	       1        2        1        0        0
15025 xosd-bin                           	       1       16       15        0        0
15026 xpenguins                          	       1       11       10        0        0
15027 xpmutils                           	       1        6        5        0        0
15028 xppaut                             	       1        1        0        0        0
15029 xq                                 	       1        5        2        2        0
15030 xrestop                            	       1       18       17        0        0
15031 xrootconsole                       	       1        8        7        0        0
15032 xscreensaver-screensaver-webcollage	       1       38       36        1        0
15033 xsecurelock                        	       1        9        8        0        0
15034 xserver-x2gokdrive                 	       1        8        7        0        0
15035 xserver-xorg                       	       1     3194       17        1     3175
15036 xserver-xorg-amdgpu-video-amdgpu   	       1        6        5        0        0
15037 xserver-xorg-input-libinput-dev    	       1        9        7        1        0
15038 xserver-xorg-input-multitouch      	       1       12       11        0        0
15039 xserver-xorg-video-cirrus          	       1      145      143        1        0
15040 xserver-xorg-video-mach64          	       1      145      143        1        0
15041 xserver-xorg-video-modesetting     	       1       19       17        1        0
15042 xserver-xorg-video-neomagic        	       1      145      143        1        0
15043 xserver-xorg-video-r128            	       1      149      147        1        0
15044 xserver-xorg-video-savage          	       1      144      142        1        0
15045 xserver-xorg-video-siliconmotion   	       1      136      134        1        0
15046 xserver-xorg-video-sisusb          	       1      143      141        1        0
15047 xserver-xorg-video-tdfx            	       1      141      139        1        0
15048 xserver-xorg-video-trident         	       1      139      137        1        0
15049 xserver-xspice                     	       1        4        3        0        0
15050 xsok                               	       1       15       14        0        0
15051 xsol                               	       1       23       21        1        0
15052 xtermcontrol                       	       1       17       16        0        0
15053 xtermset                           	       1       17       16        0        0
15054 xtomp-cat                          	       1        2        1        0        0
15055 xulrunner-17.0                     	       1        4        3        0        0
15056 xvkbd                              	       1       37       36        0        0
15057 xwallpaper                         	       1       14       12        1        0
15058 xxgdb                              	       1       12       11        0        0
15059 xymon                              	       1        3        2        0        0
15060 xzoom                              	       1       19       18        0        0
15061 yacreader                          	       1        1        0        0        0
15062 yagf                               	       1       15       14        0        0
15063 yagiuda                            	       1        9        8        0        0
15064 yaglom                             	       1        2        0        1        0
15065 yaglom-dbgsym                      	       1        2        0        1        0
15066 yamllint                           	       1       16       14        1        0
15067 yara                               	       1       25       24        0        0
15068 yarn                               	       1       11       10        0        0
15069 yaru-theme-gtk                     	       1       13        6        0        6
15070 yatm                               	       1        2        1        0        0
15071 yaws                               	       1        4        3        0        0
15072 yaz                                	       1        9        8        0        0
15073 ydotool                            	       1        7        6        0        0
15074 youtube-viewer                     	       1        2        1        0        0
15075 yp-tools                           	       1        9        8        0        0
15076 ytree                              	       1        9        8        0        0
15077 yubico-piv-tool                    	       1       15       14        0        0
15078 yubihsm-pkcs11                     	       1        2        0        0        1
15079 yubikey-manager-qt                 	       1       12       11        0        0
15080 yubikey-val                        	       1        1        0        0        0
15081 yudit                              	       1       16       14        1        0
15082 yuki-iptv                          	       1        1        0        0        0
15083 z-push-backend-kopano              	       1        1        0        0        0
15084 z-push-common                      	       1        1        0        0        0
15085 z-push-ipc-sharedmemory            	       1        1        0        0        0
15086 zabbix-server-pgsql                	       1        2        1        0        0
15087 zbarcam-gtk                        	       1        8        7        0        0
15088 zcfan                              	       1        6        5        0        0
15089 zenmap                             	       1       20       19        0        0
15090 zfs-auto-snapshot                  	       1        7        6        0        0
15091 zfs-test                           	       1        2        0        1        0
15092 zfsnap                             	       1        5        4        0        0
15093 zint-qt                            	       1        8        6        1        0
15094 zipper.app                         	       1        7        6        0        0
15095 ziptool                            	       1       16       15        0        0
15096 zmap                               	       1       10        9        0        0
15097 zonecheck                          	       1        4        3        0        0
15098 zonecheck-cgi                      	       1        2        1        0        0
15099 zoneminder                         	       1       10        9        0        0
15100 zopfli                             	       1        8        7        0        0
15101 zotero6                            	       1        1        0        0        0
15102 zoxide                             	       1        6        4        1        0
15103 zp                                 	       1        5        4        0        0
15104 zsh-static                         	       1        4        3        0        0
15105 zsync                              	       1       19       16        2        0
15106 zulucrypt-cli                      	       1       35       33        1        0
15107 zulupolkit                         	       1       36       34        1        0
15108 zxing-cpp-tools                    	       1        1        0        0        0
15109 zynaddsubfx                        	       1       63       60        2        0
15110 0ad-data                           	       0       58        0        0       58
15111 0ad-data-common                    	       0       60        0        0       60
15112 0install                           	       0       12       12        0        0
15113 0install-core                      	       0       33       32        1        0
15114 1c-enterprise-8.3.18.1208-common   	       0        1        0        0        1
15115 1c-enterprise-8.3.18.1208-common-nls	       0        1        0        0        1
15116 1c-enterprise-8.3.18.1208-crs      	       0        1        0        0        1
15117 1c-enterprise-8.3.18.1208-server   	       0        1        0        0        1
15118 1c-enterprise-8.3.18.1208-server-nls	       0        1        0        0        1
15119 1c-enterprise-8.3.18.1208-ws       	       0        1        0        0        1
15120 1c-enterprise-8.3.18.1208-ws-nls   	       0        1        0        0        1
15121 1c-enterprise-8.3.18.1563-common   	       0        1        0        0        1
15122 1c-enterprise-8.3.18.1563-common-nls	       0        1        0        0        1
15123 1c-enterprise-8.3.18.1563-crs      	       0        1        0        0        1
15124 1c-enterprise-8.3.18.1563-server   	       0        1        0        0        1
15125 1c-enterprise-8.3.18.1563-server-nls	       0        1        0        0        1
15126 1c-enterprise-8.3.18.1563-ws       	       0        1        0        0        1
15127 1c-enterprise-8.3.18.1563-ws-nls   	       0        1        0        0        1
15128 1c-enterprise-8.3.19.1665-common   	       0        1        0        0        1
15129 1c-enterprise-8.3.19.1665-common-nls	       0        1        0        0        1
15130 1c-enterprise-8.3.19.1665-crs      	       0        1        0        0        1
15131 1c-enterprise-8.3.19.1665-server   	       0        1        0        0        1
15132 1c-enterprise-8.3.19.1665-server-nls	       0        1        0        0        1
15133 1c-enterprise-8.3.19.1665-ws       	       0        1        0        0        1
15134 1c-enterprise-8.3.19.1665-ws-nls   	       0        1        0        0        1
15135 1c-enterprise83-common             	       0        1        0        0        1
15136 1c-enterprise83-common-nls         	       0        1        0        0        1
15137 1c-enterprise83-crs                	       0        1        0        0        1
15138 1c-enterprise83-server             	       0        1        0        0        1
15139 1c-enterprise83-server-nls         	       0        1        0        0        1
15140 1c-enterprise83-ws                 	       0        1        0        0        1
15141 1c-enterprise83-ws-nls             	       0        1        0        0        1
15142 1oom                               	       0        1        1        0        0
15143 1password-cli                      	       0        3        3        0        0
15144 2048                               	       0       14       14        0        0
15145 2vcard                             	       0        6        6        0        0
15146 3270-common                        	       0       12       12        0        0
15147 389-ds-base-libs                   	       0        1        0        0        1
15148 3d-ascii-viewer                    	       0        1        1        0        0
15149 3dchess                            	       0        4        4        0        0
15150 3depict                            	       0        1        1        0        0
15151 4digits                            	       0        3        3        0        0
15152 4kimagecompressor                  	       0        1        1        0        0
15153 4kslideshowmaker                   	       0        1        1        0        0
15154 4kstogram                          	       0        1        1        0        0
15155 4ktokkit                           	       0        2        2        0        0
15156 4kvideodownloader                  	       0        6        5        0        1
15157 4kvideodownloaderplus              	       0        3        3        0        0
15158 4kvideotomp3                       	       0        1        1        0        0
15159 4kyoutubetomp3                     	       0        1        1        0        0
15160 4l                                 	       0        1        1        0        0
15161 64tass                             	       0        2        1        1        0
15162 6tunnel                            	       0        3        3        0        0
15163 7kaa                               	       0       14       14        0        0
15164 7kaa-data                          	       0       14        0        0       14
15165 7w                                 	       0        1        1        0        0
15166 8814au                             	       0        1        0        0        1
15167 915resolution                      	       0        1        1        0        0
15168 9base                              	       0        9        9        0        0
15169 9menu                              	       0       17       16        1        0
15170 9wm                                	       0        6        6        0        0
15171 a2d                                	       0        2        2        0        0
15172 a56                                	       0        2        2        0        0
15173 a7xpg                              	       0        9        9        0        0
15174 a7xpg-data                         	       0        9        0        0        9
15175 aa3d                               	       0        6        6        0        0
15176 aacgain                            	       0        2        2        0        0
15177 aacplusenc                         	       0        5        5        0        0
15178 aad                                	       0        1        1        0        0
15179 aajm                               	       0        3        3        0        0
15180 aaphoto                            	       0       10        9        1        0
15181 aasvg                              	       0        2        2        0        0
15182 abacas                             	       0        1        1        0        0
15183 abakus-trinity                     	       0        1        1        0        0
15184 abbtr                              	       0        2        2        0        0
15185 abby                               	       0        1        1        0        0
15186 abcl                               	       0        3        3        0        0
15187 abcm2ps                            	       0        3        3        0        0
15188 abcmidi                            	       0       10       10        0        0
15189 abe                                	       0        9        8        1        0
15190 abe-data                           	       0        9        0        0        9
15191 abgate                             	       0        4        4        0        0
15192 abigail-doc                        	       0        2        0        0        2
15193 abigail-tools                      	       0        3        3        0        0
15194 abinit                             	       0        1        1        0        0
15195 abinit-data                        	       0        1        0        0        1
15196 abinit-doc                         	       0        1        0        0        1
15197 abiword-common                     	       0      103        0        0      103
15198 abiword-help                       	       0        2        0        0        2
15199 abiword-plugin-grammar             	       0       92        1        0       91
15200 abiword-plugin-mathview            	       0        1        0        0        1
15201 abiword-plugins-gnome              	       0        1        0        0        1
15202 ableton-link-utils                 	       0        3        3        0        0
15203 ableton-link-utils-gui             	       0        1        1        0        0
15204 abntex                             	       0        1        0        0        1
15205 abr2gbr                            	       0        5        5        0        0
15206 abraca                             	       0        1        1        0        0
15207 abricotine                         	       0        1        0        0        1
15208 abrowser                           	       0        4        4        0        0
15209 abrowser-locale-es                 	       0        1        1        0        0
15210 abs-guide                          	       0       14        0        0       14
15211 abuse                              	       0        2        2        0        0
15212 abuse-lib                          	       0        2        0        0        2
15213 abuse-sfx                          	       0        1        0        0        1
15214 abx                                	       0        3        3        0        0
15215 abyss                              	       0        1        1        0        0
15216 acarsdec                           	       0        1        1        0        0
15217 accel-ppp                          	       0        1        1        0        0
15218 accerciser                         	       0        1        1        0        0
15219 accounts-qml-module-doc            	       0        1        0        0        1
15220 ace                                	       0        2        1        1        0
15221 ace-gperf                          	       0        3        3        0        0
15222 acedb-other                        	       0        2        1        0        1
15223 acedb-other-belvu                  	       0        1        0        0        1
15224 acedb-other-dotter                 	       0        1        0        0        1
15225 acetoneiso                         	       0       18       18        0        0
15226 acfax                              	       0        1        1        0        0
15227 acheck                             	       0        1        1        0        0
15228 acheck-rules                       	       0        1        0        0        1
15229 achilles                           	       0        1        1        0        0
15230 ack-grep                           	       0        3        0        0        3
15231 acl2                               	       0        5        5        0        0
15232 acl2-books                         	       0        5        5        0        0
15233 acl2-books-certs                   	       0        5        5        0        0
15234 acl2-books-source                  	       0        5        5        0        0
15235 acl2-doc                           	       0        3        3        0        0
15236 acl2-emacs                         	       0        1        1        0        0
15237 acl2-infix                         	       0        2        2        0        0
15238 acl2-infix-source                  	       0        2        2        0        0
15239 acl2-source                        	       0        5        0        0        5
15240 aclock.app                         	       0        2        2        0        0
15241 acm                                	       0        5        5        0        0
15242 acme                               	       0        5        5        0        0
15243 acme-tiny                          	       0        5        5        0        0
15244 acmetool                           	       0        4        3        1        0
15245 acnhscale                          	       0        1        1        0        0
15246 acpi-call-dkms                     	       0       34        0        0       34
15247 acpi-call-tools                    	       0        1        0        0        1
15248 acpi-ec                            	       0        1        0        0        1
15249 acpi-override-initramfs            	       0        1        0        0        1
15250 acpi-support-base                  	       0      802        0        0      802
15251 acpidump                           	       0        5        0        0        5
15252 acr                                	       0        4        3        1        0
15253 acroread-dictionary-en             	       0        8        8        0        0
15254 acroread-doc-de                    	       0        1        1        0        0
15255 acroread-l10n-en                   	       0        9        9        0        0
15256 acroread-plugin-speech             	       0        1        1        0        0
15257 actiona                            	       0        4        4        0        0
15258 ada-reference-manual-2005          	       0        4        0        0        4
15259 ada-reference-manual-2012          	       0       14        0        0       14
15260 ada-reference-manual-2020          	       0        7        0        0        7
15261 adabrowse                          	       0        1        1        0        0
15262 adanaxisgpl                        	       0        1        1        0        0
15263 adanaxisgpl-data                   	       0        1        0        0        1
15264 adapta-colorpack                   	       0        1        0        0        1
15265 adapta-kde                         	       0        9        0        0        9
15266 adapterremoval                     	       0        1        1        0        0
15267 adcli                              	       0        9        9        0        0
15268 add-apt-key                        	       0        1        1        0        0
15269 addressmanager.app                 	       0        2        2        0        0
15270 adduser-ng                         	       0        1        1        0        0
15271 adduser-ng-doc                     	       0        1        0        0        1
15272 adduser-ng-doc-devel               	       0        1        1        0        0
15273 adduser-plugin-quota               	       0        1        1        0        0
15274 adlint                             	       0        1        1        0        0
15275 admesh                             	       0        4        4        0        0
15276 adminer                            	       0        6        6        0        0
15277 adms                               	       0        3        3        0        0
15278 adobe-flash-player-browserplugin   	       0        1        1        0        0
15279 adobe-flashplugin                  	       0        1        1        0        0
15280 adobeair                           	       0        1        0        0        1
15281 adonthell                          	       0        7        7        0        0
15282 adonthell-data                     	       0        7        7        0        0
15283 adoptium-ca-certificates           	       0       18        0        0       18
15284 adoptopenjdk-11-hotspot            	       0        2        2        0        0
15285 adoptopenjdk-11-hotspot-jre        	       0        1        1        0        0
15286 adoptopenjdk-8-hotspot             	       0        7        7        0        0
15287 adoptopenjdk-8-hotspot-jre         	       0        3        3        0        0
15288 adoptopenjdk-8-openj9              	       0        1        1        0        0
15289 adplay                             	       0        4        4        0        0
15290 adplug-utils                       	       0       10       10        0        0
15291 adql-java                          	       0        2        0        0        2
15292 advanced-rest-client               	       0        1        0        0        1
15293 advi                               	       0        6        6        0        0
15294 advi-examples                      	       0        2        0        0        2
15295 adw-gtk3                           	       0        1        0        0        1
15296 adwaita-icon-theme                 	       0     3803        0        0     3803
15297 adwaita-icon-theme-legacy          	       0        1        0        0        1
15298 adwaita-qt-data                    	       0        1        0        0        1
15299 adwaita-qt4                        	       0        1        1        0        0
15300 adwaita-qt6                        	       0       18        0        0       18
15301 adzapper                           	       0        1        1        0        0
15302 aegis-virus-scanner                	       0        1        1        0        0
15303 aegisub                            	       0       15       15        0        0
15304 aegisub-l10n                       	       0        5        0        0        5
15305 aeolus                             	       0       13       13        0        0
15306 aephea                             	       0        2        0        0        2
15307 aes2501-wy                         	       0        1        1        0        0
15308 aeskulap                           	       0       21       21        0        0
15309 aether                             	       0        1        0        0        1
15310 aevol                              	       0        1        1        0        0
15311 aewan                              	       0        5        5        0        0
15312 aewm                               	       0        2        2        0        0
15313 aewm++                             	       0        9        9        0        0
15314 aewm++-goodies                     	       0        9        9        0        0
15315 afdko                              	       0        2        2        0        0
15316 afdko-bin                          	       0        5        0        0        5
15317 afdko-doc                          	       0        1        0        0        1
15318 affiche.app                        	       0        2        2        0        0
15319 afick-doc                          	       0        1        0        0        1
15320 afick-gui                          	       0        1        1        0        0
15321 afl                                	       0        1        0        0        1
15322 afl++                              	       0        8        8        0        0
15323 afl++-clang                        	       0        3        2        0        1
15324 afl++-doc                          	       0        7        7        0        0
15325 aft                                	       0        2        2        0        0
15326 aften                              	       0        2        2        0        0
15327 aftershot2x64                      	       0        1        1        0        0
15328 aftershot3x64                      	       0        1        1        0        0
15329 afterstep-data                     	       0       11        0        0       11
15330 afuse                              	       0        7        7        0        0
15331 agda                               	       0        2        0        0        2
15332 agda-bin                           	       0        6        6        0        0
15333 agda-stdlib                        	       0        2        0        0        2
15334 agda-stdlib-doc                    	       0        2        0        0        2
15335 age                                	       0       23       22        1        0
15336 agenda.app                         	       0        2        2        0        0
15337 aglfn                              	       0      425        0        0      425
15338 agrep                              	       0        6        6        0        0
15339 agress                             	       0        1        1        0        0
15340 ags                                	       0        1        1        0        0
15341 aide-dynamic                       	       0        1        1        0        0
15342 ain-imager                         	       0        1        1        0        0
15343 airdroid                           	       0        7        0        0        7
15344 airport-utils                      	       0        2        2        0        0
15345 airsnort                           	       0        1        1        0        0
15346 airspy                             	       0        8        8        0        0
15347 airspyhf                           	       0        5        5        0        0
15348 airstrike                          	       0        6        5        1        0
15349 airstrike-common                   	       0        6        0        0        6
15350 aish                               	       0        1        1        0        0
15351 aj-snapshot                        	       0       13       13        0        0
15352 akira                              	       0        2        2        0        0
15353 akonadi-backend-postgresql         	       0        4        0        0        4
15354 akonadi-backend-sqlite             	       0       26        4        0       22
15355 akonadi-contacts-data              	       0      536        1        0      535
15356 akonadi-import-wizard              	       0        7        6        1        0
15357 akonadiconsole                     	       0       50       48        2        0
15358 akqml                              	       0        9        0        0        9
15359 alacconvert                        	       0        1        1        0        0
15360 aladin                             	       0        2        2        0        0
15361 alarm-clock                        	       0        1        1        0        0
15362 albatross-gtk-theme                	       0       79        0        0       79
15363 album                              	       0        7        6        1        0
15364 album-data                         	       0        6        5        1        0
15365 aldo                               	       0       16       16        0        0
15366 ale                                	       0        3        3        0        0
15367 alembic                            	       0        7        6        0        1
15368 alevtd                             	       0        1        1        0        0
15369 alex4                              	       0        7        7        0        0
15370 alex4-data                         	       0        7        0        0        7
15371 alexandria                         	       0        1        1        0        0
15372 alfa                               	       0        2        2        0        0
15373 alfaview                           	       0        1        1        0        0
15374 algobox                            	       0        6        6        0        0
15375 algol68g                           	       0        3        3        0        0
15376 algotutor                          	       0        2        2        0        0
15377 alice                              	       0        2        2        0        0
15378 alien-arena-data                   	       0        4        0        0        4
15379 alien-arena-server                 	       0        1        1        0        0
15380 alienblaster                       	       0        5        5        0        0
15381 alienblaster-data                  	       0        5        0        0        5
15382 aliki                              	       0        3        3        0        0
15383 alire                              	       0        4        4        0        0
15384 alkimia-bin                        	       0        5        4        1        0
15385 alkimia-data                       	       0        6        0        0        6
15386 all-knowing-dns                    	       0        1        1        0        0
15387 allegro-demo                       	       0        1        1        0        0
15388 allegro-demo-data                  	       0        1        0        0        1
15389 allegro4-doc                       	       0        4        0        0        4
15390 allegro5-build-deps                	       0        1        0        0        1
15391 alleyoop                           	       0        3        3        0        0
15392 alliance                           	       0        1        1        0        0
15393 alltray                            	       0        2        2        0        0
15394 allure                             	       0        2        2        0        0
15395 almanah                            	       0        2        2        0        0
15396 alot                               	       0        6        6        0        0
15397 alot-doc                           	       0        1        0        0        1
15398 alpine-chroot-install              	       0        4        4        0        0
15399 alpine-doc                         	       0       70        0        0       70
15400 alpine-pico                        	       0       14       13        1        0
15401 alsa-base                          	       0       51        0        0       51
15402 alsa-firmware                      	       0        2        2        0        0
15403 alsa-plugins-build-deps            	       0        1        0        0        1
15404 alsa-sndio                         	       0        1        0        0        1
15405 alsa-source                        	       0        1        0        0        1
15406 alsa-ucm-conf                      	       0     3238        0        0     3238
15407 alsa-utils-dbgsym                  	       0        1        1        0        0
15408 alsaplayer-alsa                    	       0       78        1        0       77
15409 alsaplayer-daemon                  	       0        9        0        0        9
15410 alsaplayer-gtk                     	       0       71        3        0       68
15411 alsaplayer-jack                    	       0       17        0        0       17
15412 alsaplayer-nas                     	       0        5        0        0        5
15413 alsaplayer-oss                     	       0       11        0        0       11
15414 alsaplayer-text                    	       0       17        0        0       17
15415 alsaplayer-xosd                    	       0        8        0        0        8
15416 alsoft-conf                        	       0        1        1        0        0
15417 alter-sequence-alignment           	       0        1        1        0        0
15418 altree                             	       0        1        1        0        0
15419 alure-doc                          	       0        1        0        0        1
15420 alure-utils                        	       0        1        1        0        0
15421 amanda-server                      	       0        4        4        0        0
15422 amap-align                         	       0        3        3        0        0
15423 amarok                             	       0        7        6        1        0
15424 amarok-common                      	       0        8        1        0        7
15425 amarok-common-trinity              	       0        6        6        0        0
15426 amarok-doc                         	       0        3        0        0        3
15427 amarok-engine-akode-trinity        	       0        2        2        0        0
15428 amarok-engine-yauap-trinity        	       0        1        1        0        0
15429 amarok-trinity-dbg                 	       0        1        1        0        0
15430 amarok-utils                       	       0        8        7        1        0
15431 amavisd-milter                     	       0        1        1        0        0
15432 amazon-ecr-credential-helper       	       0        1        1        0        0
15433 amb-plugins                        	       0       20       20        0        0
15434 ambdec                             	       0       13       13        0        0
15435 amber                              	       0        2        2        0        0
15436 amd-clinfo                         	       0        1        1        0        0
15437 amd-libopencl1                     	       0        1        1        0        0
15438 amd-opencl-dev                     	       0        2        0        0        2
15439 amd-opencl-icd                     	       0        4        0        0        4
15440 amd-opencl-icd-legacy              	       0        1        0        0        1
15441 amd-smi-lib                        	       0        3        3        0        0
15442 amdgcn-tools                       	       0        5        5        0        0
15443 amdgpu                             	       0        2        0        0        2
15444 amdgpu-core                        	       0       21        0        0       21
15445 amdgpu-dkms-headers                	       0        2        2        0        0
15446 amdgpu-doc                         	       0        2        0        0        2
15447 amdgpu-install                     	       0       25       23        2        0
15448 amdgpu-lib                         	       0        6        0        0        6
15449 amdgpu-lib32                       	       0        4        0        0        4
15450 amdgpu-pin                         	       0        2        0        0        2
15451 amdgpu-pro-core                    	       0        3        0        0        3
15452 amdgpu-pro-pin                     	       0        1        0        0        1
15453 ament-cmake                        	       0        1        0        0        1
15454 ament-cmake-core                   	       0        2        0        0        2
15455 ament-cmake-python                 	       0        2        0        0        2
15456 ament-cmake-xmllint                	       0        1        0        0        1
15457 amf-codec-headers                  	       0        1        1        0        0
15458 amfora                             	       0       10       10        0        0
15459 amide                              	       0       10       10        0        0
15460 amiwm                              	       0        5        5        0        0
15461 amixer-gtk                         	       0        2        2        0        0
15462 amoebax                            	       0       11       11        0        0
15463 amoebax-data                       	       0       11        0        0       11
15464 amor-trinity                       	       0       24       22        2        0
15465 amora-applet                       	       0        1        1        0        0
15466 ampache-themes                     	       0        1        0        0        1
15467 amphetamine                        	       0        5        5        0        0
15468 amphetamine-data                   	       0        5        0        0        5
15469 ampliconnoise                      	       0        1        1        0        0
15470 amqp-specs                         	       0        3        0        0        3
15471 amrenc                             	       0        3        3        0        0
15472 amrnb                              	       0        1        1        0        0
15473 amrwb                              	       0        1        1        0        0
15474 ams                                	       0       11       11        0        0
15475 ams.lv2                            	       0        1        1        0        0
15476 amsynth                            	       0       13       13        0        0
15477 amtterm                            	       0        3        3        0        0
15478 amule-common                       	       0       79       75        4        0
15479 amule-daemon                       	       0        6        5        1        0
15480 amule-emc                          	       0        3        3        0        0
15481 amule-gnome-support                	       0        3        0        0        3
15482 amule-utils-gui                    	       0       15       14        1        0
15483 analitza-common                    	       0       53        0        0       53
15484 analizo                            	       0        1        1        0        0
15485 anarchism                          	       0        8        0        0        8
15486 anbox                              	       0       11       11        0        0
15487 andi                               	       0        1        1        0        0
15488 android-framework-res              	       0       29        0        0       29
15489 android-libaapt                    	       0       60        0        0       60
15490 android-libandroidfw               	       0       61        0        0       61
15491 android-libandroidfw-dev           	       0        2        2        0        0
15492 android-libbacktrace               	       0       79        0        0       79
15493 android-libbacktrace-dev           	       0        2        1        0        1
15494 android-libbase-dev                	       0        3        2        1        0
15495 android-libboringssl-dev           	       0        3        2        1        0
15496 android-libcrypto-utils-dev        	       0        1        1        0        0
15497 android-libcutils-dev              	       0        3        2        1        0
15498 android-libetc1                    	       0       24        0        0       24
15499 android-libetc1-dev                	       0        1        1        0        0
15500 android-libext4-utils              	       0       40        0        0       40
15501 android-libext4-utils-dev          	       0        3        3        0        0
15502 android-libf2fs-utils              	       0       14        0        0       14
15503 android-libf2fs-utils-dev          	       0        1        1        0        0
15504 android-libfec                     	       0        3        0        0        3
15505 android-libfec-dev                 	       0        1        1        0        0
15506 android-liblog-dev                 	       0        4        3        1        0
15507 android-libnativebridge            	       0       26        0        0       26
15508 android-libnativehelper            	       0        4        0        0        4
15509 android-libnativehelper-dev        	       0        2        2        0        0
15510 android-libnativeloader            	       0       26        0        0       26
15511 android-libselinux                 	       0        5        0        0        5
15512 android-libselinux-dev             	       0        2        2        0        0
15513 android-libsepol                   	       0        3        0        0        3
15514 android-libsepol-dev               	       0        2        2        0        0
15515 android-libsparse                  	       0      184        0        0      184
15516 android-libsparse-dev              	       0        2        1        1        0
15517 android-libunwind                  	       0       56        0        0       56
15518 android-libunwind-dev              	       0        2        2        0        0
15519 android-libutils                   	       0       78        0        0       78
15520 android-libutils-dev               	       0        2        1        1        0
15521 android-libziparchive              	       0      231        0        0      231
15522 android-libziparchive-dev          	       0        3        2        1        0
15523 android-logtags-tools              	       0        1        1        0        0
15524 android-platform-frameworks-native-headers	       0        2        1        1        0
15525 android-platform-libcore-headers   	       0        1        1        0        0
15526 android-platform-system-core-headers	       0        3        2        1        0
15527 android-platform-tools-base        	       0        4        4        0        0
15528 android-sdk-build-tools            	       0       28        0        0       28
15529 android-sdk-build-tools-common     	       0       30        0        0       30
15530 android-sdk-common                 	       0       30       30        0        0
15531 android-sdk-ext4-utils             	       0        1        1        0        0
15532 android-sdk-helper                 	       0        1        0        0        1
15533 android-sdk-libsparse-utils        	       0        7        7        0        0
15534 android-sdk-platform-23            	       0        5        0        0        5
15535 android-sdk-platform-tools         	       0       77        0        0       77
15536 android-tools-adb                  	       0       33        2        0       31
15537 android-tools-adbd                 	       0        5        5        0        0
15538 android-tools-fastboot             	       0       16        0        0       16
15539 angband                            	       0       16       16        0        0
15540 angband-data                       	       0       16        0        0       16
15541 angband-doc                        	       0        2        0        0        2
15542 angelfish                          	       0        3        3        0        0
15543 angrydd                            	       0       14       14        0        0
15544 anica-deb-source-using-tor-alpha   	       0        1        0        0        1
15545 anica-deb-source-using-tor-beta    	       0        1        0        0        1
15546 anica-deb-source-using-tor-stable  	       0        1        0        0        1
15547 animals                            	       0       11       10        1        0
15548 anjuta                             	       0        5        5        0        0
15549 anjuta-common                      	       0       16       16        0        0
15550 anjuta-extras                      	       0        3        0        0        3
15551 anomaly                            	       0        2        2        0        0
15552 anope                              	       0        1        1        0        0
15553 anorack                            	       0        1        1        0        0
15554 ansible-core                       	       0       50       48        2        0
15555 ansible-doc                        	       0        3        0        0        3
15556 ansible-lint                       	       0       10        9        1        0
15557 ansible-mitogen                    	       0        2        2        0        0
15558 ansilove                           	       0        2        2        0        0
15559 ansiweather                        	       0       14       13        1        0
15560 ant-contrib                        	       0      143        0        0      143
15561 ant-contrib-cpptasks               	       0        1        0        0        1
15562 ant-doc                            	       0       19        0        0       19
15563 ant-optional                       	       0      827        0        0      827
15564 anteater                           	       0        1        1        0        0
15565 anthy-el                           	       0        1        1        0        0
15566 antigravitaattori                  	       0        5        5        0        0
15567 antimicro                          	       0       11       11        0        0
15568 antimicrox                         	       0        1        1        0        0
15569 antimony                           	       0        3        3        0        0
15570 antix-archive-keyring              	       0        2        0        0        2
15571 antix-libs                         	       0        1        1        0        0
15572 antlr-doc                          	       0        2        2        0        0
15573 antlr3-gunit-maven-plugin          	       0        1        0        0        1
15574 antlr3-maven-plugin                	       0        1        0        0        1
15575 antlr3.2                           	       0        8        8        0        0
15576 antlr3.2-gunit-maven-plugin        	       0        1        0        0        1
15577 antlr3.2-maven-plugin              	       0        1        0        0        1
15578 antlr4                             	       0       12       12        0        0
15579 antlr4-doc                         	       0        2        0        0        2
15580 antlr4-maven-plugin                	       0        4        0        0        4
15581 antofox-keyrings                   	       0        1        0        0        1
15582 anymeal                            	       0        3        2        1        0
15583 anyremote                          	       0        8        8        0        0
15584 anyremote-data                     	       0        8        0        0        8
15585 anyremote-doc                      	       0        3        0        0        3
15586 anytun                             	       0        1        1        0        0
15587 anytype                            	       0        1        1        0        0
15588 aocc-compiler-4.2.0                	       0        1        1        0        0
15589 aocl-linux-aocc-4.2.0              	       0        1        1        0        0
15590 aodh-common                        	       0        1        1        0        0
15591 aodh-evaluator                     	       0        1        1        0        0
15592 aodh-notifier                      	       0        1        1        0        0
15593 aoetools                           	       0        2        2        0        0
15594 aoeui                              	       0        1        0        1        0
15595 aoflagger                          	       0        2        2        0        0
15596 aoflagger-dev                      	       0        2        2        0        0
15597 aom-tools                          	       0        7        7        0        0
15598 aot-client-gl                      	       0        1        1        0        0
15599 aot-client-sdl                     	       0        1        1        0        0
15600 apache2-data                       	       0      784        0        0      784
15601 apache2-dev                        	       0       19       19        0        0
15602 apache2-doc                        	       0      359        0        0      359
15603 apache2-mpm-prefork                	       0        2        0        0        2
15604 apache2-mpm-worker                 	       0        2        1        0        1
15605 apache2-ssl-dev                    	       0        2        2        0        0
15606 apache2.2-bin                      	       0        3        2        0        1
15607 apache2.2-common                   	       0        2        1        0        1
15608 apbs                               	       0        6        6        0        0
15609 apbs-data                          	       0        6        0        0        6
15610 apcalc                             	       0     1003       54        0      949
15611 apcalc-common                      	       0       72        0        0       72
15612 apcalc-dev                         	       0        2        1        0        1
15613 apertium-afr-nld                   	       0        1        0        0        1
15614 apertium-anaphora                  	       0        2        2        0        0
15615 apertium-arg-cat                   	       0        1        0        0        1
15616 apertium-bel-rus                   	       0        1        0        0        1
15617 apertium-br-fr                     	       0        1        0        0        1
15618 apertium-cat-ita                   	       0        1        0        0        1
15619 apertium-cat-srd                   	       0        1        0        0        1
15620 apertium-crh-tur                   	       0        1        0        0        1
15621 apertium-cy-en                     	       0        1        0        0        1
15622 apertium-dan-nor                   	       0        1        0        0        1
15623 apertium-dev                       	       0        3        3        0        0
15624 apertium-en-es                     	       0        4        0        0        4
15625 apertium-en-gl                     	       0        1        0        0        1
15626 apertium-eng-cat                   	       0        1        0        0        1
15627 apertium-eng-spa                   	       0        2        0        0        2
15628 apertium-eo-ca                     	       0        1        0        0        1
15629 apertium-eo-en                     	       0        2        0        0        2
15630 apertium-eo-es                     	       0        2        0        0        2
15631 apertium-eo-fr                     	       0        2        0        0        2
15632 apertium-es-ast                    	       0        1        0        0        1
15633 apertium-es-gl                     	       0        1        0        0        1
15634 apertium-es-pt                     	       0        3        0        0        3
15635 apertium-es-ro                     	       0        2        0        0        2
15636 apertium-eu-en                     	       0        1        0        0        1
15637 apertium-eu-es                     	       0        1        0        0        1
15638 apertium-eval-translator           	       0        3        3        0        0
15639 apertium-fr-es                     	       0        2        0        0        2
15640 apertium-fra                       	       0        1        0        0        1
15641 apertium-fra-cat                   	       0        1        0        0        1
15642 apertium-get                       	       0        3        3        0        0
15643 apertium-hbs-eng                   	       0        1        0        0        1
15644 apertium-hbs-mkd                   	       0        1        0        0        1
15645 apertium-hbs-slv                   	       0        1        0        0        1
15646 apertium-ind-zlm                   	       0        1        0        0        1
15647 apertium-is-sv                     	       0        1        0        0        1
15648 apertium-isl-eng                   	       0        1        0        0        1
15649 apertium-isl-swe                   	       0        1        0        0        1
15650 apertium-kaz-tat                   	       0        1        0        0        1
15651 apertium-lex-tools                 	       0        5        5        0        0
15652 apertium-mk-bg                     	       0        1        0        0        1
15653 apertium-mk-en                     	       0        1        0        0        1
15654 apertium-mkd-bul                   	       0        1        0        0        1
15655 apertium-mkd-eng                   	       0        1        0        0        1
15656 apertium-mlt-ara                   	       0        1        0        0        1
15657 apertium-nno-nob                   	       0        1        0        0        1
15658 apertium-oc-ca                     	       0        1        0        0        1
15659 apertium-oc-es                     	       0        1        0        0        1
15660 apertium-oci-fra                   	       0        1        0        0        1
15661 apertium-pol-szl                   	       0        1        0        0        1
15662 apertium-por-cat                   	       0        1        0        0        1
15663 apertium-pt-ca                     	       0        1        0        0        1
15664 apertium-pt-gl                     	       0        1        0        0        1
15665 apertium-recursive                 	       0        2        2        0        0
15666 apertium-rus-ukr                   	       0        1        0        0        1
15667 apertium-separable                 	       0        2        2        0        0
15668 apertium-simpleton                 	       0        1        0        0        1
15669 apertium-sme-nob                   	       0        1        0        0        1
15670 apertium-spa                       	       0        2        0        0        2
15671 apertium-spa-arg                   	       0        1        0        0        1
15672 apertium-spa-ast                   	       0        1        0        0        1
15673 apertium-spa-cat                   	       0        1        0        0        1
15674 apertium-spa-ita                   	       0        1        0        0        1
15675 apertium-srd-ita                   	       0        1        0        0        1
15676 apertium-swe-dan                   	       0        1        0        0        1
15677 apertium-swe-nor                   	       0        1        0        0        1
15678 apertium-urd-hin                   	       0        1        0        0        1
15679 apf-firewall                       	       0        1        1        0        0
15680 apfs-dkms                          	       0        3        2        1        0
15681 apfsprogs                          	       0        5        4        1        0
15682 api-sanity-checker                 	       0        5        5        0        0
15683 apidog                             	       0        1        1        0        0
15684 apitrace                           	       0        7        7        0        0
15685 apitrace-gui                       	       0        3        3        0        0
15686 apitrace-tracers                   	       0        7        0        0        7
15687 apkinfo                            	       0        6        5        1        0
15688 apkverifier                        	       0        4        4        0        0
15689 apl                                	       0        2        2        0        0
15690 aplus-fsf                          	       0        2        2        0        0
15691 aplus-fsf-doc                      	       0        1        0        0        1
15692 apmplanner2                        	       0        1        1        0        0
15693 apngopt                            	       0        6        6        0        0
15694 apophenia-bin                      	       0        1        1        0        0
15695 apostrophe                         	       0        5        5        0        0
15696 app-install-data                   	       0       13        0        0       13
15697 apparix                            	       0        2        2        0        0
15698 apparmor-easyprof                  	       0        3        3        0        0
15699 apparmor-profiles                  	       0       41        0        0       41
15700 apparmor-profiles-extra            	       0       37        0        0       37
15701 appimage2deb                       	       0        1        0        0        1
15702 appmenu-gtk2-module                	       0       58        5        0       53
15703 appmenu-qt                         	       0        4        0        0        4
15704 appmenu-registrar                  	       0       60        1        0       59
15705 apprise                            	       0        1        1        0        0
15706 approx                             	       0        1        1        0        0
15707 appstream-compose                  	       0        3        0        0        3
15708 appstream-doc                      	       0        2        0        0        2
15709 appstream-generator                	       0        2        2        0        0
15710 appstream-glib-doc                 	       0        1        0        0        1
15711 appstream-index                    	       0        2        1        0        1
15712 appstream-util                     	       0       13       13        0        0
15713 apriltag                           	       0        1        1        0        0
15714 aprs-symbols                       	       0        2        0        0        2
15715 aprsdigi                           	       0        4        4        0        0
15716 apt-build                          	       0        9        9        0        0
15717 apt-build-deps-depends             	       0        1        0        0        1
15718 apt-config-auto-update             	       0       15        0        0       15
15719 apt-config-icons                   	       0      864        0        0      864
15720 apt-config-icons-hidpi             	       0      515        0        0      515
15721 apt-config-icons-large             	       0      527        0        0      527
15722 apt-config-icons-large-hidpi       	       0      508        0        0      508
15723 apt-cudf                           	       0        4        4        0        0
15724 apt-dbgsym                         	       0        3        3        0        0
15725 apt-doc                            	       0       48        0        0       48
15726 apt-dpkg-ref                       	       0        8        0        0        8
15727 apt-dpkg-ref-build-deps            	       0        1        0        0        1
15728 apt-fast                           	       0        2        0        2        0
15729 apt-forktracer                     	       0       20       20        0        0
15730 apt-howto-common                   	       0        1        1        0        0
15731 apt-howto-de                       	       0        1        0        0        1
15732 apt-listdifferences                	       0        2        2        0        0
15733 apt-move                           	       0        4        4        0        0
15734 apt-ntop-stable                    	       0        3        0        0        3
15735 apt-show-source                    	       0       16       16        0        0
15736 apt-src                            	       0       25       23        2        0
15737 apt-swarm                          	       0        1        0        1        0
15738 apt-transport-debtorrent           	       0        1        1        0        0
15739 apt-transport-in-toto              	       0        1        1        0        0
15740 apt-transport-s3                   	       0        4        4        0        0
15741 apt-utils-dbgsym                   	       0        3        3        0        0
15742 apt-venv                           	       0        8        8        0        0
15743 aptconf                            	       0        1        1        0        0
15744 aptdaemon                          	       0        8        8        0        0
15745 aptdaemon-data                     	       0       14        0        0       14
15746 aptfs                              	       0        1        1        0        0
15747 aptitude-build-deps-depends        	       0        1        0        0        1
15748 aptitude-dbgsym                    	       0        1        1        0        0
15749 aptitude-doc-cs                    	       0        7        0        0        7
15750 aptitude-doc-en                    	       0      223        0        0      223
15751 aptitude-doc-es                    	       0        5        0        0        5
15752 aptitude-doc-fi                    	       0        1        0        0        1
15753 aptitude-doc-fr                    	       0        5        0        0        5
15754 aptitude-doc-it                    	       0        2        0        0        2
15755 aptitude-doc-ja                    	       0        1        0        0        1
15756 aptitude-doc-nl                    	       0        2        0        0        2
15757 aptitude-doc-ru                    	       0        3        0        0        3
15758 aptitude-robot                     	       0        2        2        0        0
15759 aptlinex                           	       0        1        1        0        0
15760 apwal                              	       0        1        1        0        0
15761 aqbanking-tool                     	       0        1        0        0        1
15762 arachne-pnr                        	       0        6        6        0        0
15763 arachne-pnr-chipdb                 	       0        8        0        0        8
15764 aragorn                            	       0        1        1        0        0
15765 aranym                             	       0        2        2        0        0
15766 arb                                	       0        2        2        0        0
15767 arb-common                         	       0        2        2        0        0
15768 arb-doc                            	       0        2        0        0        2
15769 arc-icon-theme                     	       0        1        0        0        1
15770 arc-kde                            	       0        4        0        0        4
15771 arch-install-scripts               	       0       11       11        0        0
15772 architecture-properties            	       0        2        0        0        2
15773 archive-files                      	       0        1        1        0        0
15774 archlinux-keyring                  	       0        4        0        0        4
15775 arctica-greeter-guest-session      	       0        6        6        0        0
15776 arctica-greeter-remote-logon       	       0        2        0        0        2
15777 arctican-plugins-lv2               	       0        1        1        0        0
15778 ardentryst                         	       0        5        5        0        0
15779 ardour                             	       0       68       68        0        0
15780 ardour-data                        	       0       70        0        0       70
15781 ardour-medias                      	       0        1        0        0        1
15782 arduino-core                       	       0       11       11        0        0
15783 arduino-mighty-1284p               	       0        3        3        0        0
15784 arduino-mk                         	       0       25       25        0        0
15785 arename                            	       0        1        1        0        0
15786 ares                               	       0        5        5        0        0
15787 argentum-age-build-deps            	       0        1        0        0        1
15788 argon2                             	       0       10       10        0        0
15789 argyll-doc                         	       0        5        0        0        5
15790 argyll-ref                         	       0       34        0        0       34
15791 aria                               	       0        1        1        0        0
15792 ario                               	       0       10        9        1        0
15793 ario-common                        	       0       11        0        0       11
15794 arkhart                            	       0        1        1        0        0
15795 arkrpg                             	       0        1        1        0        0
15796 arm-trusted-firmware               	       0        2        0        0        2
15797 arm-trusted-firmware-tools         	       0        2        2        0        0
15798 armagetronad                       	       0       14       14        0        0
15799 armagetronad-common                	       0       14        0        0       14
15800 armagetronad-dedicated             	       0        1        1        0        0
15801 armbian-bsp-cli-orangepizero2w-current	       0        1        1        0        0
15802 armbian-config                     	       0        1        1        0        0
15803 armbian-firmware                   	       0        2        2        0        0
15804 armbian-zsh                        	       0        1        0        0        1
15805 armcord                            	       0        1        1        0        0
15806 aroarfw-dev                        	       0        1        1        0        0
15807 arpage                             	       0        1        1        0        0
15808 arpon                              	       0        1        1        0        0
15809 arronax                            	       0        1        1        0        0
15810 arronax-thunar                     	       0        1        0        0        1
15811 artefetcher                        	       0        1        1        0        0
15812 artfastqgenerator                  	       0        1        1        0        0
15813 artikulate                         	       0       48       45        3        0
15814 arts                               	       0        1        0        0        1
15815 arts-trinity                       	       0       29        0        0       29
15816 artyfx                             	       0        1        1        0        0
15817 arubasign                          	       0        1        1        0        0
15818 as31                               	       0        4        4        0        0
15819 asc                                	       0        7        7        0        0
15820 asc-data                           	       0        7        0        0        7
15821 asc-music                          	       0        7        0        0        7
15822 ascd                               	       0        2        2        0        0
15823 ascdc                              	       0        1        1        0        0
15824 ascii-image-converter              	       0        1        1        0        0
15825 asciiart                           	       0       12       12        0        0
15826 asciidoc                           	       0       66        0        0       66
15827 asciidoc-common                    	       0       77        0        0       77
15828 asciidoc-dblatex                   	       0       46        0        0       46
15829 asciidoc-doc                       	       0       14        0        0       14
15830 asciidoc-fop                       	       0        4        0        0        4
15831 asciidoc-tests                     	       0        4        4        0        0
15832 asciidoctor-doc                    	       0        6        0        0        6
15833 asciijump                          	       0        8        8        0        0
15834 asciinema                          	       0       15       15        0        0
15835 asciio                             	       0        1        1        0        0
15836 asclock-themes                     	       0       13        0        0       13
15837 asdftool                           	       0        1        1        0        0
15838 aseprite                           	       0        3        3        0        0
15839 asiya24-vfont                      	       0        1        0        0        1
15840 asmixer                            	       0        3        3        0        0
15841 asmon                              	       0        2        2        0        0
15842 asn                                	       0        1        1        0        0
15843 asn1c                              	       0        3        3        0        0
15844 asn1c-doc                          	       0        2        0        0        2
15845 asoundconf-gtk                     	       0        1        1        0        0
15846 asp.net-examples                   	       0        1        1        0        0
15847 aspcud                             	       0        6        6        0        0
15848 aspectc++                          	       0        2        2        0        0
15849 aspell-am                          	       0        3        3        0        0
15850 aspell-ar                          	       0        5        5        0        0
15851 aspell-ar-large                    	       0        5        5        0        0
15852 aspell-bg                          	       0        7        6        1        0
15853 aspell-bn                          	       0        3        3        0        0
15854 aspell-br                          	       0        4        4        0        0
15855 aspell-ca                          	       0       10       10        0        0
15856 aspell-cs                          	       0       44       42        2        0
15857 aspell-cy                          	       0        3        3        0        0
15858 aspell-da                          	       0        7        7        0        0
15859 aspell-de-alt                      	       0       16        1        0       15
15860 aspell-doc                         	       0       19        0        0       19
15861 aspell-el                          	       0       26       25        1        0
15862 aspell-eo                          	       0       11       11        0        0
15863 aspell-eo-cx7                      	       0        1        1        0        0
15864 aspell-et                          	       0        3        3        0        0
15865 aspell-eu                          	       0        4        4        0        0
15866 aspell-eu-es                       	       0        2        0        0        2
15867 aspell-fa                          	       0        4        4        0        0
15868 aspell-fo                          	       0        1        1        0        0
15869 aspell-ga                          	       0        7        7        0        0
15870 aspell-gl-minimos                  	       0        5        5        0        0
15871 aspell-gu                          	       0        3        3        0        0
15872 aspell-hi                          	       0        3        3        0        0
15873 aspell-hr                          	       0        4        4        0        0
15874 aspell-hsb                         	       0        7        7        0        0
15875 aspell-hu                          	       0       17       17        0        0
15876 aspell-hy                          	       0        2        2        0        0
15877 aspell-is                          	       0        4        4        0        0
15878 aspell-kk                          	       0        3        3        0        0
15879 aspell-kn                          	       0        1        1        0        0
15880 aspell-ku                          	       0        3        3        0        0
15881 aspell-lt                          	       0        3        3        0        0
15882 aspell-lv                          	       0        3        3        0        0
15883 aspell-ml                          	       0        3        3        0        0
15884 aspell-mr                          	       0        3        3        0        0
15885 aspell-or                          	       0        1        1        0        0
15886 aspell-pa                          	       0        3        3        0        0
15887 aspell-pt                          	       0        3        0        0        3
15888 aspell-pt-br                       	       0       51       49        2        0
15889 aspell-ro                          	       0       13       13        0        0
15890 aspell-sk                          	       0        8        8        0        0
15891 aspell-sl                          	       0        3        3        0        0
15892 aspell-sv                          	       0       21       21        0        0
15893 aspell-ta                          	       0        4        4        0        0
15894 aspell-te                          	       0        3        3        0        0
15895 aspell-tl                          	       0        3        3        0        0
15896 aspell-uz                          	       0        1        1        0        0
15897 aspera-scp-client                  	       0        1        1        0        0
15898 aspia-client                       	       0        1        1        0        0
15899 aspia-console                      	       0        1        1        0        0
15900 aspia-relay                        	       0        1        1        0        0
15901 aspnetcore-runtime-2.1             	       0        1        0        0        1
15902 aspnetcore-runtime-2.2             	       0        1        0        0        1
15903 aspnetcore-runtime-3.1             	       0        1        0        0        1
15904 aspnetcore-runtime-5.0             	       0        4        0        0        4
15905 aspnetcore-runtime-6.0             	       0       10        0        0       10
15906 aspnetcore-runtime-7.0             	       0       11        1        0       10
15907 aspnetcore-runtime-8.0             	       0       14        0        0       14
15908 aspnetcore-runtime-9.0             	       0        2        0        0        2
15909 aspnetcore-targeting-pack-3.1      	       0        2        0        0        2
15910 aspnetcore-targeting-pack-5.0      	       0        3        0        0        3
15911 aspnetcore-targeting-pack-6.0      	       0       10        0        0       10
15912 aspnetcore-targeting-pack-7.0      	       0        9        0        0        9
15913 aspnetcore-targeting-pack-8.0      	       0       14        0        0       14
15914 aspnetcore-targeting-pack-9.0      	       0        2        0        0        2
15915 asql                               	       0        2        2        0        0
15916 asr-manpages                       	       0        5        0        0        5
15917 assaultcube                        	       0        4        4        0        0
15918 assetfinder                        	       0        1        1        0        0
15919 assimp-utils                       	       0        3        3        0        0
15920 assogiate                          	       0        1        1        0        0
15921 assword                            	       0        1        1        0        0
15922 astcenc                            	       0        1        1        0        0
15923 asterisk-config                    	       0       11        0        0       11
15924 asterisk-core-sounds-en            	       0       11        0        0       11
15925 asterisk-core-sounds-en-gsm        	       0       11        0        0       11
15926 asterisk-core-sounds-en-wav        	       0        1        0        0        1
15927 asterisk-dahdi                     	       0        2        2        0        0
15928 asterisk-dev                       	       0        2        2        0        0
15929 asterisk-doc                       	       0        3        0        0        3
15930 asterisk-mobile                    	       0        1        1        0        0
15931 asterisk-moh-opsound-gsm           	       0       11        0        0       11
15932 asterisk-mp3                       	       0        1        1        0        0
15933 asterisk-mysql                     	       0        1        1        0        0
15934 asterisk-opus                      	       0        3        3        0        0
15935 asterisk-prompt-de                 	       0        2        0        0        2
15936 asterisk-vpb                       	       0        1        1        0        0
15937 astro-catalogs                     	       0        2        0        0        2
15938 astro-datareduction                	       0        2        0        0        2
15939 astro-development                  	       0        2        0        0        2
15940 astro-education                    	       0        1        0        0        1
15941 astro-frameworks                   	       0        2        0        0        2
15942 astro-gdl                          	       0        2        0        0        2
15943 astro-java                         	       0        1        0        0        1
15944 astro-publication                  	       0        1        0        0        1
15945 astro-python3                      	       0        1        0        0        1
15946 astro-radioastronomy               	       0        1        0        0        1
15947 astro-simulation                   	       0        1        0        0        1
15948 astro-tasks                        	       0       12        0        0       12
15949 astro-tcltk                        	       0        2        0        0        2
15950 astro-telescopecontrol             	       0        1        0        0        1
15951 astro-tools                        	       0        2        0        0        2
15952 astro-viewers                      	       0        1        0        0        1
15953 astro-virtual-observatory          	       0        1        0        0        1
15954 astroid                            	       0        1        1        0        0
15955 astromatic                         	       0        2        0        0        2
15956 astromenace                        	       0        6        6        0        0
15957 astromenace-data-src               	       0        6        0        0        6
15958 astrometry-data-tycho2             	       0        2        0        0        2
15959 astrometry-data-tycho2-07          	       0        2        0        0        2
15960 astrometry-data-tycho2-07-littleendian	       0        2        0        0        2
15961 astrometry-data-tycho2-08          	       0        2        0        0        2
15962 astrometry-data-tycho2-08-littleendian	       0        2        0        0        2
15963 astrometry-data-tycho2-09          	       0        2        0        0        2
15964 astrometry-data-tycho2-09-littleendian	       0        2        0        0        2
15965 astrometry-data-tycho2-10-19       	       0        2        0        0        2
15966 astrometry-data-tycho2-10-19-littleendian	       0        2        0        0        2
15967 astronciaiptv                      	       0        1        1        0        0
15968 astronomical-almanac               	       0       14       14        0        0
15969 astropy-utils                      	       0        7        7        0        0
15970 astyle                             	       0       24       24        0        0
15971 asylum                             	       0        5        5        0        0
15972 asylum-data                        	       0        5        0        0        5
15973 asymptote-doc                      	       0      108        0        0      108
15974 asymptote-x11                      	       0        1        0        1        0
15975 at-spi2-doc                        	       0        2        0        0        2
15976 atac                               	       0        1        1        0        0
15977 atanks                             	       0       10        9        1        0
15978 atanks-data                        	       0       10        0        0       10
15979 atari-fdisk-cross                  	       0        1        1        0        0
15980 atari800                           	       0        3        3        0        0
15981 atdts                              	       0        1        1        0        0
15982 aterm                              	       0        3        3        0        0
15983 aterm-ml                           	       0        2        2        0        0
15984 atf-sh                             	       0        1        1        0        0
15985 atfs                               	       0        3        3        0        0
15986 athcool                            	       0        1        1        0        0
15987 athena-jot                         	       0        1        1        0        0
15988 atitvout                           	       0        1        1        0        0
15989 atlantik-trinity                   	       0       24       22        2        0
15990 atlantikdesigner-trinity           	       0       24       22        2        0
15991 atlasvpn-release                   	       0        1        0        0        1
15992 atlc-examples                      	       0        1        0        0        1
15993 atmel-firmware                     	       0       10        9        1        0
15994 atom                               	       0       13       13        0        0
15995 atom4                              	       0        8        8        0        0
15996 atomic                             	       0        5        0        0        5
15997 atomix-data                        	       0       24        0        0       24
15998 atrinik-client                     	       0        1        1        0        0
15999 atris                              	       0        1        1        0        0
16000 atsar                              	       0        2        2        0        0
16001 attal                              	       0        1        1        0        0
16002 attal-themes-cyberpunk             	       0        1        0        0        1
16003 attal-themes-medieval              	       0        1        0        0        1
16004 attract                            	       0        1        1        0        0
16005 aubio-tools                        	       0        8        8        0        0
16006 audacious-dev                      	       0        3        3        0        0
16007 audacious-dumb                     	       0        1        0        0        1
16008 audacity-data                      	       0      518       40        0      478
16009 audiorelay                         	       0        1        1        0        0
16010 audispd-plugins                    	       0        9        8        1        0
16011 audmes                             	       0        5        4        1        0
16012 audtty                             	       0        2        2        0        0
16013 aufs-dev                           	       0        2        2        0        0
16014 aufs-dkms                          	       0       20       19        0        1
16015 aufs-modules-2.6.26-486-voyage     	       0        1        0        0        1
16016 aufs-source                        	       0        1        0        0        1
16017 aufs-tools                         	       0       28       28        0        0
16018 aufs-util                          	       0        2        2        0        0
16019 augeas-doc                         	       0        4        0        0        4
16020 augeas-lenses                      	       0      400        0        0      400
16021 augustus-data                      	       0        1        0        0        1
16022 aumix-gtk                          	       0        7        7        0        0
16023 auralquiz                          	       0        6        6        0        0
16024 austin                             	       0        2        2        0        0
16025 ausweisapp                         	       0        1        0        1        0
16026 ausweisapp2                        	       0        5        5        0        0
16027 authprogs                          	       0        2        2        0        0
16028 auto-07p                           	       0        1        1        0        0
16029 auto-complete-el                   	       0        3        0        0        3
16030 auto-editor                        	       0        3        2        1        0
16031 auto-install-el                    	       0        2        2        0        0
16032 auto-multiple-choice               	       0        4        4        0        0
16033 auto-multiple-choice-common        	       0        4        4        0        0
16034 auto-multiple-choice-doc           	       0        4        0        0        4
16035 auto-multiple-choice-doc-pdf       	       0        2        0        0        2
16036 autobook                           	       0        2        2        0        0
16037 autoclass                          	       0        3        3        0        0
16038 autoconf-archive                   	       0      106        0        0      106
16039 autoconf-build-deps                	       0        1        0        0        1
16040 autoconf-dickey                    	       0        4        4        0        0
16041 autoconf-dickey-build-deps         	       0        1        0        0        1
16042 autoconf-doc                       	       0       48        0        0       48
16043 autoconf2.13                       	       0       26       26        0        0
16044 autoconf2.69                       	       0       14       14        0        0
16045 autoconf2.69-build-deps            	       0        1        0        0        1
16046 autodia                            	       0       10       10        0        0
16047 autodir                            	       0        1        1        0        0
16048 autodock                           	       0        1        1        0        0
16049 autodock-vina                      	       0        1        1        0        0
16050 autofdo                            	       0        1        1        0        0
16051 autoflake                          	       0        2        2        0        0
16052 autofs-ldap                        	       0        2        0        0        2
16053 autogen-doc                        	       0       52        0        0       52
16054 autogrid                           	       0        1        1        0        0
16055 autoimport                         	       0        1        1        0        0
16056 autokey-qt                         	       0        5        5        0        0
16057 autolog                            	       0        1        1        0        0
16058 automake1.10                       	       0        3        3        0        0
16059 automake1.4                        	       0        4        4        0        0
16060 automake1.9                        	       0        6        6        0        0
16061 automake1.9-doc                    	       0        2        0        0        2
16062 automoc                            	       0        1        1        0        0
16063 autopano-sift-c                    	       0        1        1        0        0
16064 autoradio                          	       0        1        1        0        0
16065 autorevision                       	       0        3        3        0        0
16066 autosuspend                        	       0        1        1        0        0
16067 autotalent                         	       0       14       14        0        0
16068 autotrace                          	       0        3        3        0        0
16069 auxilium                           	       0        1        1        0        0
16070 avahi-autoipd-dbgsym               	       0        3        3        0        0
16071 avahi-daemon-dbgsym                	       0        3        3        0        0
16072 avahi-dnsconfd-dbgsym              	       0        3        3        0        0
16073 avahi-ui-utils-dbgsym              	       0        2        2        0        0
16074 avahi-utils-dbgsym                 	       0        3        3        0        0
16075 avarice                            	       0        8        8        0        0
16076 avce00                             	       0       11       11        0        0
16077 avidemux                           	       0       29        3        0       26
16078 avidemux-cli                       	       0        4        3        1        0
16079 avidemux3-cli-dev                  	       0        1        1        0        0
16080 avidemux3-cli-runtime              	       0        3        3        0        0
16081 avidemux3-core-dev                 	       0        1        1        0        0
16082 avidemux3-core-runtime             	       0        3        0        0        3
16083 avidemux3-plugins-cli-plugins      	       0        3        3        0        0
16084 avidemux3-plugins-common-plugins   	       0        3        3        0        0
16085 avidemux3-plugins-qt5-plugins      	       0        3        3        0        0
16086 avidemux3-qt5-dev                  	       0        1        1        0        0
16087 avidemux3-qt5-runtime              	       0        3        3        0        0
16088 avidemux3-settings-settings        	       0        3        3        0        0
16089 avinfo                             	       0        1        1        0        0
16090 avisynthplus-yuuki                 	       0        1        0        0        1
16091 avldrums.lv2-data                  	       0        2        2        0        0
16092 avldrums.lv2-soundfont             	       0        6        6        0        0
16093 avm-firmware                       	       0        1        1        0        0
16094 avm-source                         	       0        1        0        0        1
16095 avogadro-data                      	       0        4        0        0        4
16096 avra                               	       0       22       22        0        0
16097 avrdude-doc                        	       0       22        0        0       22
16098 avrp                               	       0       12       12        0        0
16099 avrprog                            	       0        1        1        0        0
16100 avw.lv2                            	       0        1        0        0        1
16101 aweather                           	       0        1        1        0        0
16102 awesfx                             	       0        9        9        0        0
16103 awesome-dbgsym                     	       0        1        1        0        0
16104 awesome-doc                        	       0       11        0        0       11
16105 awf-gtk3                           	       0        2        2        0        0
16106 awf-gtk4                           	       0        1        1        0        0
16107 awffull                            	       0        1        1        0        0
16108 awscli                             	       0       22       22        0        0
16109 ax25-node                          	       0        1        1        0        0
16110 ax25spyd                           	       0        1        1        0        0
16111 axe                                	       0        3        3        0        0
16112 axe-demultiplexer                  	       0        1        1        0        0
16113 axiom                              	       0        2        1        1        0
16114 axiom-databases                    	       0        2        1        1        0
16115 axiom-doc                          	       0        2        0        0        2
16116 axiom-graphics                     	       0        2        1        1        0
16117 axiom-graphics-data                	       0        2        1        1        0
16118 axiom-hypertex                     	       0        2        1        1        0
16119 axiom-hypertex-data                	       0        2        0        0        2
16120 axiom-source                       	       0        3        0        0        3
16121 axis-archive-keyring               	       0        1        0        0        1
16122 axmail                             	       0        2        2        0        0
16123 axoloti                            	       0        1        1        0        0
16124 axprotector                        	       0        1        0        0        1
16125 ayatana-indicator-datetime         	       0        1        1        0        0
16126 ayatana-indicator-keyboard         	       0        1        1        0        0
16127 ayatana-indicator-messages         	       0        1        1        0        0
16128 ayatana-indicator-notifications    	       0        1        1        0        0
16129 ayatana-indicator-power            	       0        2        2        0        0
16130 ayatana-indicator-printers         	       0        2        2        0        0
16131 ayatana-indicator-sound            	       0        1        1        0        0
16132 ayatana-settings                   	       0        1        1        0        0
16133 ayatana-webmail                    	       0        1        1        0        0
16134 ayttm                              	       0        1        1        0        0
16135 ayu-theme                          	       0        1        0        0        1
16136 azure-cli                          	       0       13       13        0        0
16137 azuredatastudio                    	       0        1        1        0        0
16138 babeld                             	       0        1        1        0        0
16139 babeltrace                         	       0        1        1        0        0
16140 backblaze-b2                       	       0        1        1        0        0
16141 backdown                           	       0        1        1        0        0
16142 backintime-qt4                     	       0        2        1        0        1
16143 backup-common-2pir                 	       0        1        0        0        1
16144 backup-manager                     	       0        5        5        0        0
16145 backup-manager-doc                 	       0        2        0        0        2
16146 backuppc-rsync                     	       0        8        0        0        8
16147 bacon                              	       0        1        1        0        0
16148 bacula                             	       0        1        0        0        1
16149 bacula-bscan                       	       0        3        3        0        0
16150 bacula-client                      	       0        6        1        0        5
16151 bacula-common-mysql                	       0        1        1        0        0
16152 bacula-console-qt                  	       0        2        2        0        0
16153 bacula-director-common             	       0        1        0        0        1
16154 bacula-director-mysql              	       0        1        0        0        1
16155 bacula-director-pgsql              	       0        2        0        0        2
16156 bacula-server                      	       0        1        0        0        1
16157 baidunetdisk                       	       0        2        2        0        0
16158 bake                               	       0        1        1        0        0
16159 balance                            	       0        1        1        0        0
16160 balazar                            	       0        1        1        0        0
16161 balazar3                           	       0        2        0        0        2
16162 balazar3-2d                        	       0        1        0        0        1
16163 balazar3-3d                        	       0        2        0        0        2
16164 balazar3-common                    	       0        2        2        0        0
16165 balazarbrothers                    	       0        1        1        0        0
16166 balder2d                           	       0        1        1        0        0
16167 balder2d-data                      	       0        1        0        0        1
16168 ballerburg                         	       0        4        4        0        0
16169 ballview                           	       0        1        1        0        0
16170 ballz                              	       0        5        5        0        0
16171 ballz-data                         	       0        5        0        0        5
16172 baloo-kf5-dev                      	       0        4        4        0        0
16173 balsa                              	       0        2        2        0        0
16174 balsa-data                         	       0        2        0        0        2
16175 bam                                	       0        4        4        0        0
16176 bambootracker                      	       0        4        4        0        0
16177 bandit                             	       0        2        0        0        2
16178 bandwidthd                         	       0        1        1        0        0
16179 banshee                            	       0        5        5        0        0
16180 banshee-community-extensions       	       0        1        0        0        1
16181 banshee-extension-alarm            	       0        1        1        0        0
16182 banshee-extension-albumartwriter   	       0        1        1        0        0
16183 banshee-extension-ampache          	       0        1        1        0        0
16184 banshee-extension-awn              	       0        1        1        0        0
16185 banshee-extension-coverwallpaper   	       0        1        1        0        0
16186 banshee-extension-duplicatesongdetector	       0        1        1        0        0
16187 banshee-extension-foldersync       	       0        1        1        0        0
16188 banshee-extension-jamendo          	       0        1        1        0        0
16189 banshee-extension-lastfmfingerprint	       0        1        1        0        0
16190 banshee-extension-lcd              	       0        1        1        0        0
16191 banshee-extension-lirc             	       0        1        1        0        0
16192 banshee-extension-liveradio        	       0        1        1        0        0
16193 banshee-extension-lyrics           	       0        1        1        0        0
16194 banshee-extension-magnatune        	       0        1        1        0        0
16195 banshee-extension-mirage           	       0        2        2        0        0
16196 banshee-extension-openvp           	       0        1        1        0        0
16197 banshee-extension-radiostationfetcher	       0        1        1        0        0
16198 banshee-extension-randombylastfm   	       0        1        1        0        0
16199 banshee-extension-telepathy        	       0        1        1        0        0
16200 banshee-extension-zeitgeistdataprovider	       0        1        1        0        0
16201 banshee-extensions-common          	       0        2        0        0        2
16202 banyanapp                          	       0        1        0        0        1
16203 bar                                	       0        3        3        0        0
16204 bareftp                            	       0        1        1        0        0
16205 bareos-client                      	       0        4        0        0        4
16206 bareos-webui                       	       0        1        1        0        0
16207 baresip                            	       0        9        0        0        9
16208 baresip-ffmpeg                     	       0       10       10        0        0
16209 baresip-gstreamer                  	       0       11       11        0        0
16210 barnowl                            	       0        1        1        0        0
16211 barrage                            	       0        3        3        0        0
16212 bart                               	       0        2        2        0        0
16213 bart-view                          	       0        2        2        0        0
16214 base-files                         	       0     4176        0        0     4176
16215 base58                             	       0       17       17        0        0
16216 basex                              	       0        4        4        0        0
16217 bash-argsparse                     	       0        1        0        0        1
16218 bash-charmap                       	       0        1        1        0        0
16219 bash-deb-build                     	       0        1        1        0        0
16220 bash-doc                           	       0       45        0        0       45
16221 bash-optimg                        	       0        1        1        0        0
16222 bashacks                           	       0        3        3        0        0
16223 bashacks-doc                       	       0        3        0        0        3
16224 bashdb                             	       0        1        1        0        0
16225 bashtop                            	       0        8        8        0        0
16226 basilisk2                          	       0        6        6        0        0
16227 basix-doc                          	       0        1        0        0        1
16228 basket-data                        	       0       10        0        0       10
16229 basket-kontact-integration-trinity 	       0        1        1        0        0
16230 basket-trinity                     	       0        1        1        0        0
16231 bastion                            	       0        1        0        0        1
16232 batmon.app                         	       0        3        3        0        0
16233 bats                               	       0        4        4        0        0
16234 bats-assert                        	       0        2        2        0        0
16235 bats-file                          	       0        2        2        0        0
16236 bats-support                       	       0        2        2        0        0
16237 bauh                               	       0        4        1        3        0
16238 bauh-trinity                       	       0        1        0        0        1
16239 bazaar-doc                         	       0        1        0        0        1
16240 bazel-6.1.0                        	       0        1        1        0        0
16241 bazel-bootstrap                    	       0        2        2        0        0
16242 bazel-bootstrap-data               	       0        2        2        0        0
16243 bazel-platforms                    	       0        1        0        0        1
16244 bazel-rules-cc                     	       0        1        1        0        0
16245 bazel-rules-java                   	       0        1        0        0        1
16246 bazel-rules-proto                  	       0        1        1        0        0
16247 bazel-skylib                       	       0        1        0        0        1
16248 bb                                 	       0       24       24        0        0
16249 bbdb                               	       0        2        0        0        2
16250 bbdb3                              	       0        4        4        0        0
16251 bbe                                	       0        3        3        0        0
16252 bbrun                              	       0        5        5        0        0
16253 bbswitch-dkms                      	       0       21        0        0       21
16254 bbswitch-source                    	       0        2        0        0        2
16255 bcache-tools                       	       0       10       10        0        0
16256 bcachefs-tools                     	       0        2        2        0        0
16257 bcachefs-tools-dbgsym              	       0        1        1        0        0
16258 bcal                               	       0        3        3        0        0
16259 bcc                                	       0        8        8        0        0
16260 bcftools                           	       0        1        1        0        0
16261 bcm5700-source                     	       0        1        0        0        1
16262 bcnc                               	       0        3        3        0        0
16263 bcompare                           	       0        8        6        2        0
16264 bcpp                               	       0        9        9        0        0
16265 bcrelay                            	       0        6        6        0        0
16266 bcron                              	       0        1        1        0        0
16267 bd                                 	       0        3        2        1        0
16268 bda-monitoring-plugins-bridge-interface	       0        1        1        0        0
16269 bda-monitoring-plugins-broken-symlinks	       0        1        1        0        0
16270 bda-monitoring-plugins-cert-local  	       0        1        1        0        0
16271 bda-monitoring-plugins-count-files 	       0        1        1        0        0
16272 bda-monitoring-plugins-file-age-redux	       0        1        1        0        0
16273 bda-monitoring-plugins-file-md5sum 	       0        1        1        0        0
16274 bda-monitoring-plugins-imap-login  	       0        1        1        0        0
16275 bda-monitoring-plugins-letsencrypt-expiry-1.0	       0        1        1        0        0
16276 bda-monitoring-plugins-mdadm-status	       0        1        1        0        0
16277 bda-monitoring-plugins-pjsip-registration	       0        1        1        0        0
16278 bda-monitoring-plugins-sync-krb    	       0        1        1        0        0
16279 bda-monitoring-plugins-unknown-mac 	       0        1        1        0        0
16280 bdebstrap                          	       0        3        3        0        0
16281 bdf2psf                            	       0       28       25        3        0
16282 bdf2sfd                            	       0        2        2        0        0
16283 bdfresize                          	       0        6        6        0        0
16284 bdvmdbg                            	       0        4        4        0        0
16285 beagle                             	       0        1        1        0        0
16286 beancount                          	       0        1        1        0        0
16287 beancounter                        	       0        2        2        0        0
16288 bear                               	       0       12       12        0        0
16289 beast-mcmc                         	       0        1        1        0        0
16290 beast2-mcmc                        	       0        1        1        0        0
16291 beav                               	       0        6        6        0        0
16292 bedtools                           	       0        4        4        0        0
16293 beebeep                            	       0        1        1        0        0
16294 beegfs-client                      	       0        1        1        0        0
16295 beegfs-common                      	       0        1        0        0        1
16296 beegfs-helperd                     	       0        1        1        0        0
16297 beegfs-utils                       	       0        1        1        0        0
16298 beekeeper-studio                   	       0        4        1        2        1
16299 beep-media-player                  	       0        1        1        0        0
16300 beep-media-player-wma              	       0        1        1        0        0
16301 beets                              	       0       13       13        0        0
16302 beets-doc                          	       0        5        0        0        5
16303 beid-mozilla-extension             	       0        9        0        0        9
16304 beid-mozilla-webext                	       0        9        1        0        8
16305 beignet                            	       0        3        0        0        3
16306 beignet-opencl-icd                 	       0        9        0        0        9
16307 bellsoft-java11-full               	       0        1        1        0        0
16308 bellsoft-java13-full               	       0        1        1        0        0
16309 bellsoft-java14-full               	       0        1        1        0        0
16310 bellsoft-java15-full               	       0        1        1        0        0
16311 bellsoft-java16-full               	       0        1        1        0        0
16312 bellsoft-java21-full               	       0        1        1        0        0
16313 bellsoft-java8                     	       0        1        1        0        0
16314 bellsoft-java8-full                	       0        1        1        0        0
16315 belvu                              	       0        2        2        0        0
16316 bemenu                             	       0        9        9        0        0
16317 beneath-a-steel-sky                	       0       20       20        0        0
16318 bento4                             	       0        1        1        0        0
16319 bepasty                            	       0        1        1        0        0
16320 berkeley-abc                       	       0       11       11        0        0
16321 berusky                            	       0       15       15        0        0
16322 berusky-data                       	       0       15        0        0       15
16323 berusky2                           	       0        8        8        0        0
16324 berusky2-data                      	       0        8        0        0        8
16325 between                            	       0       11       11        0        0
16326 bf-utf-source                      	       0        8        0        0        8
16327 bfgminer                           	       0        1        1        0        0
16328 bforartists                        	       0        2        2        0        0
16329 bfs                                	       0        3        3        0        0
16330 bgcode                             	       0        1        0        1        0
16331 bgconf                             	       0       16       15        1        0
16332 bgpdump                            	       0        1        1        0        0
16333 bgpq3                              	       0        4        4        0        0
16334 bgpq4                              	       0        4        4        0        0
16335 biabam                             	       0        2        2        0        0
16336 bibata-cursor-theme                	       0       17        0        0       17
16337 bibclean                           	       0       10       10        0        0
16338 bibcursed                          	       0        4        4        0        0
16339 bible-kjv                          	       0       10       10        0        0
16340 bible-kjv-text                     	       0       11        0        0       11
16341 bibledit                           	       0        3        3        0        0
16342 bibledit-bibletime                 	       0        1        1        0        0
16343 bibledit-cloud                     	       0        1        1        0        0
16344 bibledit-cloud-data                	       0        1        1        0        0
16345 bibledit-data                      	       0        3        3        0        0
16346 bibledit-gtk                       	       0        1        1        0        0
16347 bibledit-gtk-data                  	       0        1        1        0        0
16348 bibledit-xiphos                    	       0        1        1        0        0
16349 bibletime                          	       0       20       20        0        0
16350 bibletime-data                     	       0       20        0        0       20
16351 biblioteq                          	       0        1        0        0        1
16352 bibtexconv                         	       0        5        5        0        0
16353 bibtool                            	       0       13       13        0        0
16354 bibus-doc-en                       	       0        1        0        0        1
16355 bibutils                           	       0        9        9        0        0
16356 bidentd                            	       0        1        1        0        0
16357 bidiv                              	       0        7        7        0        0
16358 biew                               	       0        1        1        0        0
16359 biff                               	       0       11       10        1        0
16360 big-cursor                         	       0       30        0        0       30
16361 biglybt                            	       0        4        4        0        0
16362 bijiben                            	       0        6        6        0        0
16363 billard-gl                         	       0       13       13        0        0
16364 billard-gl-data                    	       0       13        0        0       13
16365 biloba                             	       0        4        4        0        0
16366 biloba-data                        	       0        4        0        0        4
16367 binance                            	       0        1        1        0        0
16368 binaryen                           	       0       12       12        0        0
16369 bind-dnsutils                      	       0        1        1        0        0
16370 bind-host                          	       0        1        1        0        0
16371 bind-libs                          	       0        1        0        0        1
16372 bind9-dev                          	       0        3        3        0        0
16373 bind9-doc                          	       0       37        0        0       37
16374 bindechexascii                     	       0        6        6        0        0
16375 bindfs                             	       0       14       14        0        0
16376 bindgen                            	       0        5        5        0        0
16377 biniax2                            	       0       15       15        0        0
16378 biniax2-data                       	       0       15        0        0       15
16379 bino                               	       0        3        3        0        0
16380 binpac                             	       0        1        1        0        0
16381 binutils-aarch64-linux-gnu-dbg     	       0        1        1        0        0
16382 binutils-alpha-linux-gnu           	       0        3        2        1        0
16383 binutils-arm-elf                   	       0        1        1        0        0
16384 binutils-arm-linux-gnueabi         	       0       29       28        1        0
16385 binutils-arm-linux-gnueabi-dbg     	       0        1        1        0        0
16386 binutils-arm-linux-gnueabihf       	       0       38       36        2        0
16387 binutils-common                    	       0     2708        0        0     2708
16388 binutils-dbg                       	       0        1        0        1        0
16389 binutils-djgpp                     	       0        1        1        0        0
16390 binutils-doc                       	       0       55        0        0       55
16391 binutils-for-build                 	       0        3        0        0        3
16392 binutils-for-host                  	       0        2        0        0        2
16393 binutils-gold-powerpc64le-linux-gnu	       0        1        1        0        0
16394 binutils-hppa-linux-gnu            	       0        4        3        1        0
16395 binutils-hppa-linux-gnu-dbg        	       0        1        1        0        0
16396 binutils-hppa64-linux-gnu          	       0        5        5        0        0
16397 binutils-hppa64-linux-gnu-dbg      	       0        1        1        0        0
16398 binutils-i686-gnu                  	       0        1        1        0        0
16399 binutils-ia16-elf                  	       0        1        1        0        0
16400 binutils-m68hc1x                   	       0        1        1        0        0
16401 binutils-m68k-linux-gnu            	       0        1        1        0        0
16402 binutils-mingw-w64                 	       0        9        0        0        9
16403 binutils-mingw-w64-ucrt64          	       0        3        3        0        0
16404 binutils-mips-linux-gnu            	       0        7        7        0        0
16405 binutils-mips64-linux-gnuabi64     	       0        1        1        0        0
16406 binutils-mips64el-linux-gnuabi64   	       0        4        4        0        0
16407 binutils-mipsel-linux-gnu          	       0        8        8        0        0
16408 binutils-msp430                    	       0        2        2        0        0
16409 binutils-multiarch-dbg             	       0        1        1        0        0
16410 binutils-multiarch-dev             	       0        4        0        0        4
16411 binutils-or1k-elf                  	       0        1        1        0        0
16412 binutils-powerpc-linux-gnu         	       0        5        4        1        0
16413 binutils-powerpc64-linux-gnu       	       0        6        5        1        0
16414 binutils-riscv64-linux-gnu         	       0       11       10        1        0
16415 binutils-riscv64-unknown-elf       	       0        3        3        0        0
16416 binutils-s390x-linux-gnu           	       0        4        3        1        0
16417 binutils-source                    	       0        2        0        0        2
16418 binutils-sparc64-linux-gnu         	       0        3        2        1        0
16419 binutils-x86-64-linux-gnu-dbg      	       0        2        1        1        0
16420 binutils-x86-64-linux-gnux32       	       0        6        6        0        0
16421 binutils-xtensa-lx106              	       0        2        2        0        0
16422 binutils-z80                       	       0        6        6        0        0
16423 bioperl                            	       0        2        2        0        0
16424 bioperl-run                        	       0        2        2        0        0
16425 biosdisk                           	       0        1        1        0        0
16426 biosig-tools                       	       0        2        2        0        0
16427 bird-bgp                           	       0        1        0        0        1
16428 bird-doc                           	       0        2        0        0        2
16429 birdfont-common                    	       0        8        0        0        8
16430 birthday                           	       0        4        4        0        0
16431 bison-doc                          	       0       22       22        0        0
16432 bisonc++                           	       0        5        5        0        0
16433 bisonc++-doc                       	       0        4        4        0        0
16434 bisq                               	       0        8        8        0        0
16435 bit4id-ipki                        	       0        1        1        0        0
16436 bitcoin-cpuminer                   	       0        1        1        0        0
16437 bitcoin-tx                         	       0        1        1        0        0
16438 bitcoind                           	       0        3        3        0        0
16439 bitlbee-common                     	       0       12        0        0       12
16440 bitlbee-dev                        	       0        2        1        0        1
16441 bitlbee-libpurple-dbgsym           	       0        1        1        0        0
16442 bitlbee-plugin-facebook            	       0        1        1        0        0
16443 bitmap-mule                        	       0        1        1        0        0
16444 bitmath                            	       0        1        1        0        0
16445 bitmeter                           	       0        2        2        0        0
16446 bitpim-lib                         	       0        1        1        0        0
16447 bitscope-dso                       	       0        1        1        0        0
16448 bitshuffle                         	       0        1        1        0        0
16449 bitsnpicas                         	       0        1        1        0        0
16450 bitsquare                          	       0        1        1        0        0
16451 bitstormlite                       	       0        2        2        0        0
16452 bittorrent-gui                     	       0        3        3        0        0
16453 bitwarden                          	       0        4        1        0        3
16454 bitwise                            	       0        2        2        0        0
16455 bjeps2xx                           	       0        1        1        0        0
16456 bkchem                             	       0        1        1        0        0
16457 bkt                                	       0        1        1        0        0
16458 blabel                             	       0        1        1        0        0
16459 black-box                          	       0       13       12        1        0
16460 blackbird-gtk-theme                	       0      166        0        0      166
16461 blackbox-terminal                  	       0        1        1        0        0
16462 blackbox-themes                    	       0        4        0        0        4
16463 blackdev-plymouth-theme            	       0        1        0        0        1
16464 blacs-mpi-test                     	       0        1        1        0        0
16465 blacs-test-common                  	       0        1        1        0        0
16466 blanket                            	       0        1        1        0        0
16467 blast                              	       0        1        1        0        0
16468 blast2                             	       0        3        0        0        3
16469 blastem                            	       0        9        9        0        0
16470 blcr-util                          	       0        1        1        0        0
16471 blender-data                       	       0      181      179        2        0
16472 blender-dbg                        	       0        1        1        0        0
16473 blender-doc                        	       0        2        0        0        2
16474 blender-ogrexml-1.9                	       0        6        6        0        0
16475 blends-doc                         	       0        3        0        0        3
16476 blends-tasks                       	       0        3        0        0        3
16477 blendsel                           	       0        1        0        1        0
16478 blendsel-data                      	       0        1        0        0        1
16479 blepvco                            	       0        8        8        0        0
16480 blhc                               	       0        1        1        0        0
16481 blight                             	       0        2        2        0        0
16482 blink                              	       0        1        1        0        0
16483 blinkd                             	       0        1        1        0        0
16484 blinken-trinity                    	       0       24       22        2        0
16485 bliss                              	       0        5        5        0        0
16486 blobandconquer                     	       0        3        3        0        0
16487 blobandconquer-data                	       0        4        4        0        0
16488 blobby-build-deps                  	       0        1        0        0        1
16489 blobby-data                        	       0        8        0        0        8
16490 blobby-dbgsym                      	       0        1        1        0        0
16491 blobby-server                      	       0        2        2        0        0
16492 bloboats                           	       0        5        5        0        0
16493 blobwars                           	       0       12       12        0        0
16494 blobwars-data                      	       0       12       12        0        0
16495 blockade                           	       0        1        1        0        0
16496 blockattack                        	       0       18       18        0        0
16497 blockbench                         	       0        1        0        0        1
16498 blocks-of-the-undead               	       0       10       10        0        0
16499 blocks-of-the-undead-data          	       0       10        0        0       10
16500 blogc                              	       0        1        1        0        0
16501 blogc-make                         	       0        1        1        0        0
16502 blogc-runserver                    	       0        1        1        0        0
16503 blogilo                            	       0        1        1        0        0
16504 blop                               	       0       11       11        0        0
16505 blosxom                            	       0        1        1        0        0
16506 bls-standalone                     	       0        2        2        0        0
16507 blt                                	       0      905        0        0      905
16508 blt-demo                           	       0       16        0        0       16
16509 blt-dev                            	       0       14       13        1        0
16510 bluebrain-hpc-coding-conventions   	       0        1        0        0        1
16511 bluefish-doc-pdf                   	       0        1        0        0        1
16512 bluefish-doc-ps                    	       0        1        0        0        1
16513 bluegriffon                        	       0        4        4        0        0
16514 bluej                              	       0        5        5        0        0
16515 bluejeans-v2                       	       0        3        0        0        3
16516 bluemindo                          	       0        1        1        0        0
16517 blueprint                          	       0        1        1        0        0
16518 blueprint-compiler                 	       0        1        1        0        0
16519 blueprint-tools                    	       0        2        2        0        0
16520 bluetooth                          	       0     1555        0        0     1555
16521 bluewho                            	       0        2        2        0        0
16522 bluez-alsa                         	       0        2        0        0        2
16523 bluez-alsa-utils                   	       0       57       54        3        0
16524 bluez-compat                       	       0        3        3        0        0
16525 bluez-cups                         	       0       47       46        1        0
16526 bluez-gstreamer                    	       0        2        0        0        2
16527 bluez-meshd                        	       0       25       25        0        0
16528 bluez-source                       	       0       18        0        0       18
16529 bluez-test-scripts                 	       0       34        0        0       34
16530 bluez-test-tools                   	       0       38       37        1        0
16531 bluez-tools-dbgsym                 	       0        1        1        0        0
16532 bluez-utils                        	       0        3        0        0        3
16533 blupimania                         	       0        2        2        0        0
16534 blupimania-common                  	       0        2        0        0        2
16535 blur-effect                        	       0        3        3        0        0
16536 bluraybackup                       	       0        4        4        0        0
16537 bmagic                             	       0        1        1        0        0
16538 bmt                                	       0        1        1        0        0
16539 bnfc                               	       0        2        2        0        0
16540 boca.amd64                         	       0        1        1        0        0
16541 bochs-doc                          	       0        6        0        0        6
16542 bochs-sdl                          	       0        7        1        0        6
16543 bochs-term                         	       0        5        2        0        3
16544 bochs-wx                           	       0       12        3        0        9
16545 bochs-x                            	       0        4        2        0        2
16546 bochsbios                          	       0       16        0        0       16
16547 bodr                               	       0        9        0        0        9
16548 bogl-bterm                         	       0        1        1        0        0
16549 bogl-utils                         	       0        3        3        0        0
16550 bogofilter                         	       0      301        0        0      301
16551 bogofilter-common                  	       0      303        0        0      303
16552 boinc                              	       0       15        0        0       15
16553 boinc-app-seti                     	       0        1        0        0        1
16554 boinc-client-opencl                	       0        1        0        0        1
16555 boinc-screensaver                  	       0        2        2        0        0
16556 boinc-virtualbox                   	       0        2        0        0        2
16557 bolt-16                            	       0        1        1        0        0
16558 bolt-tests                         	       0        1        0        0        1
16559 bombadillo                         	       0        1        1        0        0
16560 bomber                             	       0       59       58        1        0
16561 bomberclone-data                   	       0       14        0        0       14
16562 bombermaze                         	       0        1        1        0        0
16563 bombono-dvd                        	       0        1        1        0        0
16564 bombono-dvd-data                   	       0        3        0        0        3
16565 bomstrip                           	       0        1        1        0        0
16566 boogie                             	       0        1        1        0        0
16567 boohu                              	       0        4        4        0        0
16568 booksorg                           	       0        1        1        0        0
16569 boolector                          	       0        1        1        0        0
16570 boost-defaults-build-deps          	       0        1        0        0        1
16571 boost1.71-build-deps               	       0        1        0        0        1
16572 boot-info                          	       0        1        1        0        0
16573 boot-info-script                   	       0        7        7        0        0
16574 boot-repair                        	       0        3        3        0        0
16575 boot-sav                           	       0        3        0        0        3
16576 boot-sav-extra                     	       0        3        0        0        3
16577 bootchart                          	       0        2        2        0        0
16578 bootchart-view                     	       0        1        1        0        0
16579 bootchart2                         	       0        2        2        0        0
16580 bootiso                            	       0        1        1        0        0
16581 bootlogd-dbgsym                    	       0        2        2        0        0
16582 bootp                              	       0        5        5        0        0
16583 bootpc                             	       0        2        2        0        0
16584 bootscript-odroidc4                	       0        1        0        0        1
16585 bootscript-odroidm1                	       0        1        0        0        1
16586 bootstrap-icons                    	       0        2        0        0        2
16587 bootterm                           	       0        2        2        0        0
16588 borgbackup-doc                     	       0       10        0        0       10
16589 borgbackup2                        	       0        1        1        0        0
16590 borgcalendar                       	       0        2        2        0        0
16591 borgmatic                          	       0       15       15        0        0
16592 bos                                	       0        1        1        0        0
16593 bosh                               	       0        5        5        0        0
16594 boson                              	       0        1        1        0        0
16595 boson-data                         	       0        1        0        0        1
16596 boson-music                        	       0        1        0        0        1
16597 bossa                              	       0        4        4        0        0
16598 bossa-cli                          	       0        5        5        0        0
16599 boswars-data                       	       0       12        0        0       12
16600 botan                              	       0        5        5        0        0
16601 botch                              	       0        1        1        0        0
16602 botch-doc                          	       0        2        0        0        2
16603 bottom                             	       0        3        3        0        0
16604 boulder-game                       	       0        1        1        0        0
16605 bouncy                             	       0       10       10        0        0
16606 bovo                               	       0       56       55        1        0
16607 bowtie                             	       0        3        3        0        0
16608 bowtie2                            	       0        2        2        0        0
16609 boxer                              	       0        1        1        0        0
16610 boxer-data                         	       0        1        0        0        1
16611 boxshade                           	       0        2        2        0        0
16612 bpfcc-tools                        	       0        3        3        0        0
16613 bpfmon                             	       0       27       27        0        0
16614 bpftool                            	       0        7        7        0        0
16615 bpftrace                           	       0        5        5        0        0
16616 bpm-tools                          	       0        3        3        0        0
16617 bpta                               	       0        1        0        0        1
16618 bpython                            	       0        8        8        0        0
16619 bpython3                           	       0        1        1        0        0
16620 br2684ctl                          	       0        1        1        0        0
16621 brag                               	       0        2        2        0        0
16622 braillefont                        	       0        1        1        0        0
16623 braindump                          	       0        1        1        0        0
16624 brainparty-data                    	       0       16        0        0       16
16625 brandy                             	       0        6        6        0        0
16626 brasero-cdrkit                     	       0      376        0        0      376
16627 brasero-common                     	       0      608        0        0      608
16628 brave-browser-nightly              	       0        4        4        0        0
16629 brave-keyring                      	       0      205        0        0      205
16630 brazilian-conjugate                	       0        2        2        0        0
16631 breathe-doc                        	       0        1        0        0        1
16632 breeze-cursor-theme                	       0      653        0        0      653
16633 breeze-dev                         	       0        3        0        0        3
16634 breeze-gtk-theme                   	       0      583        0        0      583
16635 breeze-icon-theme                  	       0      690        0        0      690
16636 breeze-icon-theme-rcc              	       0       17        0        0       17
16637 breeze-wallpaper                   	       0       36        0        0       36
16638 breitbandmessung                   	       0        1        0        0        1
16639 brewtarget                         	       0        2        2        0        0
16640 brgenml1cupswrapper                	       0        5        0        0        5
16641 brgenml1lpr                        	       0        3        0        0        3
16642 brhl2040lpr                        	       0        1        1        0        0
16643 brhl2140lpr                        	       0        2        2        0        0
16644 briar-desktop                      	       0        3        3        0        0
16645 brick-flash                        	       0        1        1        0        0
16646 brickos-doc                        	       0        1        0        0        1
16647 brickv                             	       0        1        1        0        0
16648 bricscadv19                        	       0        1        1        0        0
16649 bricscadv20                        	       0        1        1        0        0
16650 bricscadv22                        	       0        1        1        0        0
16651 bricscadv23                        	       0        2        2        0        0
16652 bricscadv24                        	       0        2        2        0        0
16653 bricscadv25                        	       0        1        1        0        0
16654 brig                               	       0        1        1        0        0
16655 brightd                            	       0        5        5        0        0
16656 brightnessctl-dbgsym               	       0        1        1        0        0
16657 brightnesspicker                   	       0        1        1        0        0
16658 briquolo                           	       0        9        9        0        0
16659 briquolo-data                      	       0        9        0        0        9
16660 bristol                            	       0        4        4        0        0
16661 bristol-data                       	       0        4        0        0        4
16662 brlcad                             	       0        1        1        0        0
16663 brltty-build-deps                  	       0        1        0        0        1
16664 brltty-speechd                     	       0        1        1        0        0
16665 brmfc7320lpr                       	       0        1        1        0        0
16666 brmfc7820nlpr                      	       0        1        1        0        0
16667 brmfcfaxcups                       	       0        3        3        0        0
16668 brmfcfaxdrv                        	       0        1        0        0        1
16669 brmfcfaxlpd                        	       0        1        0        0        1
16670 bro-aux                            	       0        1        1        0        0
16671 bro-common                         	       0        1        0        0        1
16672 broadcom-sta-common                	       0        7        0        0        7
16673 broadcom-sta-source                	       0        6        0        0        6
16674 broot                              	       0        3        2        1        0
16675 brother-udev-rule-type1            	       0        8        0        0        8
16676 browser-plugin-evince              	       0        4        4        0        0
16677 browser-plugin-freshplayer-nacl    	       0        1        1        0        0
16678 browser-plugin-freshplayer-pepperflash	       0        6        0        0        6
16679 browser-plugin-lightspark          	       0        2        2        0        0
16680 browser-plugin-vlc                 	       0        1        1        0        0
16681 browsh                             	       0        7        7        0        0
16682 brp-pacu                           	       0        3        3        0        0
16683 brscan2                            	       0        8        8        0        0
16684 brscan3                            	       0        9        8        1        0
16685 brscan5                            	       0        8        1        0        7
16686 bruno                              	       0        3        0        0        3
16687 brutalchess                        	       0       16       16        0        0
16688 brutefir                           	       0        1        1        0        0
16689 brz-debian                         	       0        4        4        0        0
16690 brz-doc                            	       0       12        0        0       12
16691 brz-loom                           	       0        1        1        0        0
16692 bs1770gain                         	       0        8        8        0        0
16693 bs2b-ladspa                        	       0        6        6        0        0
16694 bsc                                	       0        1        1        0        0
16695 bsdcpio                            	       0        4        0        0        4
16696 bsdextrautils-dbgsym               	       0        1        1        0        0
16697 bsdiff-dbgsym                      	       0        1        1        0        0
16698 bsdowl                             	       0        1        1        0        0
16699 bsdtar                             	       0       20        0        0       20
16700 bsdutils-dbgsym                    	       0        2        2        0        0
16701 bsh-doc                            	       0       13        0        0       13
16702 bsh-gcj                            	       0        1        1        0        0
16703 bsh-src                            	       0        1        0        0        1
16704 btag                               	       0        5        5        0        0
16705 btanks                             	       0       11       11        0        0
16706 btanks-data                        	       0       11        0        0       11
16707 btest                              	       0        1        1        0        0
16708 btfs                               	       0        4        4        0        0
16709 btoa                               	       0        1        1        0        0
16710 btrbk                              	       0        5        5        0        0
16711 btrfs-assistant                    	       0        2        2        0        0
16712 btrfs-compsize                     	       0       24       24        0        0
16713 btrfs-heatmap                      	       0       22       22        0        0
16714 btrfs-tools                        	       0       45        1        0       44
16715 btrfsmaintenance                   	       0       15       15        0        0
16716 btrustbiss                         	       0        1        1        0        0
16717 btyacc                             	       0        1        1        0        0
16718 bubblefishymon                     	       0        3        3        0        0
16719 bubbros                            	       0        1        1        0        0
16720 bucardo                            	       0        1        1        0        0
16721 buckap                             	       0        2        2        0        0
16722 bucklespring                       	       0        7        7        0        0
16723 bucklespring-data                  	       0        7        0        0        7
16724 budgie-app-launcher-applet         	       0        1        0        0        1
16725 budgie-applications-menu-applet    	       0        3        0        0        3
16726 budgie-appmenu-applet              	       0        1        0        0        1
16727 budgie-backgrounds                 	       0        1        0        0        1
16728 budgie-brightness-controller-applet	       0        4        0        0        4
16729 budgie-clockworks-applet           	       0        1        0        0        1
16730 budgie-control-center              	       0        4        4        0        0
16731 budgie-control-center-data         	       0        4        0        0        4
16732 budgie-core                        	       0        6        6        0        0
16733 budgie-core-dev                    	       0        1        1        0        0
16734 budgie-countdown-applet            	       0        1        0        0        1
16735 budgie-desktop                     	       0        6        0        0        6
16736 budgie-desktop-doc                 	       0        2        0        0        2
16737 budgie-desktop-view                	       0        7        7        0        0
16738 budgie-dropby-applet               	       0        1        0        0        1
16739 budgie-extras-common               	       0        7        0        0        7
16740 budgie-extras-daemon               	       0        2        2        0        0
16741 budgie-hotcorners-applet           	       0        1        1        0        0
16742 budgie-indicator-applet            	       0        1        0        0        1
16743 budgie-kangaroo-applet             	       0        1        0        0        1
16744 budgie-keyboard-autoswitch-applet  	       0        2        0        0        2
16745 budgie-network-manager-applet      	       0        1        0        0        1
16746 budgie-previews                    	       0        1        1        0        0
16747 budgie-previews-applet             	       0        1        0        0        1
16748 budgie-quickchar                   	       0        1        1        0        0
16749 budgie-quicknote-applet            	       0        1        0        0        1
16750 budgie-recentlyused-applet         	       0        1        0        0        1
16751 budgie-rotation-lock-applet        	       0        1        0        0        1
16752 budgie-showtime-applet             	       0        1        0        0        1
16753 budgie-sntray-plugin               	       0        2        0        0        2
16754 budgie-takeabreak-applet           	       0        1        0        0        1
16755 budgie-trash-applet                	       0        1        0        0        1
16756 budgie-visualspace-applet          	       0        1        0        0        1
16757 budgie-weathershow-applet          	       0        2        0        0        2
16758 budgie-window-mover-applet         	       0        1        0        0        1
16759 budgie-window-shuffler             	       0        2        2        0        0
16760 budgie-workspace-overview-applet   	       0        1        0        0        1
16761 budgie-workspace-stopwatch-applet  	       0        1        0        0        1
16762 budgie-workspace-wallpaper-applet  	       0        1        0        0        1
16763 buffy                              	       0        1        1        0        0
16764 bugsquish                          	       0        4        4        0        0
16765 bugz                               	       0        2        2        0        0
16766 bugzilla-cli                       	       0        2        2        0        0
16767 build                              	       0        2        2        0        0
16768 build-essential                    	       0     2231        0        0     2231
16769 buildapp                           	       0        2        2        0        0
16770 buildbot-doc                       	       0        2        0        0        2
16771 buildtorrent                       	       0        4        4        0        0
16772 bulky                              	       0        3        3        0        0
16773 bum                                	       0        1        1        0        0
16774 bumblebee-nvidia                   	       0        6        0        0        6
16775 bumprace                           	       0        4        4        0        0
16776 bumprace-data                      	       0        4        0        0        4
16777 bumpversion                        	       0        2        2        0        0
16778 bundler                            	       0       30        0        0       30
16779 bundlewrap                         	       0        1        1        0        0
16780 bunsen-thunar                      	       0        1        0        0        1
16781 bup-doc                            	       0      504        0        0      504
16782 burgerspace                        	       0       10       10        0        0
16783 burn                               	       0        1        1        0        0
16784 burner-cdrkit                      	       0       12        0        0       12
16785 burner-common                      	       0       14        0        0       14
16786 bustle                             	       0        7        7        0        0
16787 bustle-pcap                        	       0        7        7        0        0
16788 busybox-syslogd                    	       0        1        1        0        0
16789 butt                               	       0        2        2        0        0
16790 butteraugli                        	       0        2        2        0        0
16791 buzztrax                           	       0        5        5        0        0
16792 bwa                                	       0        5        5        0        0
16793 bwbar                              	       0        1        1        0        0
16794 bwidget                            	       0       55        0        0       55
16795 byacc-dbgsym                       	       0        1        1        0        0
16796 byedpi                             	       0        1        1        0        0
16797 bygfoot                            	       0        2        2        0        0
16798 bygfoot-data                       	       0        2        0        0        2
16799 bytedance-feishu-stable            	       0        1        1        0        0
16800 bytes-circle                       	       0        1        1        0        0
16801 byzanz                             	       0       11       11        0        0
16802 bzflag                             	       0       11        0        0       11
16803 bzflag-client                      	       0       19       19        0        0
16804 bzflag-data                        	       0       19        0        0       19
16805 bzflag-server                      	       0       13       13        0        0
16806 bzip2-doc                          	       0      404        0        0      404
16807 bzr-builddeb                       	       0        4        1        0        3
16808 bzr-doc                            	       0        7        0        0        7
16809 c++-annotations                    	       0        5        5        0        0
16810 c++-annotations-contrib            	       0        6        0        0        6
16811 c++-annotations-dvi                	       0        5        0        0        5
16812 c++-annotations-html               	       0        7        0        0        7
16813 c++-annotations-latex              	       0        5        0        0        5
16814 c++-annotations-pdf                	       0        9        0        0        9
16815 c++-annotations-ps                 	       0        5        0        0        5
16816 c++-annotations-txt                	       0        5        0        0        5
16817 c-cpp-reference                    	       0        1        1        0        0
16818 c2go                               	       0        1        0        1        0
16819 c2hs                               	       0        1        1        0        0
16820 c2hs-doc                           	       0        1        1        0        0
16821 c3270                              	       0        6        6        0        0
16822 c44-freeimage                      	       0        1        1        0        0
16823 ca-cacert                          	       0       11        0        0       11
16824 ca-certificates-java               	       0     1624        0        0     1624
16825 ca-installer                       	       0        1        1        0        0
16826 ca-misc-dev                        	       0        1        1        0        0
16827 ca-netif                           	       0        1        1        0        0
16828 cabal-debian                       	       0        5        5        0        0
16829 cabot                              	       0        1        1        0        0
16830 cackey                             	       0        1        1        0        0
16831 cacti                              	       0        3        3        0        0
16832 cacti-spine                        	       0        1        1        0        0
16833 cadabra                            	       0        1        1        0        0
16834 caddy                              	       0        3        3        0        0
16835 cadence                            	       0        3        3        0        0
16836 cadence-data                       	       0        5        0        0        5
16837 cadence-tools                      	       0        4        4        0        0
16838 cadubi                             	       0        6        5        1        0
16839 cadzinho                           	       0        1        1        0        0
16840 cafeobj                            	       0        3        3        0        0
16841 cafeobj-mode                       	       0        1        1        0        0
16842 caffe-tools-cpu                    	       0        1        1        0        0
16843 cage                               	       0        4        4        0        0
16844 cairo-5c                           	       0        6        0        0        6
16845 cairo-clock                        	       0        1        1        0        0
16846 cairo-dock                         	       0        2        0        0        2
16847 cairo-dock-dev                     	       0        2        2        0        0
16848 cairo-dock-kde-integration-plug-in 	       0        3        0        0        3
16849 cairo-dock-plug-ins                	       0        2        0        0        2
16850 cairo-dock-xfce-integration-plug-in	       0        6        0        0        6
16851 cairosvg                           	       0       10       10        0        0
16852 caja-actions-common                	       0       20        0        0       20
16853 caja-admin                         	       0       72        0        0       72
16854 caja-extension-fma                 	       0        1        0        0        1
16855 caja-mediainfo                     	       0       16        0        0       16
16856 caja-nextcloud                     	       0        6        0        0        6
16857 cakephp                            	       0        1        1        0        0
16858 cakephp-scripts                    	       0        1        1        0        0
16859 calamares                          	       0       12        7        5        0
16860 calamares-extensions               	       0        1        0        0        1
16861 calamares-extensions-data          	       0        2        0        0        2
16862 calamares-settings-debian          	       0        6        6        0        0
16863 calc-common                        	       0      997        0        0      997
16864 calc-dev                           	       0        3        3        0        0
16865 calcoo                             	       0        8        8        0        0
16866 calculix-ccx                       	       0      106      104        2        0
16867 calculix-ccx-doc                   	       0        3        0        0        3
16868 calculix-ccx-test                  	       0        1        0        0        1
16869 calculix-cgx                       	       0        6        6        0        0
16870 calculix-cgx-examples              	       0        2        0        0        2
16871 calcurse-dbgsym                    	       0        1        1        0        0
16872 calf-ladspa                        	       0       13       12        0        1
16873 calligra                           	       0       23        0        1       22
16874 calligra-data                      	       0       55        0        0       55
16875 calligra-gemini                    	       0        6        5        1        0
16876 calligra-gemini-data               	       0        6        0        0        6
16877 calligra-l10n-de                   	       0        1        0        0        1
16878 calligra-l10n-es                   	       0        1        0        0        1
16879 calligra-l10n-it                   	       0        1        0        0        1
16880 calligra-l10n-pl                   	       0        1        0        0        1
16881 calligra-l10n-zhcn                 	       0        1        0        0        1
16882 calligra-semanticitems             	       0        1        1        0        0
16883 calligraauthor                     	       0        1        1        0        0
16884 calligraflow                       	       0        1        1        0        0
16885 calligraflow-data                  	       0        1        0        0        1
16886 calligraplan                       	       0       11       11        0        0
16887 calligrasheets-data                	       0       23        0        0       23
16888 calligrastage-data                 	       0       24        0        0       24
16889 calligrawords-data                 	       0       41        0        0       41
16890 calypso                            	       0        1        1        0        0
16891 cam2ip                             	       0        2        0        2        0
16892 cambiainit-trinity                 	       0        7        0        0        7
16893 camera.app                         	       0        4        4        0        0
16894 camitk-config                      	       0        1        1        0        0
16895 camitk-imp                         	       0        1        1        0        0
16896 caml2html                          	       0        1        1        0        0
16897 camlp4                             	       0       16       16        0        0
16898 camlp5                             	       0        2        2        0        0
16899 camorama                           	       0       10       10        0        0
16900 camotics                           	       0        1        1        0        0
16901 camping                            	       0        1        1        0        0
16902 camstream                          	       0        2        2        0        0
16903 camstream-doc                      	       0        3        0        0        3
16904 camv-rnd                           	       0        3        0        0        3
16905 camv-rnd-core                      	       0        3        3        0        0
16906 camv-rnd-doc                       	       0        3        0        0        3
16907 camv-rnd-export-extra              	       0        1        1        0        0
16908 camv-rnd-export-gd                 	       0        3        3        0        0
16909 camv-rnd-export-vector             	       0        3        3        0        0
16910 camv-rnd-import                    	       0        3        3        0        0
16911 camv-rnd-lib-gui                   	       0        3        3        0        0
16912 canadian-ham-exam                  	       0        3        3        0        0
16913 canberra-gtk-play                  	       0        1        1        0        0
16914 candevstudio                       	       0        1        0        0        1
16915 caneda                             	       0        8        8        0        0
16916 canna                              	       0        2        2        0        0
16917 canna-utils                        	       0        3        3        0        0
16918 cantor                             	       0       44       42        2        0
16919 cantor-backend-kalgebra            	       0        6        0        0        6
16920 cantor-backend-lua                 	       0        2        0        0        2
16921 cantor-backend-maxima              	       0        4        0        0        4
16922 cantor-backend-octave              	       0        2        0        0        2
16923 cantor-backend-python3             	       0        3        2        1        0
16924 cantor-backend-qalculate           	       0       45        0        0       45
16925 cantor-backend-r                   	       0        2        1        1        0
16926 cantor-backend-sage                	       0        1        0        0        1
16927 cantor-backend-scilab              	       0        3        0        0        3
16928 capi4hylafax                       	       0        1        1        0        0
16929 capistrano                         	       0        1        1        0        0
16930 capiutils                          	       0        3        3        0        0
16931 capnproto                          	       0        5        5        0        0
16932 capplets-data                      	       0        2        0        0        2
16933 cappuccino                         	       0        1        1        0        0
16934 caps-lv2                           	       0        2        0        0        2
16935 caps-lv2-data                      	       0        2        0        0        2
16936 capstats                           	       0        3        3        0        0
16937 capsule-nextflow                   	       0        1        1        0        0
16938 carapace-bin                       	       0        1        1        0        0
16939 cardinal                           	       0        1        1        0        0
16940 cardinal-data                      	       0        1        0        0        1
16941 cardpeek-data                      	       0       10        0        0       10
16942 cargo-auditable                    	       0        1        1        0        0
16943 cargo-binutils                     	       0        1        1        0        0
16944 cargo-debstatus                    	       0        1        1        0        0
16945 cargo-doc                          	       0       17        0        0       17
16946 cargo-web                          	       0        1        1        0        0
16947 caribou                            	       0       36       36        0        0
16948 caribou-antler                     	       0        6        6        0        0
16949 carla                              	       0        7        7        0        0
16950 carla-bridge-linux32               	       0        2        2        0        0
16951 carla-bridge-linux64               	       0        2        2        0        0
16952 carla-bridge-win32                 	       0        3        3        0        0
16953 carla-bridge-win64                 	       0        4        4        0        0
16954 carla-bridge-wine32                	       0        2        0        0        2
16955 carla-bridge-wine64                	       0        1        0        0        1
16956 carla-data                         	       0        7        0        0        7
16957 carla-git                          	       0        2        2        0        0
16958 carla-git-data                     	       0        2        0        0        2
16959 carla-lv2                          	       0        3        1        0        2
16960 carla-vst                          	       0        3        1        0        2
16961 carla-vst-wine                     	       0        1        1        0        0
16962 carton                             	       0        3        3        0        0
16963 casacore-data                      	       0        5        0        0        5
16964 casacore-data-igrf                 	       0        5        0        0        5
16965 casacore-data-jpl-de200            	       0        5        0        0        5
16966 casacore-data-jpl-de405            	       0        5        0        0        5
16967 casacore-data-lines                	       0        5        0        0        5
16968 casacore-data-observatories        	       0        5        0        0        5
16969 casacore-data-sources              	       0        5        0        0        5
16970 casacore-data-tai-utc              	       0        5        5        0        0
16971 casacore-dev                       	       0        1        1        0        0
16972 casacore-tools                     	       0        1        1        0        0
16973 caspar                             	       0        3        3        0        0
16974 cassbeam                           	       0        3        3        0        0
16975 cassiopee                          	       0        1        1        0        0
16976 castle-combat                      	       0        1        1        0        0
16977 castle-game-engine-doc             	       0        2        2        0        0
16978 cataclysm-dda-build-deps           	       0        1        0        0        1
16979 cataclysm-dda-curses-dbgsym        	       0        1        1        0        0
16980 cataclysm-dda-sdl                  	       0       11       11        0        0
16981 cataclysm-dda-sdl-dbgsym           	       0        1        1        0        0
16982 catarina                           	       0        1        1        0        0
16983 catch                              	       0        2        0        0        2
16984 catgirl                            	       0        1        1        0        0
16985 catia                              	       0        4        4        0        0
16986 catkin                             	       0        2        2        0        0
16987 causeway-dosx                      	       0        1        1        0        0
16988 caveconverter                      	       0        1        1        0        0
16989 caveexpress                        	       0        7        7        0        0
16990 caveexpress-data                   	       0        7        0        0        7
16991 cavepacker-data                    	       0        8        0        0        8
16992 cba                                	       0        2        2        0        0
16993 cbflib-bin                         	       0        1        1        0        0
16994 cbindgen                           	       0        7        7        0        0
16995 cbios                              	       0       13        0        0       13
16996 cbmc                               	       0        1        1        0        0
16997 cbmconvert                         	       0        2        2        0        0
16998 cbonsai                            	       0        7        7        0        0
16999 cbp2make                           	       0        3        3        0        0
17000 cbrowser                           	       0        1        1        0        0
17001 cc-tool                            	       0        2        2        0        0
17002 cc1541                             	       0        3        3        0        0
17003 cc65                               	       0       10        9        1        0
17004 cc65-common                        	       0        1        0        1        0
17005 cc65-doc                           	       0        6        0        0        6
17006 ccache-dbgsym                      	       0        2        2        0        0
17007 ccbuild                            	       0        3        3        0        0
17008 cccc                               	       0        7        7        0        0
17009 cccd                               	       0        3        3        0        0
17010 ccd2iso                            	       0       16       16        0        0
17011 ccdiff                             	       0        6        6        0        0
17012 ccfe                               	       0        1        1        0        0
17013 cciss-vol-status                   	       0        1        1        0        0
17014 ccls                               	       0        5        5        0        0
17015 ccmalloc                           	       0        1        1        0        0
17016 cconv                              	       0        1        1        0        0
17017 cd-circleprint                     	       0        2        2        0        0
17018 cd-hit                             	       0        1        1        0        0
17019 cd-paranoia                        	       0       17       17        0        0
17020 cd5                                	       0        3        3        0        0
17021 cdbackup                           	       0        3        3        0        0
17022 cdbfasta                           	       0        2        2        0        0
17023 cdbs-build-deps                    	       0        1        0        0        1
17024 cdcd                               	       0        5        5        0        0
17025 cdcover                            	       0        4        4        0        0
17026 cdda2wav                           	       0        2        2        0        0
17027 cddb                               	       0        2        2        0        0
17028 cde                                	       0        3        3        0        0
17029 cdebconf                           	       0        9        9        0        0
17030 cdebconf-gtk                       	       0        5        5        0        0
17031 cdebootstrap                       	       0       16       14        2        0
17032 cdecl                              	       0        6        6        0        0
17033 cdemu-client                       	       0        1        1        0        0
17034 cdemu-daemon                       	       0        2        2        0        0
17035 cdfs-src                           	       0        1        0        0        1
17036 cdftools                           	       0        1        1        0        0
17037 cdist-doc                          	       0        3        0        0        3
17038 cdlabelgen                         	       0        7        7        0        0
17039 cdo                                	       0        3        3        0        0
17040 cdogs-sdl                          	       0        1        1        0        0
17041 cdogs-sdl-build-deps               	       0        1        0        0        1
17042 cdogs-sdl-data                     	       0        1        0        0        1
17043 cdogs-sdl-dbgsym                   	       0        1        1        0        0
17044 cdpr                               	       0        2        2        0        0
17045 cdr2odg                            	       0        3        3        0        0
17046 cdrecord                           	       0        2        2        0        0
17047 cdrkit-doc                         	       0       20        0        0       20
17048 cdrtools                           	       0        1        1        0        0
17049 cdrtools-doc                       	       0        1        0        0        1
17050 cec-utils                          	       0        3        2        1        0
17051 cecilia                            	       0        5        5        0        0
17052 cedar-backup3                      	       0        1        1        0        0
17053 cedar-backup3-doc                  	       0        1        0        0        1
17054 cedilla                            	       0        1        1        0        0
17055 ceferino                           	       0        3        3        0        0
17056 ceferino-data                      	       0        3        0        0        3
17057 ceilometer-alarm-evaluator         	       0        1        0        0        1
17058 ceilometer-alarm-notifier          	       0        1        0        0        1
17059 celestia                           	       0       10        0        0       10
17060 celestia-common                    	       0       12        0        0       12
17061 celestia-common-nonfree            	       0        4        0        0        4
17062 celestia-data                      	       0        1        0        0        1
17063 celestia-glut                      	       0        3        3        0        0
17064 celestia-gnome                     	       0        6        6        0        0
17065 celestia-gtk                       	       0        4        4        0        0
17066 celestia-hold                      	       0        1        0        0        1
17067 celestia-kde                       	       0        1        1        0        0
17068 celestia-qt6                       	       0        1        1        0        0
17069 celestia-textures-hires            	       0        1        0        0        1
17070 celestia-tools                     	       0        1        1        0        0
17071 celt                               	       0        1        1        0        0
17072 celt-doc                           	       0        1        0        0        1
17073 cen64                              	       0        4        4        0        0
17074 ceni                               	       0        8        8        0        0
17075 cenon.app                          	       0        3        3        0        0
17076 cenon.app-common                   	       0        3        3        0        0
17077 centerim                           	       0        3        3        0        0
17078 centerim-common                    	       0        3        3        0        0
17079 centerim-utf8                      	       0        1        1        0        0
17080 ceph                               	       0        4        0        0        4
17081 ceph-base                          	       0        4        4        0        0
17082 ceph-common                        	       0        7        7        0        0
17083 ceph-fuse                          	       0        4        4        0        0
17084 ceph-mds                           	       0        3        3        0        0
17085 ceph-mgr                           	       0        2        2        0        0
17086 ceph-mgr-modules-core              	       0        2        0        0        2
17087 ceph-mon                           	       0        4        4        0        0
17088 ceph-osd                           	       0        4        4        0        0
17089 cephfs-top                         	       0        1        1        0        0
17090 cereal                             	       0        1        1        0        0
17091 ceres-solver-doc                   	       0        1        1        0        0
17092 ceres-theme-gtk                    	       0        2        1        0        1
17093 cernlib-base                       	       0        1        0        0        1
17094 certmonger                         	       0       18       18        0        0
17095 certspotter                        	       0        5        5        0        0
17096 cervisia-trinity                   	       0       26       24        2        0
17097 ceve                               	       0        1        0        0        1
17098 cfengine2                          	       0        1        1        0        0
17099 cfi-en                             	       0        6        0        0        6
17100 cfi-sv                             	       0        1        0        0        1
17101 cfingerd                           	       0        2        2        0        0
17102 cflow                              	       0       13       13        0        0
17103 cflow-doc                          	       0        3        0        0        3
17104 cflow-l10n                         	       0        2        0        0        2
17105 cfortran                           	       0        6        6        0        0
17106 cfourcc                            	       0        1        1        0        0
17107 cfv                                	       0        1        1        0        0
17108 cg3                                	       0        6        6        0        0
17109 cg3-dev                            	       0        1        0        0        1
17110 cgdb                               	       0       14       14        0        0
17111 cgi-mapserver                      	       0        6        6        0        0
17112 cgilib                             	       0        1        1        0        0
17113 cgit                               	       0        6        6        0        0
17114 cgns-convert                       	       0        2        2        0        0
17115 cgroup-bin                         	       0        6        0        0        6
17116 cgroup-tools                       	       0       43       43        0        0
17117 cgvg                               	       0        3        3        0        0
17118 cgview                             	       0        2        2        0        0
17119 ch5m3d                             	       0        2        2        0        0
17120 chai                               	       0        2        2        0        0
17121 chake                              	       0        1        1        0        0
17122 chalk-data-trinity                 	       0        4        0        0        4
17123 chalk-trinity                      	       0        4        4        0        0
17124 chameleon-cursor-theme             	       0       16        0        0       16
17125 changetrack                        	       0        1        1        0        0
17126 chaos-strikes-back-data-csb        	       0        1        1        0        0
17127 chaos-strikes-back-data-dm         	       0        1        1        0        0
17128 chaos-strikes-back-game            	       0        1        0        0        1
17129 chaplin                            	       0        1        1        0        0
17130 charmap.app                        	       0        6        6        0        0
17131 charmap.app-common                 	       0        6        0        0        6
17132 charmtimetracker                   	       0        3        3        0        0
17133 charon-cmd                         	       0        1        1        0        0
17134 chartgeany                         	       0        1        0        0        1
17135 charybdis                          	       0        1        1        0        0
17136 chats                              	       0        1        0        0        1
17137 chdrvfont                          	       0        1        0        0        1
17138 check-all-the-things               	       0        1        1        0        0
17139 check-mk-agent-logwatch            	       0        1        1        0        0
17140 check-pgactivity                   	       0        1        1        0        0
17141 checkit-tiff                       	       0        1        1        0        0
17142 checkmp3                           	       0        1        1        0        0
17143 checkra1n                          	       0        3        3        0        0
17144 checksec                           	       0        4        4        0        0
17145 checkstyle                         	       0        4        4        0        0
17146 checkstyle-doc                     	       0        1        0        0        1
17147 cheese-common                      	       0      565        0        0      565
17148 cheesecutter                       	       0        6        6        0        0
17149 chef-workstation                   	       0        1        1        0        0
17150 chemeq                             	       0        2        2        0        0
17151 chemical-mime-data                 	       0       32        0        0       32
17152 chemical-structures                	       0        3        3        0        0
17153 chemical-structures-data           	       0        3        0        0        3
17154 cherokee-admin                     	       0        2        2        0        0
17155 chess.app                          	       0        3        3        0        0
17156 chessx                             	       0       10       10        0        0
17157 chexquest-data                     	       0        1        0        0        1
17158 chexquest2-data                    	       0        1        0        0        1
17159 chezmoi                            	       0        2        2        0        0
17160 chezscheme-dev                     	       0        2        2        0        0
17161 chezscheme-doc                     	       0        1        0        0        1
17162 chia-blockchain                    	       0        4        0        0        4
17163 chia-blockchain-cli                	       0        1        1        0        0
17164 chiaki                             	       0        1        1        0        0
17165 chiark-really                      	       0        4        4        0        0
17166 chiark-rwbuffer                    	       0        1        1        0        0
17167 chiark-tcl-applet                  	       0        1        1        0        0
17168 chibicc                            	       0        1        1        0        0
17169 chicago95-theme-all                	       0        1        0        0        1
17170 chicago95-theme-backgrounds        	       0        1        0        0        1
17171 chicago95-theme-cursors            	       0        1        0        0        1
17172 chicago95-theme-doc                	       0        1        0        0        1
17173 chicago95-theme-fonts              	       0        1        0        0        1
17174 chicago95-theme-gtk                	       0        2        0        0        2
17175 chicago95-theme-icons              	       0        1        0        0        1
17176 chicago95-theme-login-sound        	       0        1        0        0        1
17177 chicago95-theme-plus               	       0        1        1        0        0
17178 chicago95-theme-plymouth           	       0        1        0        0        1
17179 chicago95-theme-sounds             	       0        1        0        0        1
17180 chicken-bin                        	       0        7        7        0        0
17181 childsplay                         	       0        3        3        0        0
17182 childsplay-alphabet-sounds-ca      	       0        1        0        0        1
17183 chimera2                           	       0        1        1        0        0
17184 chimeraslayer                      	       0        1        1        0        0
17185 chipmunk-dev                       	       0        1        0        0        1
17186 chirp                              	       0       22       20        2        0
17187 chirpstack                         	       0        1        1        0        0
17188 chirpstack-gateway-bridge          	       0        1        1        0        0
17189 chm2pdf                            	       0        2        2        0        0
17190 chmsee                             	       0        1        1        0        0
17191 chocolate-doom                     	       0       19       19        0        0
17192 chocolate-doom-build-deps          	       0        1        0        0        1
17193 chocolate-doom-dbgsym              	       0        1        1        0        0
17194 choosewm                           	       0        3        3        0        0
17195 choqok                             	       0        1        1        0        0
17196 chordii                            	       0        1        1        0        0
17197 chowmatrix                         	       0        2        2        0        0
17198 chr                                	       0        1        1        0        0
17199 chroma                             	       0        3        3        0        0
17200 chroma-curses                      	       0        2        2        0        0
17201 chroma-data                        	       0        4        0        0        4
17202 chromedriver                       	       0        2        0        0        2
17203 chromium-browser-l10n              	       0        1        1        0        0
17204 chromium-bsu-data                  	       0       20        0        0       20
17205 chromium-codecs-ffmpeg-extra       	       0        3        3        0        0
17206 chromium-gost-stable               	       0        2        2        0        0
17207 chromium-inspector                 	       0       13        0        0       13
17208 chromium-lwn4chrome                	       0        6        0        0        6
17209 chromium-shell                     	       0       36       30        6        0
17210 chromium-tt-rss-notifier           	       0        2        0        0        2
17211 chromium-ublock-origin             	       0        9        0        0        9
17212 chromium-widevine                  	       0        1        0        0        1
17213 chromono                           	       0        3        3        0        0
17214 chronicle                          	       0        1        1        0        0
17215 chrootuid                          	       0        2        2        0        0
17216 chrpath                            	       0       63       62        1        0
17217 cht.sh                             	       0        2        2        0        0
17218 chuck                              	       0        5        5        0        0
17219 chuck-data                         	       0        3        0        0        3
17220 chuffed-flatzinc                   	       0        1        1        0        0
17221 chwala                             	       0        1        1        0        0
17222 cie-middleware                     	       0        1        0        0        1
17223 cil                                	       0        1        1        0        0
17224 cim-schema                         	       0        1        0        0        1
17225 cimg-dev                           	       0        3        3        0        0
17226 cimg-doc                           	       0        2        0        0        2
17227 cimg-examples                      	       0        3        3        0        0
17228 cinc-workstation                   	       0        2        2        0        0
17229 cinder-api                         	       0        1        1        0        0
17230 cinder-backup                      	       0        1        1        0        0
17231 cinder-common                      	       0        1        1        0        0
17232 cinder-scheduler                   	       0        1        1        0        0
17233 cinder-volume                      	       0        1        1        0        0
17234 cinelerra                          	       0        2        2        0        0
17235 cinelerra-doc                      	       0        2        0        0        2
17236 cinelerra-gg                       	       0        1        1        0        0
17237 cinnabar-icon-theme                	       0      193        0        0      193
17238 cinnamon-control-center-data       	       0      291        0        0      291
17239 cinnamon-control-center-goa        	       0      247        6        1      240
17240 cinnamon-core                      	       0      281        0        0      281
17241 cinnamon-desktop-environment       	       0      254        0        0      254
17242 cinnamon-doc                       	       0        8        0        0        8
17243 cinnamon-screensaver-x-plugin      	       0       13        0        0       13
17244 cinnamon-session-common            	       0      295        0        0      295
17245 cinnamon-settings-daemon-dev       	       0        1        1        0        0
17246 cinny                              	       0        2        2        0        0
17247 circle-flags-svg                   	       0        2        0        0        2
17248 circos                             	       0        1        1        0        0
17249 circos-tools                       	       0        1        1        0        0
17250 circuit-macros                     	       0        1        0        0        1
17251 circuslinux-data                   	       0       12        0        0       12
17252 cisco-anyconnect-dart              	       0        1        1        0        0
17253 citadel-client                     	       0        3        3        0        0
17254 citadel-doc                        	       0        1        0        0        1
17255 citation-style-language-styles     	       0        4        0        0        4
17256 civetweb                           	       0        1        1        0        0
17257 ckan                               	       0        3        3        0        0
17258 ckb-next                           	       0        1        1        0        0
17259 ckbuilder                          	       0        2        2        0        0
17260 ckeditor                           	       0        5        4        0        1
17261 ckeditor3                          	       0        1        0        0        1
17262 ckport                             	       0        1        1        0        0
17263 ckport-database                    	       0        1        1        0        0
17264 cksfv                              	       0        8        8        0        0
17265 cl-abnf                            	       0        1        0        0        1
17266 cl-acl-compat                      	       0        3        0        0        3
17267 cl-actionlib                       	       0        2        0        0        2
17268 cl-actionlib-msgs                  	       0        2        0        0        2
17269 cl-agnostic-lizard                 	       0        2        0        0        2
17270 cl-alexandria                      	       0       21        0        0       21
17271 cl-anaphora                        	       0        3        0        0        3
17272 cl-asdf                            	       0       33        0        0       33
17273 cl-asdf-finalizers                 	       0        2        0        0        2
17274 cl-asdf-flv                        	       0        5        0        0        5
17275 cl-asdf-system-connections         	       0        1        0        0        1
17276 cl-aserve                          	       0        1        0        0        1
17277 cl-babel                           	       0        5        0        0        5
17278 cl-base64                          	       0        3        0        0        3
17279 cl-bond                            	       0        2        0        0        2
17280 cl-bordeaux-threads                	       0        3        0        0        3
17281 cl-cffi                            	       0        5        5        0        0
17282 cl-chipz                           	       0        2        0        0        2
17283 cl-chunga                          	       0        1        0        0        1
17284 cl-closer-mop                      	       0        1        0        0        1
17285 cl-closure-common                  	       0        2        0        0        2
17286 cl-clx-sbcl                        	       0       21        0        0       21
17287 cl-consfigurator                   	       0        1        1        0        0
17288 cl-containers                      	       0        3        0        0        3
17289 cl-contextl                        	       0        1        0        0        1
17290 cl-csv                             	       0        3        0        0        3
17291 cl-cxml                            	       0        1        0        0        1
17292 cl-db3                             	       0        1        0        0        1
17293 cl-diagnostic-msgs                 	       0        1        0        0        1
17294 cl-drakma                          	       0        1        0        0        1
17295 cl-dynamic-classes                 	       0        3        0        0        3
17296 cl-dynamic-reconfigure             	       0        1        0        0        1
17297 cl-esrap                           	       0        2        0        0        2
17298 cl-f2cl                            	       0        1        0        0        1
17299 cl-fad                             	       0        3        0        0        3
17300 cl-fiveam                          	       0        4        0        0        4
17301 cl-flexi-streams                   	       0       24        0        0       24
17302 cl-geometry-msgs                   	       0        2        0        0        2
17303 cl-getopt                          	       0        1        0        0        1
17304 cl-global-vars                     	       0        2        0        0        2
17305 cl-heredoc                         	       0        2        0        0        2
17306 cl-htmlgen                         	       0        1        0        0        1
17307 cl-hyperobject                     	       0        1        0        0        1
17308 cl-interpol                        	       0        3        0        0        3
17309 cl-ironclad                        	       0        3        0        0        3
17310 cl-iterate                         	       0        4        0        0        4
17311 cl-kmrcl                           	       0        3        0        0        3
17312 cl-launch                          	       0        6        6        0        0
17313 cl-lml                             	       0        1        0        0        1
17314 cl-lml2                            	       0        1        0        0        1
17315 cl-local-time                      	       0        1        0        0        1
17316 cl-log                             	       0        1        0        0        1
17317 cl-lparallel                       	       0        1        0        0        1
17318 cl-lw-compat                       	       0        2        0        0        2
17319 cl-map-msgs                        	       0        2        0        0        2
17320 cl-markdown                        	       0        3        0        0        3
17321 cl-md5                             	       0        2        0        0        2
17322 cl-metabang-bind                   	       0        3        0        0        3
17323 cl-metatilities-base               	       0        3        0        0        3
17324 cl-modlisp                         	       0        1        0        0        1
17325 cl-move-base-msgs                  	       0        1        0        0        1
17326 cl-mustache                        	       0        1        0        0        1
17327 cl-named-readtables                	       0        3        0        0        3
17328 cl-nav-msgs                        	       0        2        0        0        2
17329 cl-nibbles                         	       0        3        0        0        3
17330 cl-nodelet                         	       0        2        0        0        2
17331 cl-opencv-apps                     	       0        1        0        0        1
17332 cl-osicat                          	       0        1        0        0        1
17333 cl-parse-number                    	       0        2        0        0        2
17334 cl-pcl-msgs                        	       0        1        0        0        1
17335 cl-pg                              	       0        2        0        0        2
17336 cl-photo                           	       0        2        0        0        2
17337 cl-pipes                           	       0        1        0        0        1
17338 cl-plus-ssl                        	       0        1        0        0        1
17339 cl-polled-camera                   	       0        1        0        0        1
17340 cl-postgres                        	       0        1        0        0        1
17341 cl-ppcre                           	       0       24        0        0       24
17342 cl-ptester                         	       0        3        0        0        3
17343 cl-puri                            	       0        3        0        0        3
17344 cl-qmynd                           	       0        1        0        0        1
17345 cl-quicklisp                       	       0        9        0        0        9
17346 cl-regex                           	       0        2        0        0        2
17347 cl-reversi                         	       0        4        0        0        4
17348 cl-rfc2388                         	       0        1        0        0        1
17349 cl-roscpp-msg                      	       0        1        0        0        1
17350 cl-rosgraph-msgs                   	       0        2        0        0        2
17351 cl-rss                             	       0        1        0        0        1
17352 cl-rt                              	       0       22        0        0       22
17353 cl-s-sql                           	       0        1        0        0        1
17354 cl-sensor-msgs                     	       0        2        0        0        2
17355 cl-shape-msgs                      	       0        2        0        0        2
17356 cl-simple-date                     	       0        1        0        0        1
17357 cl-split-sequence                  	       0        2        0        0        2
17358 cl-sql                             	       0        3        0        0        3
17359 cl-sql-mysql                       	       0        1        1        0        0
17360 cl-sql-oracle                      	       0        1        0        0        1
17361 cl-sql-sqlite3                     	       0        1        0        0        1
17362 cl-sql-uffi                        	       0        2        2        0        0
17363 cl-sqlite                          	       0        2        0        0        2
17364 cl-std-msgs                        	       0        2        0        0        2
17365 cl-std-srvs                        	       0        2        0        0        2
17366 cl-stereo-msgs                     	       0        1        0        0        1
17367 cl-swank                           	       0       23        0        0       23
17368 cl-tf                              	       0        1        0        0        1
17369 cl-tf2-msgs                        	       0        1        0        0        1
17370 cl-tf2-srvs                        	       0        1        0        0        1
17371 cl-topic-tools                     	       0        1        0        0        1
17372 cl-trajectory-msgs                 	       0        1        0        0        1
17373 cl-trivial-backtrace               	       0        4        0        0        4
17374 cl-trivial-features                	       0        5        0        0        5
17375 cl-trivial-garbage                 	       0        1        0        0        1
17376 cl-trivial-gray-streams            	       0       25        0        0       25
17377 cl-trivial-utf-8                   	       0        1        0        0        1
17378 cl-uax-15                          	       0        1        0        0        1
17379 cl-uffi                            	       0        4        0        0        4
17380 cl-uffi-tests                      	       0        2        2        0        0
17381 cl-umlisp                          	       0        1        0        0        1
17382 cl-umlisp-orf                      	       0        1        0        0        1
17383 cl-unicode                         	       0        5        0        0        5
17384 cl-usocket                         	       0        1        0        0        1
17385 cl-utilities                       	       0        1        0        0        1
17386 cl-uuid                            	       0        1        0        0        1
17387 cl-visualization-msgs              	       0        1        0        0        1
17388 cl-who                             	       0        1        0        0        1
17389 cl-xmls                            	       0        1        0        0        1
17390 cl-zip                             	       0        1        0        0        1
17391 cl-zpb-ttf                         	       0        2        0        0        2
17392 cl-zs3                             	       0        1        0        0        1
17393 clamav-base                        	       0      281        0        0      281
17394 clamav-cvdupdate                   	       0        5        5        0        0
17395 clamav-doc                         	       0        4        0        0        4
17396 clamav-docs                        	       0       49        0        0       49
17397 clamav-testfiles                   	       0       10        0        0       10
17398 clamav-testfiles-rar               	       0        4        0        0        4
17399 clamtk-gnome                       	       0       11        0        0       11
17400 clamz                              	       0        4        4        0        0
17401 clanbomber                         	       0        1        1        0        0
17402 clanbomber-data                    	       0        1        0        0        1
17403 clang-10                           	       0        5        5        0        0
17404 clang-11-doc                       	       0        6        6        0        0
17405 clang-11-examples                  	       0        2        0        0        2
17406 clang-13-doc                       	       0        3        3        0        0
17407 clang-13-examples                  	       0        3        0        0        3
17408 clang-14-doc                       	       0        5        5        0        0
17409 clang-15                           	       0       50       50        0        0
17410 clang-15-doc                       	       0        1        1        0        0
17411 clang-16-doc                       	       0        5        5        0        0
17412 clang-16-examples                  	       0        4        0        0        4
17413 clang-17                           	       0       16       12        4        0
17414 clang-18                           	       0       11       10        1        0
17415 clang-18-doc                       	       0        1        1        0        0
17416 clang-19-doc                       	       0        5        0        0        5
17417 clang-19-examples                  	       0        3        0        0        3
17418 clang-20                           	       0        2        2        0        0
17419 clang-3.5                          	       0        9        9        0        0
17420 clang-3.5-doc                      	       0        1        1        0        0
17421 clang-3.8                          	       0        5        5        0        0
17422 clang-3.8-doc                      	       0        1        0        0        1
17423 clang-3.9-doc                      	       0        1        0        0        1
17424 clang-6.0                          	       0        7        7        0        0
17425 clang-7                            	       0       15       15        0        0
17426 clang-8                            	       0        2        2        0        0
17427 clang-9-doc                        	       0        4        0        0        4
17428 clang-9-examples                   	       0        2        0        0        2
17429 clang-format-11                    	       0        5        5        0        0
17430 clang-format-13                    	       0        5        5        0        0
17431 clang-format-18                    	       0        2        2        0        0
17432 clang-format-19                    	       0       10        7        3        0
17433 clang-format-20                    	       0        1        1        0        0
17434 clang-format-7                     	       0        3        3        0        0
17435 clang-format-9                     	       0        2        2        0        0
17436 clang-tidy-11                      	       0        7        6        0        1
17437 clang-tidy-13                      	       0        3        3        0        0
17438 clang-tidy-18                      	       0        2        2        0        0
17439 clang-tidy-20                      	       0        1        1        0        0
17440 clang-tidy-6.0                     	       0        1        1        0        0
17441 clang-tidy-7                       	       0        2        2        0        0
17442 clang-tidy-9                       	       0        1        1        0        0
17443 clang-tools                        	       0       19       18        1        0
17444 clang-tools-10                     	       0        2        2        0        0
17445 clang-tools-11                     	       0       10       10        0        0
17446 clang-tools-13                     	       0        7        7        0        0
17447 clang-tools-15                     	       0        5        5        0        0
17448 clang-tools-18                     	       0        2        2        0        0
17449 clang-tools-20                     	       0        1        1        0        0
17450 clang-tools-6.0                    	       0        1        1        0        0
17451 clang-tools-7                      	       0        3        3        0        0
17452 clang-tools-9                      	       0        3        3        0        0
17453 clangd-11                          	       0        1        1        0        0
17454 clangd-13                          	       0        2        2        0        0
17455 clangd-18                          	       0        2        2        0        0
17456 clangd-19                          	       0       14       10        4        0
17457 clangd-20                          	       0        1        1        0        0
17458 clangd-9                           	       0        1        1        0        0
17459 clara                              	       0        1        1        0        0
17460 clash-verge                        	       0        1        1        0        0
17461 clasp                              	       0        9        9        0        0
17462 classpath-common                   	       0        1        1        0        0
17463 classpath-doc                      	       0        1        1        0        0
17464 classpath-gtkpeer                  	       0        1        1        0        0
17465 classpath-tools                    	       0        1        1        0        0
17466 claudia                            	       0        3        3        0        0
17467 claws-mail-acpi-notifier           	       0       32        1        0       31
17468 claws-mail-archiver-plugin         	       0       39        0        0       39
17469 claws-mail-attach-remover          	       0       41        3        0       38
17470 claws-mail-bogofilter-dbgsym       	       0        1        1        0        0
17471 claws-mail-bsfilter-plugin         	       0       17        0        0       17
17472 claws-mail-clamd-plugin            	       0       41        0        0       41
17473 claws-mail-dbgsym                  	       0        1        1        0        0
17474 claws-mail-doc                     	       0       25        0        0       25
17475 claws-mail-extra-plugins           	       0       24        0        0       24
17476 claws-mail-fancy-plugin            	       0       25        1        0       24
17477 claws-mail-gdata-plugin            	       0       27        0        0       27
17478 claws-mail-keyword-warner          	       0       15        1        0       14
17479 claws-mail-libravatar              	       0       31        0        0       31
17480 claws-mail-litehtml-viewer         	       0       34        3        0       31
17481 claws-mail-managesieve             	       0       30        2        0       28
17482 claws-mail-pgpinline-dbgsym        	       0        1        1        0        0
17483 claws-mail-pgpmime-dbgsym          	       0        1        1        0        0
17484 claws-mail-plugins                 	       0       29        0        0       29
17485 claws-mail-python-plugin           	       0       17        0        0       17
17486 claws-mail-themes                  	       0       38        0        0       38
17487 claws-mail-tools                   	       0       41        0        0       41
17488 clawsker                           	       0       16       16        0        0
17489 clblast-utils                      	       0        1        1        0        0
17490 cleancss                           	       0        7        7        0        0
17491 clearlooks-phenix-cinnabar-theme   	       0      206        0        0      206
17492 clearlooks-phenix-darkpurpy-theme  	       0      126        0        0      126
17493 clearlooks-phenix-deepsea-theme    	       0      523        0        0      523
17494 clearlooks-phenix-lightpurpy-theme 	       0       20        0        0       20
17495 clearlooks-phenix-purpy-theme      	       0       26        0        0       26
17496 clearlooks-phenix-sapphire-theme   	       0     2320        0        0     2320
17497 clearlooks-phenix-theme            	       0       19        0        0       19
17498 cleo                               	       0        1        1        0        0
17499 clevis                             	       0        9        9        0        0
17500 clevis-initramfs                   	       0        7        0        0        7
17501 clevis-luks                        	       0        9        9        0        0
17502 clevis-tpm1                        	       0        3        3        0        0
17503 clevis-tpm2                        	       0        3        3        0        0
17504 clfswm                             	       0        3        3        0        0
17505 cli                                	       0        1        1        0        0
17506 cli-common                         	       0      316        0        0      316
17507 cli-shell-utils                    	       0        7        0        0        7
17508 cli-spinner                        	       0        2        2        0        0
17509 clif                               	       0        1        1        0        0
17510 cliff-tablib                       	       0        1        1        0        0
17511 clinfo-amdgpu-pro                  	       0        1        0        0        1
17512 clipf                              	       0        1        1        0        0
17513 clipgrab                           	       0        3        3        0        0
17514 clipman                            	       0        8        7        1        0
17515 clips                              	       0        5        5        0        0
17516 clips-common                       	       0        5        0        0        5
17517 clips-doc                          	       0        6        0        0        6
17518 cliq                               	       0        1        1        0        0
17519 cliquer                            	       0        9        9        0        0
17520 clirr                              	       0        1        1        0        0
17521 clisp                              	       0       45       45        0        0
17522 clisp-doc                          	       0       22        0        0       22
17523 clisp-module-berkeley-db           	       0        7        7        0        0
17524 clisp-module-clx                   	       0       12       12        0        0
17525 clisp-module-dbus                  	       0        7        7        0        0
17526 clisp-module-fastcgi               	       0        2        2        0        0
17527 clisp-module-gdbm                  	       0        7        7        0        0
17528 clisp-module-libsvm                	       0        2        2        0        0
17529 clisp-module-pari                  	       0        2        2        0        0
17530 clisp-module-pcre                  	       0        8        8        0        0
17531 clisp-module-postgresql            	       0        8        8        0        0
17532 clisp-module-zlib                  	       0        8        8        0        0
17533 clitest                            	       0        3        2        1        0
17534 clive                              	       0        1        1        0        0
17535 clog                               	       0        1        1        0        0
17536 clojure                            	       0       13       13        0        0
17537 clojure1.4                         	       0        1        1        0        0
17538 clojure1.6                         	       0        1        1        0        0
17539 clonalframe                        	       0        1        1        0        0
17540 clonezilla                         	       0       30       29        1        0
17541 cloog-ppl                          	       0        1        1        0        0
17542 cloop-src                          	       0        2        0        0        2
17543 cloop-utils                        	       0        2        2        0        0
17544 closure-compiler                   	       0        3        3        0        0
17545 cloud-initramfs-growroot           	       0        1        0        0        1
17546 cloud-sptheme-common               	       0        1        0        0        1
17547 cloud-utils                        	       0        6        0        0        6
17548 cloudcompare                       	       0        1        1        0        0
17549 cloudflare-ddns                    	       0        1        1        0        0
17550 cloudflare-dynamic-dns             	       0        1        1        0        0
17551 cloudflare-warp                    	       0        1        1        0        0
17552 cloudprint                         	       0        1        1        0        0
17553 clpeak                             	       0        1        1        0        0
17554 clsparse-doc                       	       0        1        0        0        1
17555 clsync                             	       0        2        2        0        0
17556 cltl                               	       0        4        0        0        4
17557 clustalo                           	       0        7        7        0        0
17558 clustalw                           	       0        6        6        0        0
17559 clustalx                           	       0        2        2        0        0
17560 cluster-glue                       	       0        8        8        0        0
17561 clusterssh                         	       0       18       18        0        0
17562 cm-super                           	       0      161        0        0      161
17563 cm-super-build-deps                	       0        1        0        0        1
17564 cm-super-x11                       	       0       13        0        0       13
17565 cm3-linuxlibc6-5.8.6               	       0        1        1        0        0
17566 cmake-curses-gui                   	       0       55       51        4        0
17567 cmake-dbgsym                       	       0        1        1        0        0
17568 cmake-doc                          	       0       33        0        0       33
17569 cmake-extras                       	       0       27       26        0        1
17570 cmake-fedora                       	       0        6        0        0        6
17571 cmake-vala                         	       0        6        0        0        6
17572 cmark                              	       0       14       14        0        0
17573 cmark-gfm                          	       0        2        2        0        0
17574 cmatrix-xfont                      	       0       20        0        0       20
17575 cmigemo-common                     	       0        3        3        0        0
17576 cminpack-doc                       	       0        1        0        0        1
17577 cmis-client                        	       0        1        1        0        0
17578 cmocka-doc                         	       0       20        0        0       20
17579 cmor-tables                        	       0        1        0        0        1
17580 cmtk                               	       0        1        1        0        0
17581 cmucl-docs                         	       0        2        0        0        2
17582 cmucl-source                       	       0        2        0        0        2
17583 cncnet                             	       0        2        2        0        0
17584 cncupslbp161zs                     	       0        1        0        0        1
17585 cncupslbp214zs                     	       0        1        0        0        1
17586 cncupslbp215zs                     	       0        1        0        0        1
17587 cncupsmf110zs                      	       0        1        0        0        1
17588 cncupsmf260zs                      	       0        1        0        0        1
17589 cncupsmf420zs                      	       0        1        0        0        1
17590 cncupsmf520zs                      	       0        1        0        0        1
17591 cndrvcups-capt                     	       0        5        5        0        0
17592 cndrvcups-common                   	       0        6        6        0        0
17593 cndrvcups-ufr2-uk                  	       0        1        1        0        0
17594 cndrvcups-ufr2-us                  	       0        1        1        0        0
17595 cndrvcups-utility                  	       0        1        1        0        0
17596 cndrvsane-drc225                   	       0        1        1        0        0
17597 cnews                              	       0        1        1        0        0
17598 cnijfilter-ix6800series            	       0        2        2        0        0
17599 cnijfilter-mg2500series            	       0        2        2        0        0
17600 cnijfilter-mg3500series            	       0        3        3        0        0
17601 cnrcupsiprc170zs                   	       0        1        0        0        1
17602 cnrcupsiprc710zk                   	       0        1        0        0        1
17603 cnrcupsir1643i2zs                  	       0        1        0        0        1
17604 cnrcupsir2425zk                    	       0        1        0        0        1
17605 cnrcupsir2625zk                    	       0        1        0        0        1
17606 cnrcupsir2635zk                    	       0        1        0        0        1
17607 cnrcupsiradv4725zk                 	       0        1        0        0        1
17608 cnrcupsiradv4745zk                 	       0        1        0        0        1
17609 cnrcupsiradv527zk                  	       0        1        0        0        1
17610 cnrcupsiradv527zs                  	       0        1        0        0        1
17611 cnrcupsiradv6000zk                 	       0        1        0        0        1
17612 cnrcupsiradv617zk                  	       0        1        0        0        1
17613 cnrcupsiradv617zs                  	       0        1        0        0        1
17614 cnrcupsiradv6755zk                 	       0        1        0        0        1
17615 cnrcupsiradv6780zk                 	       0        1        0        0        1
17616 cnrcupsiradv6860zs                 	       0        1        0        0        1
17617 cnrcupsiradv717zk                  	       0        1        0        0        1
17618 cnrcupsiradv717zs                  	       0        1        0        0        1
17619 cnrcupsiradv8705zk                 	       0        1        0        0        1
17620 cnrcupsiradv8786zk                 	       0        1        0        0        1
17621 cnrcupsiradvc257zk                 	       0        1        0        0        1
17622 cnrcupsiradvc257zs                 	       0        1        0        0        1
17623 cnrcupsiradvc3720zk                	       0        1        0        0        1
17624 cnrcupsiradvc3725zk                	       0        1        0        0        1
17625 cnrcupsiradvc3826zs                	       0        1        0        0        1
17626 cnrcupsiradvc3830zs                	       0        1        0        0        1
17627 cnrcupsiradvc3835zs                	       0        1        0        0        1
17628 cnrcupsiradvc477zk                 	       0        1        0        0        1
17629 cnrcupsiradvc477zs                 	       0        1        0        0        1
17630 cnrcupsiradvc568zs                 	       0        1        0        0        1
17631 cnrcupsiradvc5735zk                	       0        1        0        0        1
17632 cnrcupsiradvc5750zk                	       0        1        0        0        1
17633 cnrcupsiradvc5840zs                	       0        2        0        0        2
17634 cnrcupsiradvc5860zs                	       0        2        0        0        2
17635 cnrcupsiradvc7765zk                	       0        1        0        0        1
17636 cnrcupsiradvc7780zk                	       0        1        0        0        1
17637 cnrcupsirc3120lzk                  	       0        1        0        0        1
17638 cnrcupsirc3120zk                   	       0        1        0        0        1
17639 cnrcupsirc3125zk                   	       0        1        0        0        1
17640 cnrcupsirc3226zs                   	       0        1        0        0        1
17641 cnrcupslbp1127czk                  	       0        1        0        0        1
17642 cnrcupslbp1127czs                  	       0        1        0        0        1
17643 cnrcupslbp12382zs                  	       0        1        0        0        1
17644 cnrcupslbp1238zk                   	       0        1        0        0        1
17645 cnrcupslbp1238zs                   	       0        1        0        0        1
17646 cnrcupslbp1538czs                  	       0        1        0        0        1
17647 cnrcupslbp222zk                    	       0        1        0        0        1
17648 cnrcupslbp223zk                    	       0        1        0        0        1
17649 cnrcupslbp225zk                    	       0        1        0        0        1
17650 cnrcupslbp226zk                    	       0        1        0        0        1
17651 cnrcupslbp227zk                    	       0        1        0        0        1
17652 cnrcupslbp228zk                    	       0        1        0        0        1
17653 cnrcupslbp236zs                    	       0        1        0        0        1
17654 cnrcupslbp237zs                    	       0        1        0        0        1
17655 cnrcupsmf1127czk                   	       0        1        0        0        1
17656 cnrcupsmf1127czs                   	       0        1        0        0        1
17657 cnrcupsmf12382zs                   	       0        1        0        0        1
17658 cnrcupsmf1238zk                    	       0        1        0        0        1
17659 cnrcupsmf1238zs                    	       0        1        0        0        1
17660 cnrcupsmf1538czs                   	       0        1        0        0        1
17661 cnrcupsmf1643izs                   	       0        1        0        0        1
17662 cnrcupsmf450zs                     	       0        1        0        0        1
17663 cnrcupsx1643pzk                    	       0        1        0        0        1
17664 cnrdrvcups-ufr2-uk                 	       0        7        6        1        0
17665 cntlm                              	       0        2        2        0        0
17666 cobang                             	       0        1        1        0        0
17667 coccinella                         	       0        1        1        0        0
17668 coccinelle                         	       0        9        9        0        0
17669 coccinelle-doc                     	       0        4        4        0        0
17670 cockatrice                         	       0        1        1        0        0
17671 cockpit                            	       0        2        0        0        2
17672 cockpit-bridge                     	       0        4        3        1        0
17673 cockpit-doc                        	       0        2        0        0        2
17674 cockpit-machines                   	       0        1        0        0        1
17675 cockpit-networkmanager             	       0        1        0        0        1
17676 cockpit-packagekit                 	       0        3        0        0        3
17677 cockpit-pcp                        	       0        1        1        0        0
17678 cockpit-storaged                   	       0        4        0        0        4
17679 cockpit-system                     	       0        3        0        0        3
17680 cockpit-tests                      	       0        1        1        0        0
17681 cockpit-ws                         	       0        2        2        0        0
17682 coda                               	       0        4        4        0        0
17683 code-aster-gui                     	       0        1        1        0        0
17684 code-brand                         	       0        1        0        0        1
17685 code-exploration                   	       0        1        1        0        0
17686 code-insiders                      	       0        1        1        0        0
17687 code-saturne                       	       0        1        0        0        1
17688 code-saturne-bin                   	       0        2        2        0        0
17689 code-saturne-data                  	       0        2        2        0        0
17690 code-saturne-doc                   	       0        2        0        0        2
17691 code-saturne-include               	       0        1        1        0        0
17692 code-server                        	       0        2        2        0        0
17693 code2html                          	       0        2        2        0        0
17694 codeblocks-contrib                 	       0       18       18        0        0
17695 codeblocks-contrib-common          	       0        2        0        0        2
17696 codeblocks-dbg                     	       0        1        1        0        0
17697 codeblocks-dev                     	       0        7        7        0        0
17698 codeblocks-headers                 	       0        1        1        0        0
17699 codeblocks-libwxcontrib0           	       0        2        1        0        1
17700 codeblocks-libwxcontrib0-dbgsym    	       0        1        1        0        0
17701 codeblocks-wxcontrib-dev           	       0        1        0        0        1
17702 codeblocks-wxcontrib-headers       	       0        1        0        0        1
17703 codebreaker                        	       0        1        1        0        0
17704 codec2                             	       0        5        3        0        2
17705 codec2-dev                         	       0        1        1        0        0
17706 codecgraph                         	       0        4        4        0        0
17707 codecrypt                          	       0        4        4        0        0
17708 codecs-antix                       	       0        1        1        0        0
17709 codecserver                        	       0        1        1        0        0
17710 codecserver-driver-all             	       0        1        0        0        1
17711 codecserver-driver-ambe3k          	       0        1        0        0        1
17712 codegroup                          	       0        4        4        0        0
17713 codemeter                          	       0        1        1        0        0
17714 codequery                          	       0        5        4        1        0
17715 coderay                            	       0        2        2        0        0
17716 codespell                          	       0        6        6        0        0
17717 codium-insiders                    	       0        1        1        0        0
17718 codonw                             	       0        1        1        0        0
17719 coffeescript                       	       0        8        8        0        0
17720 coffeescript-doc                   	       0        5        0        0        5
17721 cog                                	       0        2        2        0        0
17722 coinor-cbc                         	       0       10       10        0        0
17723 coinor-clp                         	       0        8        8        0        0
17724 coinor-csdp                        	       0        2        2        0        0
17725 coinor-csdp-doc                    	       0        2        0        0        2
17726 coinor-libbonmin4                  	       0        3        0        0        3
17727 coinor-libcbc-dev                  	       0        3        3        0        0
17728 coinor-libcbc3                     	       0     2643        0        0     2643
17729 coinor-libcbc3.1                   	       0      193        0        0      193
17730 coinor-libcgl-dev                  	       0        3        3        0        0
17731 coinor-libcgl1                     	       0     2835        0        0     2835
17732 coinor-libclp-dev                  	       0        6        6        0        0
17733 coinor-libclp1                     	       0     2835        0        0     2835
17734 coinor-libcoinmp-dev               	       0        3        3        0        0
17735 coinor-libcoinmp0                  	       0      118        0        0      118
17736 coinor-libcoinmp1                  	       0       12        0        0       12
17737 coinor-libcoinmp1v5                	       0     2715        0        0     2715
17738 coinor-libcoinutils-dev            	       0        6        5        1        0
17739 coinor-libcoinutils-doc            	       0        1        0        0        1
17740 coinor-libcoinutils3               	       0       13        0        0       13
17741 coinor-libcoinutils3v5             	       0     2818        0        0     2818
17742 coinor-libipopt1v5                 	       0        5        0        0        5
17743 coinor-libosi-dev                  	       0        6        6        0        0
17744 coinor-libosi1                     	       0       12        0        0       12
17745 coinor-libosi1v5                   	       0     2824        0        0     2824
17746 coinor-libsymphony3                	       0        7        0        0        7
17747 coinor-symphony                    	       0        6        6        0        0
17748 coinst                             	       0        2        2        0        0
17749 coinst-viewer                      	       0        1        1        0        0
17750 colcon                             	       0        1        0        1        0
17751 coldfire                           	       0        1        1        0        0
17752 collaboraoffice                    	       0        3        0        0        3
17753 collaboraoffice-dict-de            	       0        1        0        0        1
17754 collaboraoffice-dict-en            	       0        1        0        0        1
17755 collaboraoffice-dict-fr            	       0        2        0        0        2
17756 collaboraoffice-ure                	       0        3        0        0        3
17757 collaboraofficebasis-base          	       0        1        0        0        1
17758 collaboraofficebasis-calc          	       0        3        0        0        3
17759 collaboraofficebasis-core          	       0        3        0        0        3
17760 collaboraofficebasis-de            	       0        1        0        0        1
17761 collaboraofficebasis-draw          	       0        3        0        0        3
17762 collaboraofficebasis-en-us         	       0        3        0        0        3
17763 collaboraofficebasis-extension-pdf-import	       0        3        0        0        3
17764 collaboraofficebasis-fr            	       0        1        0        0        1
17765 collaboraofficebasis-graphicfilter 	       0        3        0        0        3
17766 collaboraofficebasis-images        	       0        3        0        0        3
17767 collaboraofficebasis-impress       	       0        3        0        0        3
17768 collaboraofficebasis-math          	       0        3        0        0        3
17769 collaboraofficebasis-ooofonts      	       0        3        0        0        3
17770 collaboraofficebasis-ooolinguistic 	       0        3        0        0        3
17771 collaboraofficebasis-writer        	       0        3        0        0        3
17772 collaboraofficebasis-xsltfilter    	       0        1        0        0        1
17773 collada-dom-2.4.0                  	       0        1        1        0        0
17774 collatinus                         	       0        2        2        0        0
17775 collectd                           	       0       25        0        0       25
17776 collectd-dev                       	       0        1        1        0        0
17777 collectd-utils                     	       0        3        3        0        0
17778 collectd-web                       	       0        1        1        0        0
17779 colmap                             	       0        1        1        0        0
17780 colobot-common                     	       0       16        0        0       16
17781 colobot-common-sounds              	       0       16        0        0       16
17782 colobot-common-textures            	       0       16        0        0       16
17783 colobot-dev-doc                    	       0        1        0        0        1
17784 color-picker                       	       0       14       14        0        0
17785 colorcode                          	       0        6        6        0        0
17786 colord-data                        	       0     2880        0        0     2880
17787 colord-gtk-utils                   	       0        6        6        0        0
17788 colord-kde                         	       0        4        4        0        0
17789 colord-sensor-argyll               	       0        1        0        0        1
17790 colorgcc                           	       0        3        3        0        0
17791 colorize                           	       0        6        6        0        0
17792 colortail                          	       0        7        7        0        0
17793 colortest                          	       0        9        9        0        0
17794 colortest-python                   	       0        1        1        0        0
17795 colossal-cave-adventure            	       0       12       12        0        0
17796 com.github.johnfactotum.foliate    	       0        4        4        0        0
17797 com.kylart.anikki                  	       0        1        0        0        1
17798 com.zwsoft.zwcad2025               	       0        1        1        0        0
17799 comcom32                           	       0        1        0        0        1
17800 comcom64                           	       0        1        0        0        1
17801 comex-base                         	       0        1        1        0        0
17802 comgr                              	       0       16       15        0        1
17803 comgt                              	       0        2        2        0        0
17804 comix                              	       0        2        2        0        0
17805 comixcursors-lefthanded            	       0        5        0        0        5
17806 comixcursors-lefthanded-opaque     	       0        2        0        0        2
17807 comixcursors-righthanded           	       0        9        0        0        9
17808 comixcursors-righthanded-opaque    	       0        5        0        0        5
17809 commandbox                         	       0        1        1        0        0
17810 commit-patch                       	       0        1        1        0        0
17811 common-lisp-controller             	       0        7        7        0        0
17812 compartment                        	       0        3        3        0        0
17813 compat-libstdc++-296               	       0        1        0        0        1
17814 compiz                             	       0       35        0        0       35
17815 compiz-bcop                        	       0        6        5        1        0
17816 compiz-boxmenu                     	       0        6        5        1        0
17817 compiz-core-trinity                	       0        2        2        0        0
17818 compiz-fusion-bcop                 	       0        1        1        0        0
17819 compiz-fusion-extras               	       0        1        0        0        1
17820 compiz-fusion-plugins-extra-trinity	       0        2        2        0        0
17821 compiz-fusion-plugins-main-trinity 	       0        2        2        0        0
17822 compiz-plugins-default             	       0       13        0        0       13
17823 compiz-plugins-main-default        	       0        1        0        0        1
17824 compiz-plugins-trinity             	       0        2        2        0        0
17825 compiz-tde-trinity                 	       0        1        1        0        0
17826 compiz-trinity                     	       0        2        0        0        2
17827 compizconfig-backend-tdeconfig-trinity	       0        1        1        0        0
17828 compizconfig-python                	       0        1        1        0        0
17829 complexity                         	       0        8        8        0        0
17830 complexity-doc                     	       0        1        0        0        1
17831 composablekernel-dev               	       0        2        2        0        0
17832 compositekey                       	       0        1        1        0        0
17833 compositekey-bin                   	       0        1        1        0        0
17834 comptext                           	       0        2        2        0        0
17835 compton-conf                       	       0        6        6        0        0
17836 compton-conf-l10n                  	       0        7        0        0        7
17837 comptty                            	       0        2        2        0        0
17838 comskip                            	       0        1        1        0        0
17839 comskip-gui                        	       0        2        2        0        0
17840 conan                              	       0        1        1        0        0
17841 concordance                        	       0        3        3        0        0
17842 concordance-common                 	       0        3        3        0        0
17843 conda-package-handling             	       0        3        3        0        0
17844 condor                             	       0        1        0        1        0
17845 condor-doc                         	       0        1        0        0        1
17846 condor-upgrade-checks              	       0        1        0        1        0
17847 config-package-dev                 	       0        4        4        0        0
17848 configconsola                      	       0        1        0        0        1
17849 configuradorfnmt                   	       0        9        8        0        1
17850 congruity                          	       0        3        3        0        0
17851 conkeror                           	       0        1        1        0        0
17852 conkeror-spawn-process-helper      	       0        1        1        0        0
17853 conky                              	       0       90        0        0       90
17854 connect-proxy                      	       0        4        4        0        0
17855 connectagram                       	       0       10       10        0        0
17856 connectagram-data                  	       0       10        0        0       10
17857 connman-dev                        	       0        1        1        0        0
17858 connman-doc                        	       0        9        0        0        9
17859 connman-gtk-xdg-autostart          	       0        2        0        0        2
17860 connman-ui                         	       0       17       17        0        0
17861 conquest-common                    	       0        1        0        0        1
17862 conquest-data                      	       0        1        0        0        1
17863 conquest-dbase                     	       0        1        1        0        0
17864 conquest-gl                        	       0        1        1        0        0
17865 conquest-libs                      	       0        1        1        0        0
17866 cons                               	       0        1        1        0        0
17867 conservation-code                  	       0        1        1        0        0
17868 consola                            	       0        1        1        0        0
17869 console-braille                    	       0        3        3        0        0
17870 console-data                       	       0      131        0        0      131
17871 console-log                        	       0        3        0        0        3
17872 consolefonts-base                  	       0        2        0        0        2
17873 consul                             	       0        1        1        0        0
17874 context-doc-nonfree                	       0        1        0        0        1
17875 context-modules                    	       0       92        0        0       92
17876 context-nonfree                    	       0        2        0        0        2
17877 contextfree                        	       0        2        2        0        0
17878 conv-tools                         	       0        4        4        0        0
17879 converse-desktop                   	       0        1        0        0        1
17880 converseen                         	       0       13       13        0        0
17881 convert-pgn                        	       0        1        1        0        0
17882 convertfs                          	       0        1        1        0        0
17883 cookiecutter                       	       0        2        2        0        0
17884 coolkey                            	       0        6        6        0        0
17885 coolmail                           	       0        1        1        0        0
17886 coolwsd                            	       0        2        2        0        0
17887 coppwr                             	       0        1        1        0        0
17888 copycat                            	       0        1        1        0        0
17889 copyfs                             	       0        2        2        0        0
17890 copyq-doc                          	       0        8        0        0        8
17891 copyright-update                   	       0        3        3        0        0
17892 coq                                	       0        3        3        0        0
17893 coq-doc-html                       	       0        1        0        0        1
17894 corebird                           	       0        1        1        0        0
17895 coreboot-configurator              	       0        1        1        0        0
17896 coreboot-utils                     	       0       21       19        2        0
17897 coreboot-utils-doc                 	       0       18       17        1        0
17898 coredhcp-client                    	       0        2        2        0        0
17899 coredhcp-server                    	       0        1        1        0        0
17900 corekeeper                         	       0        1        1        0        0
17901 corewars                           	       0        1        1        0        0
17902 corkscrew                          	       0        2        2        0        0
17903 corosync                           	       0        6        6        0        0
17904 corosync-dev                       	       0        1        0        0        1
17905 corsix-th                          	       0        2        2        0        0
17906 corsix-th-data                     	       0        2        0        0        2
17907 countrycodes                       	       0        1        0        0        1
17908 courier-doc                        	       0        4        0        0        4
17909 courier-imap-ssl                   	       0        3        1        0        2
17910 courier-maildrop                   	       0        3        1        0        2
17911 courier-pcp                        	       0        1        1        0        0
17912 courier-pop-ssl                    	       0        1        0        0        1
17913 courier-pythonfilter               	       0        1        1        0        0
17914 courier-ssl                        	       0        2        1        0        1
17915 covered                            	       0        5        5        0        0
17916 covered-doc                        	       0        1        0        0        1
17917 covi                               	       0        1        1        0        0
17918 cowbell                            	       0        1        1        0        0
17919 cowsay-off                         	       0      122        0        0      122
17920 coz-profiler                       	       0        5        5        0        0
17921 cozy                               	       0        1        1        0        0
17922 cpad-common                        	       0        1        1        0        0
17923 cpan-listchanges                   	       0        4        4        0        0
17924 cpanoutdated                       	       0        4        4        0        0
17925 cpants-lint                        	       0        1        1        0        0
17926 cpdb-backend-cups                  	       0        9        4        0        5
17927 cpdb-backend-file                  	       0        1        1        0        0
17928 cpdb-libs-tools                    	       0        1        1        0        0
17929 cpdf                               	       0        1        1        0        0
17930 cpio-doc                           	       0        9        0        0        9
17931 cpio-win32                         	       0        3        0        0        3
17932 cpipe                              	       0        2        2        0        0
17933 cpl-plugin-amber                   	       0        2        0        0        2
17934 cpl-plugin-fors                    	       0        2        0        0        2
17935 cpl-plugin-giraf                   	       0        2        0        0        2
17936 cpl-plugin-hawki                   	       0        2        0        0        2
17937 cpl-plugin-kmos                    	       0        1        0        0        1
17938 cpl-plugin-muse                    	       0        2        0        0        2
17939 cpl-plugin-naco                    	       0        2        0        0        2
17940 cpl-plugin-uves                    	       0        2        0        0        2
17941 cpl-plugin-vimos                   	       0        2        0        0        2
17942 cpl-plugin-visir                   	       0        2        0        0        2
17943 cpl-plugin-xshoo                   	       0        2        0        0        2
17944 cpm                                	       0        1        1        0        0
17945 cpp-10-aarch64-linux-gnu           	       0        7        7        0        0
17946 cpp-10-alpha-linux-gnu             	       0        1        1        0        0
17947 cpp-10-arm-linux-gnueabi           	       0        6        6        0        0
17948 cpp-10-arm-linux-gnueabihf         	       0        7        7        0        0
17949 cpp-10-doc                         	       0        4        0        0        4
17950 cpp-10-hppa-linux-gnu              	       0        2        2        0        0
17951 cpp-10-i686-linux-gnu              	       0        3        3        0        0
17952 cpp-10-mipsel-linux-gnu            	       0        1        1        0        0
17953 cpp-10-powerpc-linux-gnu           	       0        1        1        0        0
17954 cpp-10-powerpc64-linux-gnu         	       0        2        2        0        0
17955 cpp-10-riscv64-linux-gnu           	       0        3        3        0        0
17956 cpp-10-s390x-linux-gnu             	       0        1        1        0        0
17957 cpp-10-sparc64-linux-gnu           	       0        1        1        0        0
17958 cpp-11-arm-linux-gnueabi           	       0        1        1        0        0
17959 cpp-11-arm-linux-gnueabihf         	       0        1        1        0        0
17960 cpp-11-i686-linux-gnu              	       0        1        1        0        0
17961 cpp-12-aarch64-linux-gnu           	       0       20       20        0        0
17962 cpp-12-alpha-linux-gnu             	       0        1        1        0        0
17963 cpp-12-arm-linux-gnueabi           	       0       12       12        0        0
17964 cpp-12-arm-linux-gnueabihf         	       0       14       14        0        0
17965 cpp-12-doc                         	       0       17        0        0       17
17966 cpp-12-hppa-linux-gnu              	       0        1        1        0        0
17967 cpp-12-i686-linux-gnu              	       0        4        4        0        0
17968 cpp-12-m68k-linux-gnu              	       0        1        1        0        0
17969 cpp-12-mips-linux-gnu              	       0        4        4        0        0
17970 cpp-12-mips64-linux-gnuabi64       	       0        1        1        0        0
17971 cpp-12-mipsel-linux-gnu            	       0        4        4        0        0
17972 cpp-12-powerpc-linux-gnu           	       0        2        2        0        0
17973 cpp-12-powerpc64-linux-gnu         	       0        1        1        0        0
17974 cpp-12-riscv64-linux-gnu           	       0        6        6        0        0
17975 cpp-12-s390x-linux-gnu             	       0        2        2        0        0
17976 cpp-12-sparc64-linux-gnu           	       0        1        1        0        0
17977 cpp-12-x86-64-linux-gnux32         	       0        4        4        0        0
17978 cpp-13-aarch64-linux-gnu           	       0        1        1        0        0
17979 cpp-13-dbgsym                      	       0        1        1        0        0
17980 cpp-13-doc                         	       0        3        0        0        3
17981 cpp-13-i686-linux-gnu              	       0        4        4        0        0
17982 cpp-13-powerpc64le-linux-gnu       	       0        1        1        0        0
17983 cpp-13-riscv64-linux-gnu           	       0        1        1        0        0
17984 cpp-14-aarch64-linux-gnu           	       0        5        5        0        0
17985 cpp-14-alpha-linux-gnu             	       0        1        1        0        0
17986 cpp-14-arm-linux-gnueabi           	       0        2        2        0        0
17987 cpp-14-arm-linux-gnueabihf         	       0        5        5        0        0
17988 cpp-14-doc                         	       0        2        0        0        2
17989 cpp-14-for-build                   	       0        1        0        0        1
17990 cpp-14-hppa-linux-gnu              	       0        1        1        0        0
17991 cpp-14-powerpc-linux-gnu           	       0        2        2        0        0
17992 cpp-14-powerpc64-linux-gnu         	       0        3        3        0        0
17993 cpp-14-powerpc64le-linux-gnu       	       0        1        1        0        0
17994 cpp-14-riscv64-linux-gnu           	       0        3        3        0        0
17995 cpp-14-s390x-linux-gnu             	       0        1        1        0        0
17996 cpp-14-sparc64-linux-gnu           	       0        1        1        0        0
17997 cpp-15                             	       0        2        2        0        0
17998 cpp-15-x86-64-linux-gnu            	       0        2        2        0        0
17999 cpp-3.3                            	       0        3        3        0        0
18000 cpp-4.1                            	       0        4        4        0        0
18001 cpp-4.2                            	       0        2        2        0        0
18002 cpp-4.3                            	       0        8        8        0        0
18003 cpp-4.3-arm-linux-gnueabi          	       0        1        1        0        0
18004 cpp-4.4-doc                        	       0        1        0        0        1
18005 cpp-4.5                            	       0        1        1        0        0
18006 cpp-4.7                            	       0        7        7        0        0
18007 cpp-4.7-doc                        	       0        2        0        0        2
18008 cpp-4.8-doc                        	       0        1        0        0        1
18009 cpp-4.9-doc                        	       0        2        0        0        2
18010 cpp-5                              	       0        5        5        0        0
18011 cpp-6-aarch64-linux-gnu            	       0        2        2        0        0
18012 cpp-6-arm-linux-gnueabihf          	       0        1        1        0        0
18013 cpp-6-doc                          	       0        3        0        0        3
18014 cpp-7                              	       0        9        9        0        0
18015 cpp-7-doc                          	       0        1        0        0        1
18016 cpp-8-aarch64-linux-gnu            	       0        7        7        0        0
18017 cpp-8-arm-linux-gnueabi            	       0        2        2        0        0
18018 cpp-8-arm-linux-gnueabihf          	       0        5        5        0        0
18019 cpp-8-doc                          	       0        1        0        0        1
18020 cpp-8-i686-linux-gnu               	       0        2        2        0        0
18021 cpp-8-mips-linux-gnu               	       0        1        1        0        0
18022 cpp-8-mips64el-linux-gnuabi64      	       0        1        1        0        0
18023 cpp-8-mipsel-linux-gnu             	       0        1        1        0        0
18024 cpp-8-x86-64-linux-gnux32          	       0        2        2        0        0
18025 cpp-9-aarch64-linux-gnu            	       0        1        1        0        0
18026 cpp-9-arm-linux-gnueabi            	       0        1        1        0        0
18027 cpp-9-arm-linux-gnueabihf          	       0        2        2        0        0
18028 cpp-9-doc                          	       0        1        0        0        1
18029 cpp-aarch64-linux-gnu              	       0       34       34        0        0
18030 cpp-alpha-linux-gnu                	       0        3        3        0        0
18031 cpp-arm-linux-gnueabi              	       0       18       18        0        0
18032 cpp-arm-linux-gnueabihf            	       0       29       29        0        0
18033 cpp-doc                            	       0       19        0        0       19
18034 cpp-for-build                      	       0        1        0        0        1
18035 cpp-hppa-linux-gnu                 	       0        3        3        0        0
18036 cpp-m68k-linux-gnu                 	       0        1        1        0        0
18037 cpp-mips-linux-gnu                 	       0        5        5        0        0
18038 cpp-mips64-linux-gnuabi64          	       0        1        1        0        0
18039 cpp-mips64el-linux-gnuabi64        	       0        1        1        0        0
18040 cpp-mipsel-linux-gnu               	       0        6        6        0        0
18041 cpp-powerpc-linux-gnu              	       0        5        5        0        0
18042 cpp-powerpc64-linux-gnu            	       0        5        5        0        0
18043 cpp-powerpc64le-linux-gnu          	       0        1        1        0        0
18044 cpp-riscv64-linux-gnu              	       0        8        8        0        0
18045 cpp-s390x-linux-gnu                	       0        4        4        0        0
18046 cpp-sparc64-linux-gnu              	       0        3        3        0        0
18047 cpp-x86-64-linux-gnux32            	       0        1        1        0        0
18048 cppad                              	       0        2        0        0        2
18049 cppcms                             	       0        2        2        0        0
18050 cppcms-dev                         	       0        1        1        0        0
18051 cppdb                              	       0        3        3        0        0
18052 cppman                             	       0        3        3        0        0
18053 cppreference-doc-en-html           	       0        9        9        0        0
18054 cppreference-doc-en-qch            	       0        5        0        0        5
18055 cpputest                           	       0        7        7        0        0
18056 cppzmq-dev                         	       0       32        0        0       32
18057 cpqacuxe                           	       0        1        1        0        0
18058 cproto                             	       0        9        9        0        0
18059 cpu                                	       0        6        6        0        0
18060 cpu-checker                        	       0       51       49        2        0
18061 cpu-x-dbgsym                       	       0        1        1        0        0
18062 cpuburn                            	       0        6        6        0        0
18063 cpufetch                           	       0       10       10        0        0
18064 cpuset                             	       0        3        3        0        0
18065 cqrlog-data                        	       0        7        0        0        7
18066 cque-en                            	       0        1        1        0        0
18067 crac                               	       0        1        1        0        0
18068 crack                              	       0       23       23        0        0
18069 crack-common                       	       0       27        0        0       27
18070 crack-md5                          	       0        4        3        1        0
18071 craft                              	       0        1        1        0        0
18072 crafty-bitmaps                     	       0        1        0        0        1
18073 crafty-books-medium                	       0        1        0        0        1
18074 crafty-books-medtosmall            	       0        5        0        0        5
18075 cramfsprogs                        	       0        7        7        0        0
18076 crasm                              	       0        6        6        0        0
18077 crawl-common                       	       0      974        0        0      974
18078 crawl-tiles                        	       0       15       15        0        0
18079 crawl-tiles-data                   	       0       15        0        0       15
18080 crazydiskinfo                      	       0       10       10        0        0
18081 create-resources                   	       0       14        0        0       14
18082 createdisk                         	       0        1        1        0        0
18083 createrepo-c                       	       0        2        2        0        0
18084 credential-sheets                  	       0        3        3        0        0
18085 creduce                            	       0        4        4        0        0
18086 crimson                            	       0        5        5        0        0
18087 crip                               	       0        6        6        0        0
18088 crispy-doom-build-deps             	       0        1        0        0        1
18089 crispy-doom-dbgsym                 	       0        1        1        0        0
18090 critcl                             	       0        3        3        0        0
18091 criticalmass                       	       0       10       10        0        0
18092 criticalmass-data                  	       0       10        0        0       10
18093 critterding                        	       0        3        3        0        0
18094 crmsh                              	       0        6        6        0        0
18095 cron-deja-vu                       	       0        1        1        0        0
18096 cronic                             	       0        1        1        0        0
18097 cronolog                           	       0        4        4        0        0
18098 cronometer                         	       0        6        6        0        0
18099 cropgui                            	       0        1        1        0        0
18100 cross-config                       	       0       26        0        0       26
18101 cross-exe-wrapper                  	       0        2        1        1        0
18102 crossbuild-essential-amd64         	       0        2        0        0        2
18103 crossbuild-essential-arm64         	       0       12        0        0       12
18104 crossbuild-essential-armel         	       0        3        0        0        3
18105 crossbuild-essential-armhf         	       0        8        0        0        8
18106 crossbuild-essential-i386          	       0        3        0        0        3
18107 crossbuild-essential-mips          	       0        2        0        0        2
18108 crossbuild-essential-mips64el      	       0        1        0        0        1
18109 crossbuild-essential-mipsel        	       0        2        0        0        2
18110 crossfire-client                   	       0        6        6        0        0
18111 crossfire-client-gtk2              	       0        1        0        0        1
18112 crossfire-client-images            	       0        7        0        0        7
18113 crossfire-client-sounds            	       0        1        0        0        1
18114 crossfire-common                   	       0        4        0        0        4
18115 crossfire-doc                      	       0        3        0        0        3
18116 crossfire-maps                     	       0        5        0        0        5
18117 crossfire-server                   	       0        4        4        0        0
18118 crossftp                           	       0        1        0        0        1
18119 crossgrader                        	       0        1        1        0        0
18120 crossover                          	       0       11        9        1        1
18121 crowdsec-firewall-bouncer          	       0        1        1        0        0
18122 crrcsim                            	       0        8        8        0        0
18123 crrcsim-data                       	       0        8        0        0        8
18124 crrcsim-doc                        	       0        2        0        0        2
18125 crudini                            	       0        3        3        0        0
18126 cruft                              	       0       15        3        0       12
18127 crypt++el                          	       0        2        2        0        0
18128 cryptcat                           	       0        8        8        0        0
18129 cryptkeeper                        	       0        1        1        0        0
18130 cryptol                            	       0        1        1        0        0
18131 cryptomator                        	       0        1        0        1        0
18132 cryptonose                         	       0        1        1        0        0
18133 cryptopant                         	       0        1        1        0        0
18134 cryptsetup-modified-functions      	       0        3        3        0        0
18135 cryptsetup-nuke-password           	       0        4        4        0        0
18136 cryptsetup-run                     	       0      142       19        0      123
18137 crystal1.12                        	       0        1        1        0        0
18138 crystal1.12-docs                   	       0        1        0        0        1
18139 crystal1.12-samples                	       0        1        0        0        1
18140 crystalcursors                     	       0       18        0        0       18
18141 cs                                 	       0        1        1        0        0
18142 cscope-el                          	       0        1        0        0        1
18143 csladspa                           	       0        9        9        0        0
18144 csmash                             	       0        1        1        0        0
18145 csmash-data                        	       0        1        0        0        1
18146 csound-data                        	       0       35        0        0       35
18147 csound-doc                         	       0        8        0        0        8
18148 csound-manpages                    	       0        1        0        0        1
18149 csound-plugins                     	       0       26        0        0       26
18150 csound-soundfont                   	       0       30        0        0       30
18151 csoundqt                           	       0        7        7        0        0
18152 csoundqt-examples                  	       0        7        0        0        7
18153 css-mode                           	       0        1        1        0        0
18154 cssc                               	       0        2        2        0        0
18155 cssed                              	       0        1        1        0        0
18156 cssmin                             	       0        4        4        0        0
18157 csstidy                            	       0       10       10        0        0
18158 cstream                            	       0        4        4        0        0
18159 csvkit                             	       0       11       11        0        0
18160 csvkit-doc                         	       0        4        0        0        4
18161 csync2                             	       0        1        1        0        0
18162 ctdb                               	       0        3        3        0        0
18163 ctdconverter                       	       0        1        1        0        0
18164 cthumb                             	       0        1        1        0        0
18165 ctioga2                            	       0        1        1        0        0
18166 ctn                                	       0        2        2        0        0
18167 ctop                               	       0        3        3        0        0
18168 ctpp2-utils                        	       0        1        1        0        0
18169 ctsim                              	       0        4        4        0        0
18170 ctsim-doc                          	       0        5        0        0        5
18171 ctsim-help                         	       0        4        0        0        4
18172 ctwm                               	       0        5        5        0        0
18173 cube2-data                         	       0        5        5        0        0
18174 cucumber                           	       0        1        1        0        0
18175 cuda                               	       0        2        0        0        2
18176 cuda-12-6                          	       0        2        0        0        2
18177 cuda-12-8                          	       0        1        0        0        1
18178 cuda-cccl-11-7                     	       0        1        1        0        0
18179 cuda-cccl-12-4                     	       0        2        2        0        0
18180 cuda-cccl-12-6                     	       0        5        3        1        1
18181 cuda-cccl-12-8                     	       0        2        1        1        0
18182 cuda-command-line-tools-10-0       	       0        1        1        0        0
18183 cuda-command-line-tools-11-7       	       0        1        0        0        1
18184 cuda-command-line-tools-12-4       	       0        2        0        0        2
18185 cuda-command-line-tools-12-6       	       0        4        0        0        4
18186 cuda-command-line-tools-12-8       	       0        2        0        0        2
18187 cuda-command-line-tools-9-0        	       0        1        1        0        0
18188 cuda-compiler-10-0                 	       0        1        0        0        1
18189 cuda-compiler-11-7                 	       0        1        0        0        1
18190 cuda-compiler-12-4                 	       0        2        0        0        2
18191 cuda-compiler-12-6                 	       0        4        0        0        4
18192 cuda-compiler-12-8                 	       0        2        0        0        2
18193 cuda-core-9-0                      	       0        1        0        0        1
18194 cuda-cross-aarch64-10-0            	       0        1        0        0        1
18195 cuda-crt-12-4                      	       0        2        2        0        0
18196 cuda-crt-12-6                      	       0        5        3        1        1
18197 cuda-crt-12-8                      	       0        2        1        1        0
18198 cuda-cublas-10-0                   	       0        1        0        0        1
18199 cuda-cublas-9-0                    	       0        1        0        0        1
18200 cuda-cublas-cross-aarch64-10-0     	       0        1        1        0        0
18201 cuda-cublas-dev-10-0               	       0        1        1        0        0
18202 cuda-cublas-dev-9-0                	       0        1        1        0        0
18203 cuda-cudart-10-0                   	       0        1        0        0        1
18204 cuda-cudart-11-7                   	       0        1        1        0        0
18205 cuda-cudart-12-4                   	       0        2        0        0        2
18206 cuda-cudart-12-6                   	       0        5        0        0        5
18207 cuda-cudart-12-8                   	       0        2        0        0        2
18208 cuda-cudart-9-0                    	       0        1        0        0        1
18209 cuda-cudart-cross-aarch64-10-0     	       0        1        1        0        0
18210 cuda-cudart-dev-11-7               	       0        1        1        0        0
18211 cuda-cudart-dev-12-4               	       0        2        2        0        0
18212 cuda-cudart-dev-12-6               	       0        5        4        1        0
18213 cuda-cudart-dev-12-8               	       0        2        1        1        0
18214 cuda-cudart-dev-9-0                	       0        1        1        0        0
18215 cuda-cufft-10-0                    	       0        1        0        0        1
18216 cuda-cufft-9-0                     	       0        1        0        0        1
18217 cuda-cufft-cross-aarch64-10-0      	       0        1        1        0        0
18218 cuda-cufft-dev-10-0                	       0        1        1        0        0
18219 cuda-cufft-dev-9-0                 	       0        1        1        0        0
18220 cuda-cuobjdump-10-0                	       0        1        1        0        0
18221 cuda-cuobjdump-11-7                	       0        1        1        0        0
18222 cuda-cuobjdump-12-4                	       0        2        2        0        0
18223 cuda-cuobjdump-12-6                	       0        4        2        1        1
18224 cuda-cuobjdump-12-8                	       0        2        1        1        0
18225 cuda-cupti-10-0                    	       0        1        1        0        0
18226 cuda-cupti-11-7                    	       0        1        1        0        0
18227 cuda-cupti-12-4                    	       0        2        2        0        0
18228 cuda-cupti-12-6                    	       0        5        3        1        1
18229 cuda-cupti-12-8                    	       0        2        1        1        0
18230 cuda-cupti-dev-11-7                	       0        1        1        0        0
18231 cuda-cupti-dev-12-4                	       0        2        2        0        0
18232 cuda-cupti-dev-12-6                	       0        5        3        1        1
18233 cuda-cupti-dev-12-8                	       0        2        1        1        0
18234 cuda-curand-10-0                   	       0        1        0        0        1
18235 cuda-curand-9-0                    	       0        1        0        0        1
18236 cuda-curand-cross-aarch64-10-0     	       0        1        1        0        0
18237 cuda-curand-dev-10-0               	       0        1        1        0        0
18238 cuda-curand-dev-9-0                	       0        1        1        0        0
18239 cuda-cusolver-10-0                 	       0        1        0        0        1
18240 cuda-cusolver-9-0                  	       0        1        0        0        1
18241 cuda-cusolver-cross-aarch64-10-0   	       0        1        1        0        0
18242 cuda-cusolver-dev-10-0             	       0        1        1        0        0
18243 cuda-cusolver-dev-9-0              	       0        1        1        0        0
18244 cuda-cusparse-10-0                 	       0        1        0        0        1
18245 cuda-cusparse-9-0                  	       0        1        0        0        1
18246 cuda-cusparse-cross-aarch64-10-0   	       0        1        1        0        0
18247 cuda-cusparse-dev-10-0             	       0        1        1        0        0
18248 cuda-cusparse-dev-9-0              	       0        1        1        0        0
18249 cuda-cuxxfilt-11-7                 	       0        1        1        0        0
18250 cuda-cuxxfilt-12-4                 	       0        2        2        0        0
18251 cuda-cuxxfilt-12-6                 	       0        4        2        1        1
18252 cuda-cuxxfilt-12-8                 	       0        2        1        1        0
18253 cuda-demo-suite-12-6               	       0        2        0        0        2
18254 cuda-demo-suite-12-8               	       0        1        0        0        1
18255 cuda-documentation-10-0            	       0        1        0        0        1
18256 cuda-documentation-11-7            	       0        1        0        0        1
18257 cuda-documentation-12-4            	       0        2        0        0        2
18258 cuda-documentation-12-6            	       0        4        0        0        4
18259 cuda-documentation-12-8            	       0        2        0        0        2
18260 cuda-documentation-9-0             	       0        1        0        0        1
18261 cuda-driver-cross-aarch64-10-0     	       0        1        1        0        0
18262 cuda-driver-dev-10-0               	       0        1        1        0        0
18263 cuda-driver-dev-11-7               	       0        1        1        0        0
18264 cuda-driver-dev-12-4               	       0        2        2        0        0
18265 cuda-driver-dev-12-6               	       0        5        4        1        0
18266 cuda-driver-dev-12-8               	       0        2        1        1        0
18267 cuda-driver-dev-9-0                	       0        1        1        0        0
18268 cuda-drivers                       	       0        1        0        0        1
18269 cuda-drivers-525                   	       0        1        0        0        1
18270 cuda-drivers-530                   	       0        1        0        0        1
18271 cuda-drivers-565                   	       0        1        0        0        1
18272 cuda-gdb-10-0                      	       0        1        1        0        0
18273 cuda-gdb-11-7                      	       0        1        1        0        0
18274 cuda-gdb-12-4                      	       0        2        2        0        0
18275 cuda-gdb-12-6                      	       0        4        2        1        1
18276 cuda-gdb-12-8                      	       0        2        1        1        0
18277 cuda-gpu-library-advisor-10-0      	       0        1        1        0        0
18278 cuda-keyring                       	       0        6        0        0        6
18279 cuda-libraries-11-7                	       0        1        0        0        1
18280 cuda-libraries-12-4                	       0        2        0        0        2
18281 cuda-libraries-12-6                	       0        4        0        0        4
18282 cuda-libraries-12-8                	       0        2        0        0        2
18283 cuda-libraries-dev-10-0            	       0        1        0        0        1
18284 cuda-libraries-dev-11-7            	       0        1        0        0        1
18285 cuda-libraries-dev-12-4            	       0        2        0        0        2
18286 cuda-libraries-dev-12-6            	       0        4        0        0        4
18287 cuda-libraries-dev-12-8            	       0        2        0        0        2
18288 cuda-libraries-dev-9-0             	       0        1        0        0        1
18289 cuda-license-10-0                  	       0        1        0        0        1
18290 cuda-license-9-0                   	       0        1        0        0        1
18291 cuda-memcheck-10-0                 	       0        1        1        0        0
18292 cuda-memcheck-11-7                 	       0        1        1        0        0
18293 cuda-misc-headers-10-0             	       0        1        1        0        0
18294 cuda-misc-headers-9-0              	       0        1        0        0        1
18295 cuda-misc-headers-cross-aarch64-10-0	       0        1        1        0        0
18296 cuda-npp-10-0                      	       0        1        0        0        1
18297 cuda-npp-9-0                       	       0        1        0        0        1
18298 cuda-npp-cross-aarch64-10-0        	       0        1        1        0        0
18299 cuda-npp-dev-10-0                  	       0        1        1        0        0
18300 cuda-npp-dev-9-0                   	       0        1        1        0        0
18301 cuda-nsight-10-0                   	       0        1        0        0        1
18302 cuda-nsight-11-7                   	       0        1        1        0        0
18303 cuda-nsight-12-4                   	       0        2        2        0        0
18304 cuda-nsight-12-6                   	       0        4        2        1        1
18305 cuda-nsight-12-8                   	       0        2        1        1        0
18306 cuda-nsight-compute-10-0           	       0        1        0        0        1
18307 cuda-nsight-compute-11-7           	       0        1        1        0        0
18308 cuda-nsight-compute-12-4           	       0        2        2        0        0
18309 cuda-nsight-compute-12-6           	       0        4        2        1        1
18310 cuda-nsight-compute-12-8           	       0        2        1        1        0
18311 cuda-nsight-compute-addon-l4t-10-0 	       0        1        0        0        1
18312 cuda-nsight-systems-11-7           	       0        1        1        0        0
18313 cuda-nsight-systems-12-4           	       0        2        2        0        0
18314 cuda-nsight-systems-12-6           	       0        4        2        1        1
18315 cuda-nsight-systems-12-8           	       0        2        1        1        0
18316 cuda-nvcc-10-0                     	       0        1        1        0        0
18317 cuda-nvcc-11-7                     	       0        1        1        0        0
18318 cuda-nvcc-12-4                     	       0        2        2        0        0
18319 cuda-nvcc-12-6                     	       0        5        3        1        1
18320 cuda-nvcc-12-8                     	       0        2        1        1        0
18321 cuda-nvdisasm-10-0                 	       0        1        1        0        0
18322 cuda-nvdisasm-11-7                 	       0        1        1        0        0
18323 cuda-nvdisasm-12-4                 	       0        2        2        0        0
18324 cuda-nvdisasm-12-6                 	       0        4        2        1        1
18325 cuda-nvdisasm-12-8                 	       0        2        1        1        0
18326 cuda-nvgraph-10-0                  	       0        1        0        0        1
18327 cuda-nvgraph-9-0                   	       0        1        0        0        1
18328 cuda-nvgraph-cross-aarch64-10-0    	       0        1        0        0        1
18329 cuda-nvgraph-dev-10-0              	       0        1        1        0        0
18330 cuda-nvgraph-dev-9-0               	       0        1        1        0        0
18331 cuda-nvjpeg-10-0                   	       0        1        0        0        1
18332 cuda-nvjpeg-dev-10-0               	       0        1        1        0        0
18333 cuda-nvml-cross-aarch64-10-0       	       0        1        0        0        1
18334 cuda-nvml-dev-10-0                 	       0        1        1        0        0
18335 cuda-nvml-dev-11-7                 	       0        1        1        0        0
18336 cuda-nvml-dev-12-4                 	       0        2        2        0        0
18337 cuda-nvml-dev-12-6                 	       0        4        3        1        0
18338 cuda-nvml-dev-12-8                 	       0        2        1        1        0
18339 cuda-nvml-dev-9-0                  	       0        1        1        0        0
18340 cuda-nvprof-10-0                   	       0        1        1        0        0
18341 cuda-nvprof-11-7                   	       0        1        1        0        0
18342 cuda-nvprof-12-4                   	       0        2        2        0        0
18343 cuda-nvprof-12-6                   	       0        5        4        1        0
18344 cuda-nvprof-12-8                   	       0        2        1        1        0
18345 cuda-nvprune-10-0                  	       0        1        1        0        0
18346 cuda-nvprune-11-7                  	       0        1        1        0        0
18347 cuda-nvprune-12-4                  	       0        2        2        0        0
18348 cuda-nvprune-12-6                  	       0        4        2        1        1
18349 cuda-nvprune-12-8                  	       0        2        1        1        0
18350 cuda-nvrtc-10-0                    	       0        1        0        0        1
18351 cuda-nvrtc-11-7                    	       0        1        0        0        1
18352 cuda-nvrtc-12-4                    	       0        2        0        0        2
18353 cuda-nvrtc-12-6                    	       0        4        0        0        4
18354 cuda-nvrtc-12-8                    	       0        2        0        0        2
18355 cuda-nvrtc-9-0                     	       0        1        0        0        1
18356 cuda-nvrtc-cross-aarch64-10-0      	       0        1        0        0        1
18357 cuda-nvrtc-dev-10-0                	       0        1        1        0        0
18358 cuda-nvrtc-dev-11-7                	       0        1        1        0        0
18359 cuda-nvrtc-dev-12-4                	       0        2        2        0        0
18360 cuda-nvrtc-dev-12-6                	       0        4        3        1        0
18361 cuda-nvrtc-dev-12-8                	       0        2        1        1        0
18362 cuda-nvrtc-dev-9-0                 	       0        1        1        0        0
18363 cuda-nvtx-10-0                     	       0        1        1        0        0
18364 cuda-nvtx-11-7                     	       0        1        1        0        0
18365 cuda-nvtx-12-4                     	       0        2        2        0        0
18366 cuda-nvtx-12-6                     	       0        4        3        1        0
18367 cuda-nvtx-12-8                     	       0        2        1        1        0
18368 cuda-nvvm-12-4                     	       0        2        2        0        0
18369 cuda-nvvm-12-6                     	       0        5        3        1        1
18370 cuda-nvvm-12-8                     	       0        2        1        1        0
18371 cuda-nvvp-10-0                     	       0        1        0        0        1
18372 cuda-nvvp-11-7                     	       0        1        1        0        0
18373 cuda-nvvp-12-4                     	       0        2        2        0        0
18374 cuda-nvvp-12-6                     	       0        4        2        1        1
18375 cuda-nvvp-12-8                     	       0        2        1        1        0
18376 cuda-opencl-12-4                   	       0        2        2        0        0
18377 cuda-opencl-12-6                   	       0        4        1        0        3
18378 cuda-opencl-12-8                   	       0        2        1        0        1
18379 cuda-opencl-dev-12-4               	       0        2        2        0        0
18380 cuda-opencl-dev-12-6               	       0        4        3        1        0
18381 cuda-opencl-dev-12-8               	       0        2        1        1        0
18382 cuda-profiler-api-12-4             	       0        2        2        0        0
18383 cuda-profiler-api-12-6             	       0        5        3        1        1
18384 cuda-profiler-api-12-8             	       0        2        1        1        0
18385 cuda-repo-cross-aarch64-10-0-local-10.0.326	       0        1        0        0        1
18386 cuda-repo-debian11-11-5-local      	       0        1        0        0        1
18387 cuda-repo-debian11-11-8-local      	       0        1        0        0        1
18388 cuda-repo-debian12-12-4-local      	       0        1        0        0        1
18389 cuda-repo-debian12-12-6-local      	       0        1        0        0        1
18390 cuda-repo-debian12-12-8-local      	       0        1        0        0        1
18391 cuda-repo-ubuntu1604               	       0        1        0        0        1
18392 cuda-repo-ubuntu1704-9-0-local     	       0        1        0        0        1
18393 cuda-repo-ubuntu1804-10-0-local-10.0.326-410.108	       0        1        0        0        1
18394 cuda-runtime-12-6                  	       0        2        0        0        2
18395 cuda-runtime-12-8                  	       0        1        0        0        1
18396 cuda-samples-10-0                  	       0        1        1        0        0
18397 cuda-samples-9-0                   	       0        1        0        0        1
18398 cuda-sanitizer-11-7                	       0        1        1        0        0
18399 cuda-sanitizer-12-4                	       0        2        2        0        0
18400 cuda-sanitizer-12-6                	       0        4        2        1        1
18401 cuda-sanitizer-12-8                	       0        2        1        1        0
18402 cuda-toolkit                       	       0        2        0        0        2
18403 cuda-toolkit-10-0                  	       0        1        0        0        1
18404 cuda-toolkit-11-7                  	       0        1        0        0        1
18405 cuda-toolkit-11-7-config-common    	       0        1        0        0        1
18406 cuda-toolkit-11-config-common      	       0        1        0        0        1
18407 cuda-toolkit-12-0-config-common    	       0        1        0        0        1
18408 cuda-toolkit-12-4                  	       0        2        0        0        2
18409 cuda-toolkit-12-4-config-common    	       0        2        0        0        2
18410 cuda-toolkit-12-6                  	       0        4        0        0        4
18411 cuda-toolkit-12-6-config-common    	       0        5        0        0        5
18412 cuda-toolkit-12-8                  	       0        2        0        0        2
18413 cuda-toolkit-12-8-config-common    	       0        2        0        0        2
18414 cuda-toolkit-12-config-common      	       0        9        0        0        9
18415 cuda-toolkit-9-0                   	       0        1        0        0        1
18416 cuda-toolkit-config-common         	       0        9        0        0        9
18417 cuda-tools-10-0                    	       0        1        0        0        1
18418 cuda-tools-11-7                    	       0        1        0        0        1
18419 cuda-tools-12-4                    	       0        2        0        0        2
18420 cuda-tools-12-6                    	       0        4        0        0        4
18421 cuda-tools-12-8                    	       0        2        0        0        2
18422 cuda-visual-tools-10-0             	       0        1        1        0        0
18423 cuda-visual-tools-11-7             	       0        1        0        0        1
18424 cuda-visual-tools-12-4             	       0        2        0        0        2
18425 cuda-visual-tools-12-6             	       0        4        0        0        4
18426 cuda-visual-tools-12-8             	       0        2        0        0        2
18427 cuda-visual-tools-9-0              	       0        1        0        0        1
18428 cudatext                           	       0        2        2        0        0
18429 cudf-tools                         	       0        2        2        0        0
18430 cudnn                              	       0        1        0        0        1
18431 cudnn-local-repo-debian11-8.7.0.84 	       0        1        0        0        1
18432 cudnn-local-repo-debian12-9.8.0    	       0        1        0        0        1
18433 cudnn9                             	       0        2        0        0        2
18434 cudnn9-cuda-12                     	       0        2        0        0        2
18435 cudnn9-cuda-12-4                   	       0        1        0        0        1
18436 cudnn9-cuda-12-8                   	       0        1        0        0        1
18437 cue2toc                            	       0       17       17        0        0
18438 cultivation                        	       0        9        9        0        0
18439 cuneiform-common                   	       0       20        0        0       20
18440 cup                                	       0       12       12        0        0
18441 cups-common                        	       0     3040        0        0     3040
18442 cups-pdf                           	       0        9        0        0        9
18443 cups-pk-helper                     	       0     2607        0        0     2607
18444 cups-server-common                 	       0     2836        0        0     2836
18445 cups-x2go                          	       0        5        5        0        0
18446 cupsddk                            	       0        3        0        0        3
18447 cupswrapperdcp7055                 	       0        1        0        0        1
18448 cupswrapperdcp7065dn               	       0        2        0        0        2
18449 cupswrapperdcp8085dn               	       0        1        0        0        1
18450 cupswrapperhl2140                  	       0        2        0        0        2
18451 cupswrapperhl2240                  	       0        1        0        0        1
18452 cupswrapperhl2270dw                	       0        3        0        0        3
18453 cupswrapperhl6050d                 	       0        1        0        0        1
18454 cupswrappermfc210c                 	       0        1        0        0        1
18455 cupswrappermfc5840cn               	       0        1        0        0        1
18456 cupswrappermfc7320                 	       0        1        0        0        1
18457 cupswrappermfc7360n                	       0        1        0        0        1
18458 cupswrappermfc7820n                	       0        1        0        0        1
18459 cupswrappermfc7860dw               	       0        4        0        0        4
18460 cupsys                             	       0        1        0        0        1
18461 cupsys-bsd                         	       0        1        0        0        1
18462 cupsys-client                      	       0        1        0        0        1
18463 cupsys-common                      	       0        1        0        0        1
18464 curator                            	       0        1        1        0        0
18465 curlew                             	       0        1        1        0        0
18466 curry-frontend                     	       0        2        2        0        0
18467 curseofwar-common                  	       0       23        0        0       23
18468 curtain                            	       0        2        2        0        0
18469 curvevpn                           	       0        1        1        0        0
18470 curvevpn-server-run                	       0        1        0        0        1
18471 cutemaze                           	       0        6        6        0        0
18472 cutils                             	       0       10       10        0        0
18473 cutmp3                             	       0        4        4        0        0
18474 cutycapt                           	       0        7        6        1        0
18475 cuyo                               	       0       18       18        0        0
18476 cuyo-data                          	       0       18        0        0       18
18477 cvc4                               	       0        2        2        0        0
18478 cvc5                               	       0        1        1        0        0
18479 cvm                                	       0        2        2        0        0
18480 cvs-autoreleasedeb                 	       0        1        1        0        0
18481 cvs-build-deps                     	       0        1        0        0        1
18482 cvs-buildpackage                   	       0        5        5        0        0
18483 cvs-dbgsym                         	       0        1        1        0        0
18484 cvs-fast-export                    	       0        3        3        0        0
18485 cvs-mailcommit                     	       0        1        1        0        0
18486 cvs2cl                             	       0        2        2        0        0
18487 cvsbook                            	       0        1        0        0        1
18488 cvschangelogbuilder                	       0        1        1        0        0
18489 cvsconnect                         	       0        1        1        0        0
18490 cvsnt                              	       0        1        1        0        0
18491 cvssuck                            	       0        1        1        0        0
18492 cvsutils                           	       0        3        3        0        0
18493 cw                                 	       0       13       13        0        0
18494 cwebx                              	       0        4        4        0        0
18495 cwidget-build-deps-depends         	       0        1        0        0        1
18496 cwl-upgrader                       	       0        3        3        0        0
18497 cwl-utils                          	       0        1        1        0        0
18498 cwltool                            	       0        3        3        0        0
18499 cxref                              	       0        8        8        0        0
18500 cxref-doc                          	       0        4        0        0        4
18501 cxxtest                            	       0        3        3        0        0
18502 cya-2pir                           	       0        1        1        0        0
18503 cyanrip                            	       0        1        1        0        0
18504 cycfx2prog                         	       0        1        1        0        0
18505 cyclades-serial-client             	       0        1        1        0        0
18506 cyclist                            	       0        4        4        0        0
18507 cyme                               	       0        1        1        0        0
18508 cypher-lint                        	       0        1        1        0        0
18509 cypher-shell                       	       0        2        1        1        0
18510 cyphesis-cpp-clients               	       0        1        1        0        0
18511 cyphesis-cpp-mason                 	       0        1        0        0        1
18512 cyrus-clients                      	       0        4        4        0        0
18513 cyrus-doc                          	       0        3        0        0        3
18514 cyrus-murder                       	       0        2        2        0        0
18515 cyrus-replication                  	       0        1        1        0        0
18516 cyrus-sasl2-doc                    	       0        5        0        0        5
18517 cysignals-tools                    	       0       14       14        0        0
18518 cytadela-data                      	       0        1        0        0        1
18519 cython-doc                         	       0        3        0        0        3
18520 cython3-dbg                        	       0        1        1        0        0
18521 d-feet                             	       0       12       12        0        0
18522 d-itg                              	       0        1        1        0        0
18523 d-rats                             	       0        1        1        0        0
18524 d-shlibs                           	       0        6        6        0        0
18525 d-spy                              	       0        1        1        0        0
18526 d1h                                	       0        2        2        0        0
18527 d1x-rebirth                        	       0        4        4        0        0
18528 d2x-rebirth                        	       0        6        6        0        0
18529 d2x-rebirth-data                   	       0        2        0        0        2
18530 d3-dsv-tools                       	       0        1        1        0        0
18531 d52                                	       0        2        2        0        0
18532 dablin                             	       0        2        2        0        0
18533 dadadodo                           	       0        5        5        0        0
18534 daemonize                          	       0       24       24        0        0
18535 daemontools-run                    	       0        9        8        1        0
18536 dahdi                              	       0        2        2        0        0
18537 dahdi-dkms                         	       0        2        2        0        0
18538 dahdi-firmware-nonfree             	       0        2        2        0        0
18539 dahdi-linux                        	       0        2        2        0        0
18540 dahdi-source                       	       0        1        1        0        0
18541 daisy-player                       	       0        2        2        0        0
18542 dalvik-exchange                    	       0        9        9        0        0
18543 dangen                             	       0        1        1        0        0
18544 dangerzone                         	       0        1        1        0        0
18545 daphne                             	       0        1        1        0        0
18546 daps                               	       0        1        1        0        0
18547 dar-docs                           	       0        9        0        0        9
18548 darcs                              	       0       33       33        0        0
18549 darcs-server                       	       0        1        1        0        0
18550 darcula                            	       0       22        0        0       22
18551 dares-qt                           	       0        1        1        0        0
18552 dark-oberon                        	       0        1        1        0        0
18553 darkblood-gtk-theme                	       0       27        0        0       27
18554 darkcold-gtk-theme                 	       0       33        0        0       33
18555 darkfire-gtk-theme                 	       0       28        0        0       28
18556 darkice                            	       0        8        8        0        0
18557 darkmint-gtk-theme                 	       0       34        0        0       34
18558 darknet                            	       0        1        1        0        0
18559 darkplaces-server                  	       0        2        2        0        0
18560 darkpurpy-icon-theme               	       0      115        0        0      115
18561 darkradiant                        	       0        1        1        0        0
18562 darkradiant-plugins-darkmod        	       0        1        0        0        1
18563 darkslide                          	       0        3        3        0        0
18564 darksnow                           	       0        2        2        0        0
18565 darktable-tools-basecurve          	       0        1        1        0        0
18566 darktable-tools-noise              	       0        1        1        0        0
18567 darnwdl                            	       0        1        1        0        0
18568 dart                               	       0        1        1        0        0
18569 dart-doc                           	       0        1        0        0        1
18570 dash-el                            	       0        1        0        0        1
18571 dasher-data                        	       0       12        0        0       12
18572 dasm                               	       0        2        2        0        0
18573 datalad                            	       0        3        2        1        0
18574 datalad-container                  	       0        1        1        0        0
18575 datamash                           	       0        7        7        0        0
18576 datapacker                         	       0        1        1        0        0
18577 datovka                            	       0        1        1        0        0
18578 dattobd                            	       0        2        2        0        0
18579 dav-text                           	       0        1        1        0        0
18580 dav1d                              	       0        9        9        0        0
18581 davegnukem                         	       0        1        1        0        0
18582 davegnukem-data                    	       0        1        0        0        1
18583 davegnukem-datasrc                 	       0        1        0        0        1
18584 davegnukem-dbgsym                  	       0        1        1        0        0
18585 davical                            	       0        2        2        0        0
18586 davix                              	       0        1        1        0        0
18587 davix-doc                          	       0        1        0        0        1
18588 davs2                              	       0        1        1        0        0
18589 dawg                               	       0        1        1        0        0
18590 dawgdic-tools                      	       0        1        1        0        0
18591 daytasks                           	       0        1        1        0        0
18592 db-upgrade-util                    	       0        3        0        0        3
18593 db4.4-util                         	       0        1        1        0        0
18594 db4.6-util                         	       0        2        2        0        0
18595 db4.7-util                         	       0        3        3        0        0
18596 db4.8-util                         	       0        5        5        0        0
18597 db4otool                           	       0        2        2        0        0
18598 db5.1-sql-util                     	       0        1        1        0        0
18599 db5.1-util                         	       0       36       36        0        0
18600 db5.3-doc                          	       0        7        0        0        7
18601 db5.3-sql-util                     	       0        4        4        0        0
18602 dballe                             	       0        1        1        0        0
18603 dballe-common                      	       0        1        0        0        1
18604 dbconfig-mysql                     	       0       83        0        0       83
18605 dbconfig-no-thanks                 	       0        4        0        0        4
18606 dbconfig-pgsql                     	       0        7        0        0        7
18607 dbconfig-sqlite                    	       0        1        0        0        1
18608 dbconfig-sqlite3                   	       0       12        0        0       12
18609 dbench                             	       0        5        5        0        0
18610 dbengine                           	       0        1        1        0        0
18611 dbgate                             	       0        3        0        0        3
18612 dbishell                           	       0        1        1        0        0
18613 dblatex-doc                        	       0       68        0        0       68
18614 dbs                                	       0        2        2        0        0
18615 dbschema                           	       0        1        1        0        0
18616 dbtoepub                           	       0        7        7        0        0
18617 dbus-1-doc                         	       0        8        0        0        8
18618 dbus-build-deps                    	       0        1        0        0        1
18619 dbus-cpp-dev-examples              	       0        1        0        0        1
18620 dbus-cxx-dev                       	       0        1        1        0        0
18621 dbus-cxx2                          	       0        1        0        0        1
18622 dbus-cxx2-dbgsym                   	       0        1        1        0        0
18623 dbus-dbgsym                        	       0        1        1        0        0
18624 dbus-fake                          	       0        1        0        0        1
18625 dbus-session-bus-common            	       0     3013        0        0     3013
18626 dbus-system-bus-common             	       0     3011        2        0     3009
18627 dbus-test-runner                   	       0        1        1        0        0
18628 dbus-tests                         	       0        3        2        1        0
18629 dbus-x11-dbgsym                    	       0        2        2        0        0
18630 dcism                              	       0        2        2        0        0
18631 dcism-osc                          	       0        2        1        0        1
18632 dcm2niix                           	       0        5        5        0        0
18633 dcmtk                              	       0       26       24        2        0
18634 dcmtk-data                         	       0       13        0        0       13
18635 dcmtk-doc                          	       0        3        0        0        3
18636 dcmtk-www                          	       0        1        1        0        0
18637 dconf-build-deps                   	       0        1        0        0        1
18638 dconf-gsettings-backend-dbgsym     	       0        1        1        0        0
18639 dconf-service-dbgsym               	       0        1        1        0        0
18640 dconf-tools                        	       0       11        0        0       11
18641 dcoprss-trinity                    	       0       25       23        2        0
18642 dcp135ccupswrapper                 	       0        1        0        0        1
18643 dcp135clpr                         	       0        1        1        0        0
18644 dcp150ccupswrapper                 	       0        1        0        0        1
18645 dcp150clpr                         	       0        1        1        0        0
18646 dcp1510cupswrapper                 	       0        1        0        0        1
18647 dcp1510lpr                         	       0        1        0        0        1
18648 dcp165ccupswrapper                 	       0        1        0        0        1
18649 dcp165clpr                         	       0        1        1        0        0
18650 dcp7055lpr                         	       0        1        0        0        1
18651 dcp7065dnlpr                       	       0        2        0        0        2
18652 dcp8085dnlpr                       	       0        1        1        0        0
18653 dcp9015cdwcupswrapper              	       0        1        0        0        1
18654 dcp9015cdwlpr                      	       0        1        1        0        0
18655 dcp9020cdwcupswrapper              	       0        1        0        0        1
18656 dcp9020cdwlpr                      	       0        1        1        0        0
18657 dcp9042cdncupswrapper              	       0        1        0        0        1
18658 dcp9042cdnlpr                      	       0        1        0        1        0
18659 dcpj1200wpdrv                      	       0        1        0        0        1
18660 dcpj125cupswrapper                 	       0        2        0        0        2
18661 dcpj125lpr                         	       0        2        2        0        0
18662 dcpj4120dwcupswrapper              	       0        1        0        0        1
18663 dcpj562dwcupswrapper               	       0        1        0        0        1
18664 dcpj562dwlpr                       	       0        1        1        0        0
18665 dcpj715wcupswrapper                	       0        2        0        0        2
18666 dcpj715wlpr                        	       0        2        2        0        0
18667 dcpj925dwcupswrapper               	       0        1        0        0        1
18668 dcpl2510dpdrv                      	       0        2        0        0        2
18669 dcpl2520dwcupswrapper              	       0        1        0        0        1
18670 dcpl2520dwlpr                      	       0        1        0        0        1
18671 dcpl2530dwpdrv                     	       0        2        0        0        2
18672 dcpl2540dwcupswrapper              	       0        2        0        0        2
18673 dcpl2540dwlpr                      	       0        2        0        0        2
18674 dcpl2550dnpdrv                     	       0        1        0        0        1
18675 dcpl2550dwpdrv                     	       0        1        0        0        1
18676 dcpl3560cdwpdrv                    	       0        1        0        0        1
18677 dcpl5500dncupswrapper              	       0        2        0        0        2
18678 dcpl5500dnlpr                      	       0        2        0        0        2
18679 dcpt300cupswrapper                 	       0        1        0        0        1
18680 dcpt300lpr                         	       0        1        1        0        0
18681 dcpt510wpdrv                       	       0        1        1        0        0
18682 ddcci-dkms                         	       0        3        3        0        0
18683 ddccontrol-db                      	       0       19        0        0       19
18684 ddcxinfo-kanotix                   	       0        1        1        0        0
18685 ddd-doc                            	       0       10        0        0       10
18686 dde-account-faces                  	       0        1        1        0        0
18687 dde-calendar                       	       0        2        2        0        0
18688 dde-store                          	       0        1        1        0        0
18689 ddgr                               	       0       16       16        0        0
18690 ddnet                              	       0        1        1        0        0
18691 ddnet-data                         	       0        2        0        0        2
18692 ddrescue                           	       0        2        2        0        0
18693 ddrutility-dbgsym                  	       0        1        1        0        0
18694 dds2tar                            	       0        4        4        0        0
18695 ddskk                              	       0        1        0        1        0
18696 deadbeef                           	       0        1        1        0        0
18697 deadbeef-static                    	       0       13       11        1        1
18698 deal                               	       0        9        9        0        0
18699 dealer                             	       0        9        9        0        0
18700 deb-gview                          	       0        4        4        0        0
18701 deb-installer                      	       0        1        1        0        0
18702 deb-multimedia-keyring             	       0      120        0        0      120
18703 deb-packager                       	       0        1        1        0        0
18704 deb.torproject.org-keyring         	       0       15        0        0       15
18705 debaux-debconf                     	       0        1        1        0        0
18706 debcargo                           	       0        2        1        1        0
18707 debconf-doc                        	       0       32        0        0       32
18708 debconf-english                    	       0        2        0        0        2
18709 debconf-i18n                       	       0     4132        5        0     4127
18710 debconf-kde-data                   	       0      550        0        0      550
18711 debcraft                           	       0        3        2        1        0
18712 debdate                            	       0        1        1        0        0
18713 debdelta-doc                       	       0        3        0        0        3
18714 debdry                             	       0        1        1        0        0
18715 debget                             	       0        1        1        0        0
18716 debhelper-build-deps               	       0        1        0        0        1
18717 debian-archive-keyring             	       0     4178        0        0     4178
18718 debian-astro-logo                  	       0        1        0        0        1
18719 debian-backports-keyring           	       0        1        0        0        1
18720 debian-builder                     	       0        6        6        0        0
18721 debian-cd                          	       0        5        0        0        5
18722 debian-edu-archive-keyring         	       0        9        0        0        9
18723 debian-edu-artwork                 	       0       13        0        0       13
18724 debian-edu-artwork-emerald         	       0        5        5        0        0
18725 debian-edu-artwork-softwaves       	       0        3        3        0        0
18726 debian-edu-artwork-spacefun        	       0        6        6        0        0
18727 debian-edu-doc-da                  	       0        1        0        0        1
18728 debian-edu-doc-de                  	       0        3        0        0        3
18729 debian-edu-doc-en                  	       0       14        0        0       14
18730 debian-edu-doc-es                  	       0        1        0        0        1
18731 debian-edu-doc-legacy-en           	       0        9        0        0        9
18732 debian-el                          	       0        9        0        0        9
18733 debian-faq                         	       0     3720        0        0     3720
18734 debian-faq-de                      	       0        6        0        0        6
18735 debian-faq-fr                      	       0        2        0        0        2
18736 debian-faq-nl                      	       0        1        0        0        1
18737 debian-faq-pt                      	       0        1        0        0        1
18738 debian-faq-ru                      	       0        1        0        0        1
18739 debian-handbook                    	       0       41        0        0       41
18740 debian-history                     	       0       11        0        0       11
18741 debian-installer                   	       0        3        0        0        3
18742 debian-installer-10-netboot-amd64  	       0        1        1        0        0
18743 debian-installer-10-netboot-i386   	       0        1        1        0        0
18744 debian-installer-11-netboot-amd64  	       0        2        2        0        0
18745 debian-installer-build-deps        	       0        1        0        0        1
18746 debian-installer-launcher          	       0        2        2        0        0
18747 debian-kernel-handbook             	       0       72        0        0       72
18748 debian-keyring                     	       0      344        0        0      344
18749 debian-mate-default-settings       	       0      540        0        0      540
18750 debian-multimedia-keyring          	       0        6        0        0        6
18751 debian-package-book-de             	       0        1        0        0        1
18752 debian-package-scripts             	       0        1        1        0        0
18753 debian-paketmanagement-buch        	       0        2        0        0        2
18754 debian-podman-config-override      	       0       10        0        0       10
18755 debian-policy                      	       0       53        0        0       53
18756 debian-ports-archive-keyring       	       0       33        0        0       33
18757 debian-pulseaudio-config-override  	       0      120        0        0      120
18758 debian-refcard                     	       0       19        0        0       19
18759 debian-reference                   	       0        7        0        0        7
18760 debian-reference-de                	       0       13        0        0       13
18761 debian-reference-en                	       0       29        0        0       29
18762 debian-reference-es                	       0      278        0        0      278
18763 debian-reference-fr                	       0        8        0        0        8
18764 debian-reference-id                	       0        4        0        0        4
18765 debian-reference-it                	       0      108        0        0      108
18766 debian-reference-ja                	       0        6        0        0        6
18767 debian-reference-pt                	       0        6        0        0        6
18768 debian-reference-pt-br             	       0        1        0        0        1
18769 debian-reference-zh-cn             	       0        6        0        0        6
18770 debian-reference-zh-tw             	       0        6        0        0        6
18771 debiandoc-sgml                     	       0       16       16        0        0
18772 debiandoc-sgml-doc                 	       0        8        0        0        8
18773 debichem-tasks                     	       0       10        0        0       10
18774 debirf                             	       0        1        1        0        0
18775 debmake-doc                        	       0        8        0        0        8
18776 debmake-doc-build-deps             	       0        1        0        0        1
18777 debocker                           	       0        1        1        0        0
18778 debomatic                          	       0        3        3        0        0
18779 debram-data                        	       0        5        0        0        5
18780 debsuryorg-archive-keyring         	       0       15        0        0       15
18781 debugedit-build-deps               	       0        1        0        0        1
18782 debugedit-dbgsym                   	       0        1        1        0        0
18783 debuginfod                         	       0        3        2        1        0
18784 debvm                              	       0        6        6        0        0
18785 deconz                             	       0        1        1        0        0
18786 decopy                             	       0        3        3        0        0
18787 deepin-album                       	       0        2        2        0        0
18788 deepin-calculator                  	       0        5        5        0        0
18789 deepin-deb-installer               	       0        3        3        0        0
18790 deepin-elf-verify                  	       0        1        0        0        1
18791 deepin-gettext-tools               	       0        4        4        0        0
18792 deepin-icon-theme                  	       0       22        0        0       22
18793 deepin-image-viewer                	       0        3        3        0        0
18794 deepin-menu                        	       0        3        3        0        0
18795 deepin-movie                       	       0        5        5        0        0
18796 deepin-music                       	       0        4        4        0        0
18797 deepin-picker                      	       0        2        2        0        0
18798 deepin-screen-recorder             	       0        4        4        0        0
18799 deepin-screenshot                  	       0        6        6        0        0
18800 deepin-shortcut-viewer             	       0        7        7        0        0
18801 deepin-sound-theme                 	       0        3        0        0        3
18802 deepin-terminal                    	       0        5        5        0        0
18803 deepin-voice-recorder              	       0        3        3        0        0
18804 deepsea-icon-theme                 	       0     2752        0        0     2752
18805 deezer-desktop                     	       0        2        0        0        2
18806 default-d-compiler                 	       0       10        0        0       10
18807 default-java-plugin                	       0       11        0        0       11
18808 default-jdk                        	       0      189        0        0      189
18809 default-jdk-doc                    	       0       41        0        0       41
18810 default-jdk-headless               	       0      228        0        0      228
18811 default-jre                        	       0     1377        0        0     1377
18812 default-jre-headless               	       0     1471        0        0     1471
18813 default-libmysqlclient-dev         	       0      101        0        0      101
18814 default-libmysqld-dev              	       0        4        0        0        4
18815 default-mysql-client               	       0       86        0        0       86
18816 default-mysql-client-core          	       0      486        0        0      486
18817 default-mysql-server               	       0       74        0        0       74
18818 default-mysql-server-core          	       0      487        0        0      487
18819 defendguin                         	       0        2        2        0        0
18820 defendguin-data                    	       0        2        0        0        2
18821 defoma                             	       0        3        3        0        0
18822 defoma-doc                         	       0        2        0        0        2
18823 defoma-ersatz                      	       0        1        0        0        1
18824 defrag                             	       0        1        1        0        0
18825 deheader                           	       0        7        7        0        0
18826 dehydrated-apache2                 	       0        1        0        0        1
18827 dehydrated-hook-ddns-tsig          	       0        1        0        0        1
18828 deken                              	       0        3        3        0        0
18829 delta                              	       0        2        2        0        0
18830 deltachat-desktop                  	       0        4        3        0        1
18831 deltarpm                           	       0        1        1        0        0
18832 deluge-torrent                     	       0        2        0        0        2
18833 delve                              	       0        2        1        1        0
18834 denemo                             	       0       16       15        1        0
18835 denemo-data                        	       0       18       17        1        0
18836 denemo-doc                         	       0       18        0        0       18
18837 depqbf                             	       0        3        3        0        0
18838 depthcharge-tools                  	       0        1        1        0        0
18839 derivations                        	       0        7        0        0        7
18840 designer-qt6-plugins               	       0        2        0        0        2
18841 desklaunch                         	       0        2        2        0        0
18842 deskmenu                           	       0        4        4        0        0
18843 desktop-autoloader                 	       0        1        1        0        0
18844 desktop-base                       	       0     2948        0        0     2948
18845 desktop-base-trinity               	       0       25        0        0       25
18846 desktop-effects-tde-trinity        	       0        1        1        0        0
18847 desktopfolder                      	       0        2        2        0        0
18848 desktopnova                        	       0        1        1        0        0
18849 desktopnova-module-gnome           	       0        1        1        0        0
18850 desktopnova-tray                   	       0        1        1        0        0
18851 desmume                            	       0       11       11        0        0
18852 detachtty                          	       0        3        3        0        0
18853 detectiteasy                       	       0        1        1        0        0
18854 deutex                             	       0        8        8        0        0
18855 deutex-dbgsym                      	       0        1        1        0        0
18856 dev-kinsta                         	       0        1        1        0        0
18857 devede                             	       0       14       14        0        0
18858 developers-reference               	       0       20       17        2        1
18859 developers-reference-de            	       0        3        0        0        3
18860 developers-reference-fr            	       0        6        0        0        6
18861 developers-reference-ru            	       0        1        0        0        1
18862 devhelp                            	       0       58       57        1        0
18863 devhelp-common                     	       0       63        0        0       63
18864 device3dfx-source                  	       0        1        0        0        1
18865 devilspie                          	       0        7        7        0        0
18866 devilutionx                        	       0        2        2        0        0
18867 devio                              	       0       11       11        0        0
18868 devkitpro-pacman                   	       0        1        1        0        0
18869 devrplay3                          	       0        2        0        0        2
18870 devscripts-build-deps              	       0        1        0        0        1
18871 devscripts-devuan                  	       0       12       11        1        0
18872 devscripts-el                      	       0        1        0        0        1
18873 devtodo                            	       0        4        4        0        0
18874 devuan-apt-mirror-config-override  	       0        2        0        0        2
18875 devuan-baseconf                    	       0      674        0        0      674
18876 devuan-cups-filters-config-override	       0       13        0        0       13
18877 devuan-indices                     	       0        1        0        0        1
18878 devuan-keyring                     	       0     4167        0        0     4167
18879 devuan-speech-dispatcher-config-override	       0        2        0        0        2
18880 devuan-xdm-config-override         	       0        3        0        0        3
18881 dexdump                            	       0       24       24        0        0
18882 dexed                              	       0        1        0        0        1
18883 dexed-lv2                          	       0        2        2        0        0
18884 dexed-vst                          	       0        1        1        0        0
18885 dextractor                         	       0        1        1        0        0
18886 dfc                                	       0       14       13        1        0
18887 dfcgen-gtk                         	       0        5        5        0        0
18888 dfrs                               	       0        4        4        0        0
18889 dfu-programmer                     	       0       17       17        0        0
18890 dgedit                             	       0        1        1        0        0
18891 dgen                               	       0        3        3        0        0
18892 dgit                               	       0        8        5        3        0
18893 dh-acc                             	       0        2        2        0        0
18894 dh-ada-library                     	       0        2        2        0        0
18895 dh-buildinfo                       	       0       14       14        0        0
18896 dh-cargo                           	       0        2        1        1        0
18897 dh-cmake                           	       0        5        5        0        0
18898 dh-cruft                           	       0        2        2        0        0
18899 dh-debputy                         	       0        1        0        1        0
18900 dh-dlang                           	       0        1        0        0        1
18901 dh-dlopenlibdeps                   	       0        1        1        0        0
18902 dh-elpa                            	       0        3        3        0        0
18903 dh-kpatches                        	       0        3        3        0        0
18904 dh-linktree                        	       0        2        2        0        0
18905 dh-lisp                            	       0        3        3        0        0
18906 dh-lua                             	       0        3        3        0        0
18907 dh-make-php                        	       0        1        1        0        0
18908 dh-make-raku                       	       0        1        1        0        0
18909 dh-nodejs                          	       0        1        1        0        0
18910 dh-nss                             	       0        2        2        0        0
18911 dh-ocaml                           	       0        7        7        0        0
18912 dh-octave                          	       0        2        1        1        0
18913 dh-octave-autopkgtest              	       0        2        2        0        0
18914 dh-package-notes                   	       0        2        0        0        2
18915 dh-perl6                           	       0        2        2        0        0
18916 dh-php5                            	       0        4        4        0        0
18917 dh-raku                            	       0        1        1        0        0
18918 dh-runit                           	       0       12       12        0        0
18919 dh-shell-completions               	       0        2        2        0        0
18920 dh-systemd                         	       0       10        0        0       10
18921 dh-sysuser                         	       0        3        3        0        0
18922 dh-vim-addon                       	       0        1        0        1        0
18923 dhav2mkv                           	       0        1        1        0        0
18924 dhcp-client                        	       0        1        0        0        1
18925 dhcp-helper                        	       0        3        3        0        0
18926 dhcp-probe                         	       0        6        6        0        0
18927 dhcp3-client                       	       0        8        0        0        8
18928 dhcp3-common                       	       0        7        0        0        7
18929 dhcp3-server                       	       0        3        0        0        3
18930 dhcpcd                             	       0       37       34        3        0
18931 dhcpcd-dbus                        	       0        3        0        0        3
18932 dhewm3                             	       0        3        3        0        0
18933 dhewm3-d3xp                        	       0        2        0        0        2
18934 dhewm3-doom3                       	       0        3        0        0        3
18935 dhis-client                        	       0        1        1        0        0
18936 dhis-dns-engine                    	       0        1        1        0        0
18937 dhis-server                        	       0        1        1        0        0
18938 dhis-tools-dns                     	       0        1        1        0        0
18939 dhis-tools-genkeys                 	       0        2        2        0        0
18940 di-netboot-assistant               	       0        1        1        0        0
18941 dia-common                         	       0      171        0        0      171
18942 dia-gnome                          	       0        5        0        0        5
18943 dia-libs                           	       0        7        0        0        7
18944 dia-rib-network                    	       0       20        0        0       20
18945 dia-shapes                         	       0      162        0        0      162
18946 diadisvng                          	       0        1        1        0        0
18947 dialign                            	       0        3        3        0        0
18948 dialign-tx                         	       0        4        4        0        0
18949 dialign-tx-data                    	       0        4        0        0        4
18950 diamond-aligner                    	       0        1        1        0        0
18951 dianara                            	       0        1        1        0        0
18952 diatheke                           	       0        5        5        0        0
18953 dibbler-client                     	       0        1        1        0        0
18954 dibbler-doc                        	       0        1        0        0        1
18955 dibbler-server                     	       0        1        1        0        0
18956 dibuja                             	       0        2        2        0        0
18957 diceware                           	       0        9        9        0        0
18958 diceware-doc                       	       0        3        0        0        3
18959 dico                               	       0        2        2        0        0
18960 dico-doc                           	       0        3        0        0        3
18961 dico-module-wordnet                	       0        1        0        0        1
18962 dicom3tools                        	       0        6        6        0        0
18963 dicombrowser                       	       0        1        1        0        0
18964 dicomnifti                         	       0        2        2        0        0
18965 dicompyler                         	       0        1        1        0        0
18966 dicomscope                         	       0       11       11        0        0
18967 dicomscope-doc                     	       0        3        0        0        3
18968 dict-bouvier                       	       0        3        0        0        3
18969 dict-cia-2002                      	       0        1        0        0        1
18970 dict-de-en                         	       0        2        0        0        2
18971 dict-devil                         	       0        8        0        0        8
18972 dict-elements                      	       0        6        0        0        6
18973 dict-foldoc                        	       0       12        1        0       11
18974 dict-freedict-afr-deu              	       0        2        0        0        2
18975 dict-freedict-afr-eng              	       0        2        0        0        2
18976 dict-freedict-ara-eng              	       0        2        0        0        2
18977 dict-freedict-bre-fra              	       0        2        0        0        2
18978 dict-freedict-ces-eng              	       0        2        0        0        2
18979 dict-freedict-ckb-kmr              	       0        2        0        0        2
18980 dict-freedict-cym-eng              	       0        2        0        0        2
18981 dict-freedict-dan-eng              	       0        2        0        0        2
18982 dict-freedict-deu-bul              	       0        1        0        0        1
18983 dict-freedict-deu-ell              	       0        1        0        0        1
18984 dict-freedict-deu-fin              	       0        1        0        0        1
18985 dict-freedict-deu-fra              	       0        4        0        0        4
18986 dict-freedict-deu-ind              	       0        1        0        0        1
18987 dict-freedict-deu-ita              	       0        2        0        0        2
18988 dict-freedict-deu-kur              	       0        2        0        0        2
18989 dict-freedict-deu-nld              	       0        3        0        0        3
18990 dict-freedict-deu-pol              	       0        1        0        0        1
18991 dict-freedict-deu-por              	       0        2        0        0        2
18992 dict-freedict-deu-rus              	       0        4        0        0        4
18993 dict-freedict-deu-spa              	       0        1        0        0        1
18994 dict-freedict-deu-swe              	       0        2        0        0        2
18995 dict-freedict-deu-tur              	       0        2        0        0        2
18996 dict-freedict-ell-bul              	       0        1        0        0        1
18997 dict-freedict-ell-eng              	       0        1        0        0        1
18998 dict-freedict-ell-fin              	       0        1        0        0        1
18999 dict-freedict-ell-fra              	       0        1        0        0        1
19000 dict-freedict-ell-ind              	       0        1        0        0        1
19001 dict-freedict-ell-ita              	       0        1        0        0        1
19002 dict-freedict-ell-jpn              	       0        1        0        0        1
19003 dict-freedict-ell-lat              	       0        1        0        0        1
19004 dict-freedict-ell-lit              	       0        1        0        0        1
19005 dict-freedict-ell-nld              	       0        1        0        0        1
19006 dict-freedict-ell-nor              	       0        1        0        0        1
19007 dict-freedict-ell-pol              	       0        1        0        0        1
19008 dict-freedict-ell-por              	       0        1        0        0        1
19009 dict-freedict-ell-rus              	       0        1        0        0        1
19010 dict-freedict-ell-spa              	       0        1        0        0        1
19011 dict-freedict-ell-swe              	       0        1        0        0        1
19012 dict-freedict-eng-afr              	       0        3        0        0        3
19013 dict-freedict-eng-ara              	       0        3        0        0        3
19014 dict-freedict-eng-bul              	       0        2        0        0        2
19015 dict-freedict-eng-ces              	       0        3        0        0        3
19016 dict-freedict-eng-cym              	       0        3        0        0        3
19017 dict-freedict-eng-dan              	       0        2        0        0        2
19018 dict-freedict-eng-deu              	       0       10        0        0       10
19019 dict-freedict-eng-ell              	       0        3        0        0        3
19020 dict-freedict-eng-fin              	       0        3        0        0        3
19021 dict-freedict-eng-fra              	       0        6        0        0        6
19022 dict-freedict-eng-gle              	       0        4        0        0        4
19023 dict-freedict-eng-hin              	       0        3        0        0        3
19024 dict-freedict-eng-hrv              	       0        3        0        0        3
19025 dict-freedict-eng-hun              	       0        3        0        0        3
19026 dict-freedict-eng-ind              	       0        1        0        0        1
19027 dict-freedict-eng-ita              	       0        7        0        0        7
19028 dict-freedict-eng-jpn              	       0        3        0        0        3
19029 dict-freedict-eng-lat              	       0        4        0        0        4
19030 dict-freedict-eng-lit              	       0        4        0        0        4
19031 dict-freedict-eng-nld              	       0        4        0        0        4
19032 dict-freedict-eng-nor              	       0        2        0        0        2
19033 dict-freedict-eng-pol              	       0        3        0        0        3
19034 dict-freedict-eng-por              	       0        3        0        0        3
19035 dict-freedict-eng-rom              	       0        3        0        0        3
19036 dict-freedict-eng-rus              	       0        9        0        0        9
19037 dict-freedict-eng-spa              	       0        8        0        0        8
19038 dict-freedict-eng-srp              	       0        3        0        0        3
19039 dict-freedict-eng-swe              	       0        5        0        0        5
19040 dict-freedict-eng-swh              	       0        3        0        0        3
19041 dict-freedict-eng-tur              	       0        3        0        0        3
19042 dict-freedict-fin-bul              	       0        1        0        0        1
19043 dict-freedict-fin-deu              	       0        1        0        0        1
19044 dict-freedict-fin-ell              	       0        2        0        0        2
19045 dict-freedict-fin-eng              	       0        2        0        0        2
19046 dict-freedict-fin-fra              	       0        1        0        0        1
19047 dict-freedict-fin-ind              	       0        1        0        0        1
19048 dict-freedict-fin-ita              	       0        1        0        0        1
19049 dict-freedict-fin-jpn              	       0        1        0        0        1
19050 dict-freedict-fin-lat              	       0        1        0        0        1
19051 dict-freedict-fin-lit              	       0        1        0        0        1
19052 dict-freedict-fin-nld              	       0        1        0        0        1
19053 dict-freedict-fin-nor              	       0        1        0        0        1
19054 dict-freedict-fin-pol              	       0        1        0        0        1
19055 dict-freedict-fin-por              	       0        1        0        0        1
19056 dict-freedict-fin-swe              	       0        1        0        0        1
19057 dict-freedict-fra-bre              	       0        2        0        0        2
19058 dict-freedict-fra-bul              	       0        1        0        0        1
19059 dict-freedict-fra-deu              	       0        5        0        0        5
19060 dict-freedict-fra-ell              	       0        2        0        0        2
19061 dict-freedict-fra-fin              	       0        1        0        0        1
19062 dict-freedict-fra-ita              	       0        1        0        0        1
19063 dict-freedict-fra-jpn              	       0        1        0        0        1
19064 dict-freedict-fra-lat              	       0        1        0        0        1
19065 dict-freedict-fra-lit              	       0        1        0        0        1
19066 dict-freedict-fra-nld              	       0        2        0        0        2
19067 dict-freedict-fra-pol              	       0        1        0        0        1
19068 dict-freedict-fra-por              	       0        1        0        0        1
19069 dict-freedict-fra-rus              	       0        3        0        0        3
19070 dict-freedict-fra-spa              	       0        1        0        0        1
19071 dict-freedict-fra-swe              	       0        1        0        0        1
19072 dict-freedict-fra-tur              	       0        1        0        0        1
19073 dict-freedict-gla-deu              	       0        2        0        0        2
19074 dict-freedict-gle-eng              	       0        3        0        0        3
19075 dict-freedict-gle-pol              	       0        2        0        0        2
19076 dict-freedict-hrv-eng              	       0        2        0        0        2
19077 dict-freedict-hun-eng              	       0        2        0        0        2
19078 dict-freedict-isl-eng              	       0        2        0        0        2
19079 dict-freedict-ita-bul              	       0        1        0        0        1
19080 dict-freedict-ita-deu              	       0        2        0        0        2
19081 dict-freedict-ita-ell              	       0        2        0        0        2
19082 dict-freedict-ita-eng              	       0        6        0        0        6
19083 dict-freedict-ita-fin              	       0        1        0        0        1
19084 dict-freedict-ita-ind              	       0        1        0        0        1
19085 dict-freedict-ita-jpn              	       0        1        0        0        1
19086 dict-freedict-ita-lit              	       0        1        0        0        1
19087 dict-freedict-ita-nor              	       0        1        0        0        1
19088 dict-freedict-ita-pol              	       0        1        0        0        1
19089 dict-freedict-ita-por              	       0        1        0        0        1
19090 dict-freedict-ita-rus              	       0        2        0        0        2
19091 dict-freedict-ita-spa              	       0        1        0        0        1
19092 dict-freedict-ita-swe              	       0        1        0        0        1
19093 dict-freedict-ita-tur              	       0        1        0        0        1
19094 dict-freedict-jpn-deu              	       0        2        0        0        2
19095 dict-freedict-jpn-fra              	       0        2        0        0        2
19096 dict-freedict-jpn-rus              	       0        3        0        0        3
19097 dict-freedict-kha-deu              	       0        2        0        0        2
19098 dict-freedict-kha-eng              	       0        2        0        0        2
19099 dict-freedict-kur-deu              	       0        2        0        0        2
19100 dict-freedict-kur-eng              	       0        2        0        0        2
19101 dict-freedict-kur-tur              	       0        2        0        0        2
19102 dict-freedict-lat-deu              	       0        3        0        0        3
19103 dict-freedict-lat-eng              	       0        5        0        0        5
19104 dict-freedict-lit-eng              	       0        3        0        0        3
19105 dict-freedict-mkd-bul              	       0        2        0        0        2
19106 dict-freedict-nld-bul              	       0        1        0        0        1
19107 dict-freedict-nld-deu              	       0        2        0        0        2
19108 dict-freedict-nld-ell              	       0        1        0        0        1
19109 dict-freedict-nld-fin              	       0        1        0        0        1
19110 dict-freedict-nld-fra              	       0        2        0        0        2
19111 dict-freedict-nld-ind              	       0        1        0        0        1
19112 dict-freedict-nld-ita              	       0        1        0        0        1
19113 dict-freedict-nld-lat              	       0        1        0        0        1
19114 dict-freedict-nld-lit              	       0        1        0        0        1
19115 dict-freedict-nld-pol              	       0        1        0        0        1
19116 dict-freedict-nld-por              	       0        1        0        0        1
19117 dict-freedict-nld-rus              	       0        1        0        0        1
19118 dict-freedict-nld-spa              	       0        1        0        0        1
19119 dict-freedict-nld-swe              	       0        1        0        0        1
19120 dict-freedict-nno-nob              	       0        2        0        0        2
19121 dict-freedict-oci-cat              	       0        2        0        0        2
19122 dict-freedict-pol-bul              	       0        1        0        0        1
19123 dict-freedict-pol-deu              	       0        1        0        0        1
19124 dict-freedict-pol-ell              	       0        2        0        0        2
19125 dict-freedict-pol-eng              	       0        1        0        0        1
19126 dict-freedict-pol-fin              	       0        1        0        0        1
19127 dict-freedict-pol-fra              	       0        1        0        0        1
19128 dict-freedict-pol-gle              	       0        2        0        0        2
19129 dict-freedict-pol-ind              	       0        1        0        0        1
19130 dict-freedict-pol-ita              	       0        1        0        0        1
19131 dict-freedict-pol-jpn              	       0        1        0        0        1
19132 dict-freedict-pol-nld              	       0        1        0        0        1
19133 dict-freedict-pol-nor              	       0        1        0        0        1
19134 dict-freedict-pol-por              	       0        1        0        0        1
19135 dict-freedict-pol-rus              	       0        2        0        0        2
19136 dict-freedict-pol-spa              	       0        1        0        0        1
19137 dict-freedict-pol-swe              	       0        1        0        0        1
19138 dict-freedict-pol-tur              	       0        1        0        0        1
19139 dict-freedict-por-deu              	       0        2        0        0        2
19140 dict-freedict-por-fra              	       0        1        0        0        1
19141 dict-freedict-por-spa              	       0        1        0        0        1
19142 dict-freedict-san-deu              	       0        2        0        0        2
19143 dict-freedict-slk-eng              	       0        2        0        0        2
19144 dict-freedict-slv-eng              	       0        1        0        0        1
19145 dict-freedict-spa-ast              	       0        2        0        0        2
19146 dict-freedict-spa-deu              	       0        1        0        0        1
19147 dict-freedict-spa-por              	       0        2        0        0        2
19148 dict-freedict-srp-eng              	       0        2        0        0        2
19149 dict-freedict-swe-bul              	       0        1        0        0        1
19150 dict-freedict-swe-deu              	       0        3        0        0        3
19151 dict-freedict-swe-ell              	       0        2        0        0        2
19152 dict-freedict-swe-eng              	       0        4        0        0        4
19153 dict-freedict-swe-fin              	       0        1        0        0        1
19154 dict-freedict-swe-fra              	       0        1        0        0        1
19155 dict-freedict-swe-ita              	       0        1        0        0        1
19156 dict-freedict-swe-jpn              	       0        1        0        0        1
19157 dict-freedict-swe-lat              	       0        1        0        0        1
19158 dict-freedict-swe-nld              	       0        1        0        0        1
19159 dict-freedict-swe-nor              	       0        1        0        0        1
19160 dict-freedict-swe-pol              	       0        1        0        0        1
19161 dict-freedict-swe-por              	       0        1        0        0        1
19162 dict-freedict-swe-rus              	       0        2        0        0        2
19163 dict-freedict-swe-spa              	       0        1        0        0        1
19164 dict-freedict-swe-tur              	       0        1        0        0        1
19165 dict-freedict-swh-eng              	       0        2        0        0        2
19166 dict-freedict-swh-pol              	       0        2        0        0        2
19167 dict-freedict-tur-deu              	       0        2        0        0        2
19168 dict-freedict-tur-eng              	       0        2        0        0        2
19169 dict-freedict-wol-fra              	       0        1        0        0        1
19170 dict-gazetteer                     	       0        1        0        0        1
19171 dict-gazetteer2k                   	       0        2        0        0        2
19172 dict-gazetteer2k-counties          	       0        2        0        0        2
19173 dict-gazetteer2k-places            	       0        2        0        0        2
19174 dict-gazetteer2k-zips              	       0        2        0        0        2
19175 dict-moby-thesaurus                	       0        7        0        0        7
19176 dictionary-el                      	       0        1        0        0        1
19177 dictionaryreader.app               	       0        2        2        0        0
19178 dieharder                          	       0        9        9        0        0
19179 dietlibc-dev                       	       0        6        6        0        0
19180 dietlibc-doc                       	       0        3        0        0        3
19181 diff                               	       0       15        0        0       15
19182 diff-pdf                           	       0        1        1        0        0
19183 diff-pdf-wx                        	       0        1        1        0        0
19184 difference                         	       0        2        2        0        0
19185 diffoscope                         	       0       22        1        0       21
19186 diffutils-doc                      	       0       28        0        0       28
19187 digikam-data                       	       0       73        0        0       73
19188 digikam-doc                        	       0        1        0        0        1
19189 digikam-trinity                    	       0        3        3        0        0
19190 digilent.adept.runtime             	       0        1        1        0        0
19191 digilent.waveforms                 	       0        1        1        0        0
19192 digimend-dkms                      	       0        5        5        0        0
19193 digitemp                           	       0        7        7        0        0
19194 digup                              	       0        1        1        0        0
19195 dike6                              	       0        1        0        0        1
19196 dillo-build-deps                   	       0        1        0        0        1
19197 dillo-dbgsym                       	       0        1        1        0        0
19198 dimbl                              	       0        2        2        0        0
19199 dime                               	       0        4        4        0        0
19200 din                                	       0        1        1        0        0
19201 din-data                           	       0        1        0        0        1
19202 ding                               	       0       21       21        0        0
19203 dino                               	       0        1        1        0        0
19204 diod                               	       0        2        2        0        0
19205 diogenes                           	       0        1        1        0        0
19206 diploma                            	       0        7        0        0        7
19207 dircproxy                          	       0        2        2        0        0
19208 directx-headers-dev                	       0        5        5        0        0
19209 direwolf-dbgsym                    	       0        1        1        0        0
19210 dirmngr-dbgsym                     	       0        1        1        0        0
19211 dirsearch                          	       0        1        1        0        0
19212 dis51                              	       0        5        4        1        0
19213 disc-cover                         	       0        7        7        0        0
19214 discord-canary                     	       0        2        1        1        0
19215 discord-ptb                        	       0        2        2        0        0
19216 discord-repo                       	       0        1        0        0        1
19217 discount                           	       0        9        9        0        0
19218 discover1                          	       0        1        0        0        1
19219 discover1-data                     	       0        1        1        0        0
19220 dish                               	       0        1        1        0        0
19221 disk-manager                       	       0       14       14        0        0
19222 disksearch                         	       0        1        1        0        0
19223 disorderfs                         	       0        2        2        0        0
19224 disper                             	       0        3        3        0        0
19225 display-dhammapada                 	       0        4        4        0        0
19226 dissenter-browser                  	       0        2        1        0        1
19227 dist                               	       0        2        2        0        0
19228 distcc                             	       0       11       10        1        0
19229 distcc-pump                        	       0        3        3        0        0
19230 distccmon-gnome                    	       0        4        4        0        0
19231 distrho-plugin-ports-lv2           	       0        1        1        0        0
19232 distro-defaults                    	       0        1        0        0        1
19233 distro-info-data                   	       0     3818        0        0     3818
19234 distrobox                          	       0        5        5        0        0
19235 distrobuilder                      	       0        7        4        3        0
19236 distrobuilder-images               	       0        7        0        0        7
19237 disulfinder                        	       0        1        1        0        0
19238 disulfinder-data                   	       0        1        0        0        1
19239 dita-ot                            	       0        1        0        0        1
19240 dita-ot-doc                        	       0        1        0        0        1
19241 ditaa                              	       0       18       17        1        0
19242 dive                               	       0        3        3        0        0
19243 diveintopython                     	       0        1        0        0        1
19244 divfix++                           	       0        1        1        0        0
19245 divi-desktop                       	       0        1        0        0        1
19246 divxenc                            	       0        2        2        0        0
19247 dizzy                              	       0       41       41        0        0
19248 dj64                               	       0        1        0        0        1
19249 dj64-dev                           	       0        1        0        1        0
19250 djbdns-conf                        	       0        1        1        0        0
19251 djbdns-utils                       	       0        4        4        0        0
19252 djdev64                            	       0        1        0        0        1
19253 djdev64-dev                        	       0        1        0        1        0
19254 djmount                            	       0        2        2        0        0
19255 djstub                             	       0        1        1        0        0
19256 djtools                            	       0        8        8        0        0
19257 djview                             	       0       12        0        0       12
19258 djview3                            	       0        2        0        0        2
19259 djvu-imager-qt                     	       0        1        1        0        0
19260 djvu2pdf                           	       0        2        2        0        0
19261 djvubind                           	       0        6        6        0        0
19262 djvufix                            	       0        1        1        0        0
19263 djvulibre-desktop                  	       0       51        0        0       51
19264 djvulibre-plugin                   	       0        3        0        0        3
19265 djvuserve                          	       0        1        1        0        0
19266 dkimpy-milter                      	       0        1        1        0        0
19267 dkms-test-dkms                     	       0        1        0        0        1
19268 dkopp                              	       0        4        4        0        0
19269 dl10n                              	       0        2        2        0        0
19270 dlang-libevent                     	       0        1        0        0        1
19271 dlang-openssl                      	       0        2        0        0        2
19272 dleyna-renderer                    	       0        7        7        0        0
19273 dlint                              	       0       10       10        0        0
19274 dlm-controld                       	       0        1        1        0        0
19275 dlmodelbox                         	       0        1        1        0        0
19276 dlume                              	       0        2        2        0        0
19277 dlz-ldap-enum                      	       0        1        0        0        1
19278 dma                                	       0       14       13        1        0
19279 dmagnetic                          	       0        4        4        0        0
19280 dmake                              	       0        2        2        0        0
19281 dmarc-cat                          	       0        6        6        0        0
19282 dmarcts-report-parser              	       0        2        2        0        0
19283 dmd                                	       0        4        4        0        0
19284 dmg2img                            	       0       39       36        3        0
19285 dmg2img-dbgsym                     	       0        1        1        0        0
19286 dmktools                           	       0        7        7        0        0
19287 dmo-archive-keyring                	       0        2        0        0        2
19288 dmo-archive-keyring-udeb           	       0        1        0        0        1
19289 dmrconfig                          	       0        5        5        0        0
19290 dmtx-utils                         	       0       10       10        0        0
19291 dmucs                              	       0        2        2        0        0
19292 dmz-cursor-theme                   	       0     2968        0        0     2968
19293 dnaclust                           	       0        1        1        0        0
19294 dnet-common                        	       0        1        1        0        0
19295 dnf                                	       0        3        3        0        0
19296 dnf-data                           	       0        3        3        0        0
19297 dnf-doc                            	       0        1        0        0        1
19298 dns-browse                         	       0        4        4        0        0
19299 dns-root-data                      	       0     2948        0        0     2948
19300 dns2tcp                            	       0        2        2        0        0
19301 dns323-firmware-tools              	       0        3        3        0        0
19302 dnscache                           	       0        1        1        0        0
19303 dnscap                             	       0        2        2        0        0
19304 dnsdiag                            	       0        6        6        0        0
19305 dnshistory                         	       0        1        1        0        0
19306 dnsmap                             	       0        1        1        0        0
19307 dnsmasq-utils                      	       0       22       22        0        0
19308 dnsperf                            	       0        4        4        0        0
19309 dnsproxy                           	       0        1        1        0        0
19310 dnspyre                            	       0        1        1        0        0
19311 dnss                               	       0        1        1        0        0
19312 dnsvi                              	       0        2        2        0        0
19313 dnsviz                             	       0        1        1        0        0
19314 dnswalk                            	       0        6        6        0        0
19315 doas                               	       0       62        3        0       59
19316 doc-base-build-deps                	       0        1        0        0        1
19317 doc-central                        	       0        2        2        0        0
19318 doc-debian                         	       0     3724        0        0     3724
19319 doc-debian-es                      	       0        1        0        0        1
19320 doc-debian-fr                      	       0        4        0        0        4
19321 doc-iana                           	       0        1        0        0        1
19322 doc-linux-de                       	       0        3        0        0        3
19323 doc-linux-fr-html                  	       0        1        0        0        1
19324 doc-linux-fr-pdf                   	       0        1        0        0        1
19325 doc-linux-fr-text                  	       0        5        0        0        5
19326 doc-linux-html                     	       0        2        0        0        2
19327 doc-linux-nonfree-html             	       0        2        0        0        2
19328 doc-linux-nonfree-text             	       0        2        0        0        2
19329 doc-linux-text                     	       0       20        0        0       20
19330 doc-rfc                            	       0        6        0        0        6
19331 doc-rfc-experimental               	       0        7        0        0        7
19332 doc-rfc-fyi-bcp                    	       0        7        0        0        7
19333 doc-rfc-informational              	       0        7        0        0        7
19334 doc-rfc-misc                       	       0        8        0        0        8
19335 doc-rfc-old-std                    	       0        7        0        0        7
19336 doc-rfc-others                     	       0        7        0        0        7
19337 doc-rfc-std                        	       0       11        0        0       11
19338 doc-rfc-std-proposed               	       0        8        0        0        8
19339 docbook                            	       0      102        0        0      102
19340 docbook-defguide                   	       0       29        0        0       29
19341 docbook-doc                        	       0        2        0        0        2
19342 docbook-dsssl-doc                  	       0       14        0        0       14
19343 docbook-ebnf                       	       0        2        0        0        2
19344 docbook-html-forms                 	       0        4        0        0        4
19345 docbook-mathml                     	       0        6        0        0        6
19346 docbook-simple                     	       0        3        0        0        3
19347 docbook-slides                     	       0        2        0        0        2
19348 docbook-slides-demo                	       0        1        0        0        1
19349 docbook-website                    	       0        1        0        0        1
19350 docbook-xml                        	       0     2026        0        0     2026
19351 docbook-xsl                        	       0      853        0        0      853
19352 docbook-xsl-doc                    	       0        1        0        0        1
19353 docbook-xsl-doc-html               	       0       19        0        0       19
19354 docbook-xsl-doc-pdf                	       0        7        0        0        7
19355 docbook-xsl-doc-text               	       0        5        0        0        5
19356 docbook-xsl-ns                     	       0       27        0        0       27
19357 docbook-xsl-saxon                  	       0        6        0        0        6
19358 docbook2odf                        	       0        1        1        0        0
19359 docbook2x                          	       0        7        7        0        0
19360 docbook5-xml                       	       0       23        0        0       23
19361 docdiff                            	       0        5        5        0        0
19362 docker                             	       0       63        2        0       61
19363 docker-buildx                      	       0        5        0        0        5
19364 docker-buildx-plugin               	       0       78        0        0       78
19365 docker-ce-rootless-extras          	       0       13       13        0        0
19366 docker-clean                       	       0        1        1        0        0
19367 docker-compose-plugin              	       0       83        0        0       83
19368 docker-ctop                        	       0        1        1        0        0
19369 docker-desktop                     	       0        2        2        0        0
19370 docker-doc                         	       0       16        0        0       16
19371 docker-scan-plugin                 	       0       16        0        0       16
19372 docknot                            	       0        2        2        0        0
19373 doclifter                          	       0        2        2        0        0
19374 doctest                            	       0        1        1        0        0
19375 doctest-dev                        	       0        2        2        0        0
19376 doctorj                            	       0        1        1        0        0
19377 docutils-common                    	       0      464        0        0      464
19378 docutils-doc                       	       0      270        0        0      270
19379 docview                            	       0        2        2        0        0
19380 dodgindiamond2                     	       0        3        3        0        0
19381 dodgy                              	       0        1        1        0        0
19382 dokujclient                        	       0        2        2        0        0
19383 dolfinx-doc                        	       0        1        0        0        1
19384 dolibarr                           	       0        2        2        0        0
19385 dolphin-dev                        	       0        5        0        0        5
19386 dolphin-doc                        	       0       29        0        0       29
19387 dolphin-emu-data                   	       0       15        0        0       15
19388 dolphin-emu-git20151129            	       0        1        1        0        0
19389 dolphin-emulator                   	       0        1        1        0        0
19390 dolphin-megasync                   	       0        2        0        0        2
19391 dolphin-owncloud                   	       0        5        1        0        4
19392 dolphin-trinity                    	       0        1        1        0        0
19393 dolphin4                           	       0       13       13        0        0
19394 donkey-bolonkey                    	       0        1        1        0        0
19395 dooble                             	       0        2        2        0        0
19396 doodle                             	       0        1        1        0        0
19397 doom-alientc                       	       0        1        1        0        0
19398 doom-chretro                       	       0        1        1        0        0
19399 doom-classicep                     	       0        1        1        0        0
19400 doom-e1m4b-wad                     	       0        1        0        0        1
19401 doom-e1m8b-wad                     	       0        1        0        0        1
19402 doom-end2                          	       0        1        1        0        0
19403 doom-episode-hell                  	       0        1        1        0        0
19404 doom-eternity                      	       0        1        1        0        0
19405 doom-inferno                       	       0        1        1        0        0
19406 doom-iwad                          	       0        1        0        0        1
19407 doom-nhflep1                       	       0        1        1        0        0
19408 doom-odyssey-e1                    	       0        1        1        0        0
19409 doom-par                           	       0        1        1        0        0
19410 doom-sigil-wad                     	       0        1        0        0        1
19411 doom-subversion                    	       0        1        1        0        0
19412 doom-wad                           	       0        3        0        0        3
19413 doom-wad-shareware                 	       0       10        0        0       10
19414 doom2-alienvendetta                	       0        1        1        0        0
19415 doom2-eternaldoom                  	       0        1        1        0        0
19416 doom2-masterlevels-wad             	       0        1        0        0        1
19417 doom2-mordethep1                   	       0        1        1        0        0
19418 doom2-twilightzone                 	       0        1        1        0        0
19419 doom2-wad                          	       0        4        0        0        4
19420 doomlegacy-data                    	       0        1        0        0        1
19421 doomlegacy-sdl                     	       0        1        1        0        0
19422 doomsday                           	       0       12       12        0        0
19423 doomsday-common                    	       0       10       10        0        0
19424 doomsday-data                      	       0       10        0        0       10
19425 doomseeker                         	       0        2        2        0        0
19426 doomseeker-zandronum               	       0        2        0        0        2
19427 dopewars                           	       0       12       12        0        0
19428 dopewars-data                      	       0       12        0        0       12
19429 dosage                             	       0        2        2        0        0
19430 dosbox-x                           	       0        4        4        0        0
19431 dosbox-x-data                      	       0        4        0        0        4
19432 dose-builddebcheck                 	       0        3        3        0        0
19433 dose-distcheck                     	       0        5        4        1        0
19434 dose-extra                         	       0        8        7        1        0
19435 dosemu2                            	       0        1        0        1        0
19436 dossizola                          	       0        6        6        0        0
19437 dossizola-data                     	       0        6        0        0        6
19438 dot2tex                            	       0       16       16        0        0
19439 dotdrop                            	       0        1        1        0        0
19440 dotmcp                             	       0        1        1        0        0
19441 dotnet-apphost-pack-3.1            	       0        2        2        0        0
19442 dotnet-apphost-pack-5.0            	       0        3        3        0        0
19443 dotnet-apphost-pack-6.0            	       0       10        9        1        0
19444 dotnet-apphost-pack-7.0            	       0        9        9        0        0
19445 dotnet-apphost-pack-8.0            	       0       14       12        2        0
19446 dotnet-apphost-pack-9.0            	       0        2        2        0        0
19447 dotnet-hostfxr-2.1                 	       0        1        0        0        1
19448 dotnet-hostfxr-2.2                 	       0        1        0        0        1
19449 dotnet-hostfxr-3.1                 	       0        2        0        0        2
19450 dotnet-hostfxr-5.0                 	       0        4        0        0        4
19451 dotnet-hostfxr-6.0                 	       0       12        0        0       12
19452 dotnet-hostfxr-7.0                 	       0       12        1        0       11
19453 dotnet-hostfxr-8.0                 	       0       15        0        1       14
19454 dotnet-hostfxr-9.0                 	       0        3        0        0        3
19455 dotnet-runtime-2.1                 	       0        1        1        0        0
19456 dotnet-runtime-2.2                 	       0        1        1        0        0
19457 dotnet-runtime-3.1                 	       0        1        1        0        0
19458 dotnet-runtime-5.0                 	       0        4        4        0        0
19459 dotnet-runtime-6.0                 	       0       12        0        0       12
19460 dotnet-runtime-7.0                 	       0       12        1        0       11
19461 dotnet-runtime-8.0                 	       0       15        0        1       14
19462 dotnet-runtime-9.0                 	       0        3        0        0        3
19463 dotnet-runtime-deps-2.1            	       0        1        0        0        1
19464 dotnet-runtime-deps-2.2            	       0        1        0        0        1
19465 dotnet-runtime-deps-3.1            	       0        2        0        0        2
19466 dotnet-runtime-deps-5.0            	       0        4        0        0        4
19467 dotnet-runtime-deps-6.0            	       0       12        0        0       12
19468 dotnet-runtime-deps-7.0            	       0       12        0        0       12
19469 dotnet-runtime-deps-8.0            	       0       15        0        0       15
19470 dotnet-runtime-deps-9.0            	       0        3        0        0        3
19471 dotnet-sdk-2.1                     	       0        1        1        0        0
19472 dotnet-sdk-2.2                     	       0        1        1        0        0
19473 dotnet-sdk-3.1                     	       0        1        1        0        0
19474 dotnet-sdk-5.0                     	       0        3        3        0        0
19475 dotnet-sdk-6.0                     	       0       10        9        1        0
19476 dotnet-sdk-7.0                     	       0        9        9        0        0
19477 dotnet-sdk-8.0                     	       0       14       12        2        0
19478 dotnet-sdk-9.0                     	       0        2        2        0        0
19479 dotnet-targeting-pack-3.1          	       0        2        0        0        2
19480 dotnet-targeting-pack-5.0          	       0        3        0        0        3
19481 dotnet-targeting-pack-6.0          	       0       10        0        0       10
19482 dotnet-targeting-pack-7.0          	       0        9        0        0        9
19483 dotnet-targeting-pack-8.0          	       0       14        0        0       14
19484 dotnet-targeting-pack-9.0          	       0        2        0        0        2
19485 dotter                             	       0        2        2        0        0
19486 doublecmd-help-en                  	       0       15        0        0       15
19487 doublecmd-help-uk                  	       0        2        0        0        2
19488 dovecot-common                     	       0        1        0        0        1
19489 dovecot-dev                        	       0        4        4        0        0
19490 dovecot-submissiond                	       0        9        9        0        0
19491 doxygen-awesome-css                	       0        4        0        0        4
19492 doxygen-doc                        	       0       20        0        0       20
19493 doxygen-doxyparse                  	       0        8        8        0        0
19494 doxygen-latex                      	       0       26        0        0       26
19495 doxygen2man                        	       0        5        5        0        0
19496 doxymacs                           	       0        1        1        0        0
19497 dpak                               	       0        1        1        0        0
19498 dpaste                             	       0        2        2        0        0
19499 dpdk-doc                           	       0        1        1        0        0
19500 dpf-plugins                        	       0        3        2        0        1
19501 dpf-plugins-common                 	       0        4        4        0        0
19502 dpf-plugins-dssi                   	       0        2        1        0        1
19503 dpf-plugins-vst                    	       0        2        1        0        1
19504 dphys-swapfile                     	       0        1        1        0        0
19505 dpic                               	       0        1        1        0        0
19506 dpitunnelcli                       	       0        1        1        0        0
19507 dpkg-awk                           	       0        9        9        0        0
19508 dpkg-dbgsym                        	       0        1        1        0        0
19509 dpkg-dev-el                        	       0        2        0        0        2
19510 dpkg-doc                           	       0        1        0        0        1
19511 dpkg-source-gitarchive             	       0        1        1        0        0
19512 dpkg-www                           	       0        6        6        0        0
19513 dpt-i2o-raidutils                  	       0        1        1        0        0
19514 dpuser                             	       0        2        2        0        0
19515 dpuser-doc                         	       0        1        0        0        1
19516 dq                                 	       0        9        9        0        0
19517 dqcache-run                        	       0        2        0        0        2
19518 draai                              	       0        1        1        0        0
19519 draco                              	       0        2        2        0        0
19520 dracula-gtk                        	       0        1        0        0        1
19521 dracut                             	       0        3        0        0        3
19522 dracut-core                        	       0       10        9        1        0
19523 dradio                             	       0        1        1        0        0
19524 draftsight                         	       0        1        1        0        0
19525 dragon-drag-and-drop               	       0        2        2        0        0
19526 dragonfly-reverb                   	       0        1        0        0        1
19527 dragonfly-reverb-standalone        	       0        1        1        0        0
19528 dragonfly-reverb-vst               	       0        1        1        0        0
19529 drascula                           	       0       18       18        0        0
19530 drascula-french                    	       0        2        0        0        2
19531 drascula-german                    	       0        4        0        0        4
19532 drascula-italian                   	       0        1        0        0        1
19533 drascula-music                     	       0       20        0        0       20
19534 drascula-spanish                   	       0        1        0        0        1
19535 draw.io                            	       0       24        0        0       24
19536 drawterm                           	       0        1        1        0        0
19537 drawtiming                         	       0        4        4        0        0
19538 drbd-dkms                          	       0        1        1        0        0
19539 drbd-doc                           	       0        1        0        0        1
19540 drbd-ueficert                      	       0        1        0        0        1
19541 drbd-utils                         	       0       10       10        0        0
19542 drbd0.7-module-source              	       0        1        0        0        1
19543 drbl                               	       0       31       30        1        0
19544 drc                                	       0        2        2        0        0
19545 drcontrol                          	       0        1        0        0        1
19546 drdteam-libfluidsynth3             	       0        2        0        0        2
19547 dreamchess                         	       0       14       14        0        0
19548 dreamchess-data                    	       0       14        0        0       14
19549 drgeo-doc                          	       0        2        0        0        2
19550 driconf                            	       0        2        2        0        0
19551 driftnet                           	       0        4        4        0        0
19552 drill-search-gtk                   	       0        1        1        0        0
19553 drmodelica                         	       0        1        0        0        1
19554 drmr                               	       0        2        2        0        0
19555 droidbattles                       	       0        1        1        0        0
19556 droidcam-client                    	       0        1        1        0        0
19557 drool                              	       0        1        1        0        0
19558 droopy                             	       0        1        1        0        0
19559 dropbear                           	       0        9        2        0        7
19560 dropbear-bin                       	       0       21       21        0        0
19561 dropbear-initramfs                 	       0       14        0        0       14
19562 dropbear-run                       	       0        3        0        0        3
19563 drowaudio-plugins-lv2              	       0        1        1        0        0
19564 drraw                              	       0        1        1        0        0
19565 drsync                             	       0        1        1        0        0
19566 drumgizmo                          	       0        7        7        0        0
19567 drumkv1                            	       0        7        7        0        0
19568 drumkv1-common                     	       0        8        1        0        7
19569 drumkv1-lv2                        	       0        4        4        0        0
19570 drumstick-data                     	       0       23        0        0       23
19571 drumstick-tools                    	       0       10        8        2        0
19572 dsdcc                              	       0        6        6        0        0
19573 dsdunpack                          	       0        1        1        0        0
19574 dselect-dbgsym                     	       0        1        1        0        0
19575 dsh                                	       0        5        5        0        0
19576 dspam                              	       0        1        1        0        0
19577 dspdfviewer                        	       0        6        6        0        0
19578 dspy-common                        	       0        1        0        0        1
19579 dssi-dev                           	       0        5        5        0        0
19580 dssi-example-plugins               	       0        6        6        0        0
19581 dssi-host-jack                     	       0       13       13        0        0
19582 dssi-utils                         	       0       14       14        0        0
19583 dssim                              	       0        1        1        0        0
19584 dssp                               	       0        2        2        0        0
19585 dt-schema                          	       0        1        1        0        0
19586 dtaus                              	       0        1        1        0        0
19587 dtdinst                            	       0        1        1        0        0
19588 dte                                	       0        1        1        0        0
19589 dtmf2num                           	       0        3        3        0        0
19590 dtmfdial                           	       0        1        1        0        0
19591 dtrx                               	       0       11       11        0        0
19592 dtv-scan-tables                    	       0       28        0        0       28
19593 du-dust                            	       0        3        2        1        0
19594 dub                                	       0        4        4        0        0
19595 dublin-traceroute                  	       0        4        4        0        0
19596 duc                                	       0       15       15        0        0
19597 duc-nox                            	       0        1        1        0        0
19598 duck                               	       0        6        6        0        0
19599 duckietv                           	       0        1        1        0        0
19600 ducktype                           	       0        3        3        0        0
19601 duende                             	       0        2        2        0        0
19602 duke3d-shareware                   	       0        1        0        0        1
19603 duktape                            	       0        2        2        0        0
19604 duktape-dev                        	       0        6        5        1        0
19605 dummy-logind                       	       0       12        0        0       12
19606 dummy-systemd-dev                  	       0        3        0        0        3
19607 dump1090-mutability                	       0        5        5        0        0
19608 dumpasn1                           	       0        5        5        0        0
19609 dumpet                             	       0        5        5        0        0
19610 dumpvdl2                           	       0        1        1        0        0
19611 dune                               	       0        2        0        0        2
19612 dunelegacy                         	       0        4        4        0        0
19613 dungeondraft                       	       0        1        0        0        1
19614 dupfiles                           	       0        1        1        0        0
19615 duply                              	       0       12       12        0        0
19616 dupot-easy-flatpak                 	       0        7        0        0        7
19617 durep                              	       0        5        5        0        0
19618 dus                                	       0        2        2        0        0
19619 dustforce                          	       0        1        0        0        1
19620 dustracing2d                       	       0        2        2        0        0
19621 dustracing2d-data                  	       0        2        0        0        2
19622 dv2sub                             	       0        1        1        0        0
19623 dv4l                               	       0        8        8        0        0
19624 dvb-tools                          	       0       15       15        0        0
19625 dvblast                            	       0        5        5        0        0
19626 dvbpsi-utils                       	       0        3        3        0        0
19627 dvbsnoop                           	       0        2        2        0        0
19628 dvbstream                          	       0        4        4        0        0
19629 dvbstreamer                        	       0        3        3        0        0
19630 dvbtune                            	       0        9        9        0        0
19631 dvd-slideshow                      	       0        5        5        0        0
19632 dvda-author                        	       0        1        1        0        0
19633 dvdae                              	       0        2        2        0        0
19634 dvdbackup-dbg                      	       0        3        3        0        0
19635 dvdisaster-doc                     	       0       27        0        0       27
19636 dvdrip-doc                         	       0        1        0        0        1
19637 dvdrtools                          	       0        3        3        0        0
19638 dvdstyler                          	       0        5        5        0        0
19639 dvdstyler-data                     	       0        6        0        0        6
19640 dvdtape                            	       0        3        3        0        0
19641 dvhtool                            	       0        1        1        0        0
19642 dvi2dvi                            	       0        8        8        0        0
19643 dvi2ps                             	       0        8        8        0        0
19644 dvi2ps-fontdata-a2n                	       0        2        0        0        2
19645 dvi2ps-fontdata-ja                 	       0        7        0        0        7
19646 dvi2ps-fontdata-n2a                	       0        6        0        0        6
19647 dvi2ps-fontdata-ptexfake           	       0        1        0        0        1
19648 dvi2ps-fontdata-rsp                	       0        2        0        0        2
19649 dvi2ps-fontdata-tbank              	       0        2        0        0        2
19650 dvi2ps-fontdata-three              	       0        2        0        0        2
19651 dvilx                              	       0        2        2        0        0
19652 dvipost                            	       0        1        1        0        0
19653 dvips-fontdata-n2bk                	       0        1        0        0        1
19654 dvorak7min                         	       0        5        5        0        0
19655 dwarf-fortress                     	       0       12       12        0        0
19656 dwarf-fortress-data                	       0       13        0        0       13
19657 dwarf2sources                      	       0        2        2        0        0
19658 dwarves                            	       0       52        7        0       45
19659 dweb                               	       0        1        1        0        0
19660 dwg2xxx                            	       0        1        0        0        1
19661 dwgsim                             	       0        1        1        0        0
19662 dx-doc                             	       0        8        0        0        8
19663 dxc                                	       0        1        1        0        0
19664 dxf2gcode                          	       0       10       10        0        0
19665 dxirc-data                         	       0        1        0        0        1
19666 dxirc-fox                          	       0        1        1        0        0
19667 dxpc                               	       0        1        1        0        0
19668 dxvk                               	       0       10       10        0        0
19669 dxvk-wine32                        	       0        3        3        0        0
19670 dxvk-wine32-development            	       0        3        2        0        1
19671 dxvk-wine64                        	       0        4        4        0        0
19672 dxvk-wine64-development            	       0        8        6        0        2
19673 dynamips                           	       0        6        6        0        0
19674 dynamite                           	       0        5        5        0        0
19675 dynare                             	       0        1        1        0        0
19676 dynare-doc                         	       0        1        0        0        1
19677 dysk                               	       0        2        2        0        0
19678 e-wrapper                          	       0        2        2        0        0
19679 e1000e-dkms                        	       0        1        1        0        0
19680 e16-epplets                        	       0        1        0        0        1
19681 e16keyedit                         	       0        3        3        0        0
19682 e16menuedit2                       	       0        3        3        0        0
19683 e17                                	       0        6        1        0        5
19684 e17-data                           	       0        2        0        0        2
19685 e2fslibs                           	       0      732        0        0      732
19686 e2fslibs-dev                       	       0        5        0        0        5
19687 e2fsprogs-l10n                     	       0      538        0        0      538
19688 e2guardian                         	       0        1        1        0        0
19689 e2ps                               	       0        5        5        0        0
19690 e2undel                            	       0        3        3        0        0
19691 e2wm                               	       0        2        2        0        0
19692 e3                                 	       0        7        7        0        0
19693 eag-healpix-java                   	       0        1        0        0        1
19694 eagle                              	       0        1        1        0        0
19695 eagle-data                         	       0        1        1        0        0
19696 eapoltest                          	       0        1        1        0        0
19697 earcandy                           	       0        1        1        0        0
19698 easygen                            	       0        2        2        0        0
19699 easykontakt                        	       0        1        1        0        0
19700 easymp3gain                        	       0        1        1        0        0
19701 easymp3gain-data                   	       0        1        0        0        1
19702 easyroam-desktop                   	       0        1        0        0        1
19703 easyspice                          	       0        2        2        0        0
19704 easyssh                            	       0        3        3        0        0
19705 easyssp-lv2                        	       0        1        1        0        0
19706 easystroke                         	       0        1        1        0        0
19707 eb-utils                           	       0        2        2        0        0
19708 eblook                             	       0        2        2        0        0
19709 ebnflint                           	       0        2        2        0        0
19710 eboard                             	       0       17       17        0        0
19711 ebook-dev-alp                      	       0        3        3        0        0
19712 ebook-speaker                      	       0       11       11        0        0
19713 ebook-tools-dbg                    	       0        1        1        0        0
19714 ebook2cw                           	       0       10       10        0        0
19715 ebook2cwgui                        	       0        6        6        0        0
19716 ebook2epub                         	       0       11       11        0        0
19717 ebook2odt                          	       0        8        8        0        0
19718 ebumeter                           	       0        3        3        0        0
19719 ecasound-el                        	       0        1        1        0        0
19720 ecb                                	       0        1        1        0        0
19721 ecdsautils                         	       0        2        2        0        0
19722 echolot                            	       0        1        1        0        0
19723 ecj                                	       0       17       17        0        0
19724 ecj-gcj                            	       0        5        5        0        0
19725 ecj1                               	       0        2        2        0        0
19726 ecl                                	       0       21       21        0        0
19727 eclib-tools                        	       0       11       11        0        0
19728 eclipse                            	       0        1        0        0        1
19729 eclipse-cdt                        	       0        1        0        0        1
19730 eclipse-cdt-jni                    	       0        1        0        0        1
19731 eclipse-debian-helper              	       0        2        2        0        0
19732 eclipse-emf                        	       0        1        0        0        1
19733 eclipse-jdt                        	       0        1        0        0        1
19734 eclipse-pde                        	       0        1        1        0        0
19735 eclipse-platform                   	       0        1        1        0        0
19736 eclipse-platform-data              	       0        2        2        0        0
19737 eclipse-rcp                        	       0        1        1        0        0
19738 eclipse-rse                        	       0        1        0        0        1
19739 eclipse-titan                      	       0        1        1        0        0
19740 ecm                                	       0        1        1        0        0
19741 ecodmsclient                       	       0        1        0        0        1
19742 ecodmsserver                       	       0        1        1        0        0
19743 ecopcr                             	       0        1        1        0        0
19744 ecrire                             	       0        1        1        0        0
19745 edb-debugger-plugins               	       0        6        0        0        6
19746 eddie-cli                          	       0        2        2        0        0
19747 eddie-ui                           	       0        3        3        0        0
19748 edenmath.app                       	       0        2        2        0        0
19749 edfbrowser                         	       0        2        2        0        0
19750 edge                               	       0        1        1        0        0
19751 edisplay                           	       0        1        1        0        0
19752 editmoin                           	       0        1        1        0        0
19753 editorconfig-doc                   	       0        1        0        0        1
19754 editorconfig-geany                 	       0        1        0        0        1
19755 edlib-aligner                      	       0        1        1        0        0
19756 edlin                              	       0        1        1        0        0
19757 edrlab.thoriumreader               	       0        1        0        0        1
19758 edtsurf                            	       0        1        1        0        0
19759 education-astronomy                	       0        7        0        0        7
19760 education-chemistry                	       0        7        0        0        7
19761 education-common                   	       0        7        0        0        7
19762 education-desktop-cinnamon         	       0        3        0        0        3
19763 education-desktop-gnome            	       0        1        0        0        1
19764 education-desktop-kde              	       0        1        0        0        1
19765 education-desktop-lxde             	       0        1        0        0        1
19766 education-desktop-lxqt             	       0        1        0        0        1
19767 education-desktop-mate             	       0        3        0        0        3
19768 education-desktop-other            	       0        1        0        0        1
19769 education-desktop-xfce             	       0        3        0        0        3
19770 education-development              	       0        1        0        0        1
19771 education-electronics              	       0       10        0        0       10
19772 education-geography                	       0        9        0        0        9
19773 education-graphics                 	       0        8        0        0        8
19774 education-highschool               	       0        1        0        0        1
19775 education-language                 	       0        7        0        0        7
19776 education-logic-games              	       0        7        0        0        7
19777 education-mathematics              	       0        7        0        0        7
19778 education-menus                    	       0       13        0        0       13
19779 education-misc                     	       0        7        0        0        7
19780 education-music                    	       0        7        0        0        7
19781 education-physics                  	       0        7        0        0        7
19782 education-preschool                	       0        2        0        0        2
19783 education-video                    	       0        1        0        0        1
19784 eduvpn-client                      	       0        5        5        0        0
19785 eduvpn-client-data                 	       0        5        0        0        5
19786 eekboek                            	       0        1        1        0        0
19787 eekboek-gui                        	       0        1        1        0        0
19788 effectv                            	       0        1        1        0        0
19789 efingerd                           	       0        3        3        0        0
19790 efitools                           	       0       32       31        1        0
19791 efl-doc                            	       0        1        1        0        0
19792 efp                                	       0        3        0        0        3
19793 efte                               	       0        4        4        0        0
19794 eglexternalplatform-dev            	       0        4        4        0        0
19795 egoboo                             	       0        1        1        0        0
19796 egoboo-data                        	       0        1        0        0        1
19797 eid-archive                        	       0        9        8        1        0
19798 eid-mw                             	       0        9        0        0        9
19799 eid-viewer                         	       0        9        8        1        0
19800 eigensoft                          	       0        1        1        0        0
19801 eiskaltdcpp                        	       0        4        1        0        3
19802 eiskaltdcpp-common                 	       0        7        0        0        7
19803 eiskaltdcpp-emoticons              	       0        3        0        0        3
19804 eiskaltdcpp-gtk                    	       0        3        3        0        0
19805 eiskaltdcpp-gtk-data               	       0        3        0        0        3
19806 eiskaltdcpp-qt                     	       0        4        4        0        0
19807 eiskaltdcpp-qt-data                	       0        4        4        0        0
19808 eiskaltdcpp-scripts                	       0        3        3        0        0
19809 eiskaltdcpp-sounds                 	       0        3        0        0        3
19810 eja                                	       0        1        1        0        0
19811 ejabberd-contrib                   	       0        2        0        0        2
19812 eject-dbgsym                       	       0        1        1        0        0
19813 ekg2                               	       0        2        0        0        2
19814 ekg2-core                          	       0        3        3        0        0
19815 ekg2-gnupg                         	       0        2        2        0        0
19816 ekg2-jabber                        	       0        2        2        0        0
19817 ekg2-ui-gtk                        	       0        1        1        0        0
19818 ekg2-ui-ncurses                    	       0        2        2        0        0
19819 ekiga-plugin-evolution             	       0        1        0        0        1
19820 el-get                             	       0        1        1        0        0
19821 el-ixir                            	       0        2        2        0        0
19822 elasticsearch                      	       0        2        2        0        0
19823 elasticsearch-curator              	       0        1        1        0        0
19824 elastix                            	       0        1        1        0        0
19825 elastix-doc                        	       0        1        0        0        1
19826 elbe-archive-keyring               	       0        4        0        0        4
19827 electric                           	       0       12       12        0        0
19828 electric-fence                     	       0        9        9        0        0
19829 electronics-all                    	       0        3        0        0        3
19830 electronics-analog                 	       0        4        0        0        4
19831 electronics-asic-dev               	       0        4        0        0        4
19832 electronics-cad-gui                	       0        4        0        0        4
19833 electronics-digital                	       0        4        0        0        4
19834 electronics-doc                    	       0        3        0        0        3
19835 electronics-dsp-dev                	       0        4        0        0        4
19836 electronics-fpga-dev               	       0        4        0        0        4
19837 electronics-gadgets                	       0        4        0        0        4
19838 electronics-measurements           	       0        3        0        0        3
19839 electronics-microcontrollers       	       0        4        0        0        4
19840 electronics-pcb                    	       0        8        0        0        8
19841 electronics-radio-dev              	       0        7        0        0        7
19842 electronics-simulation             	       0        6        0        0        6
19843 electronics-tasks                  	       0       15        0        0       15
19844 electrum                           	       0       17       16        1        0
19845 eleeye                             	       0        3        3        0        0
19846 elektroid                          	       0        1        1        0        0
19847 element-io-archive-keyring         	       0       40        0        0       40
19848 element-nightly                    	       0        2        1        0        1
19849 elementary-icon-theme              	       0       20        0        0       20
19850 elementary-xfce-icon-theme         	       0       62        0        0       62
19851 elfkickers                         	       0        1        1        0        0
19852 elfrc                              	       0        3        3        0        0
19853 elfsh                              	       0        1        1        0        0
19854 elfsign                            	       0        1        1        0        0
19855 elfx86exts                         	       0        1        1        0        0
19856 elib                               	       0        1        1        0        0
19857 elinks-data                        	       0      158        0        0      158
19858 elinks-doc                         	       0       23        0        0       23
19859 elinks-lite                        	       0        1        0        0        1
19860 elixir                             	       0        5        5        0        0
19861 elixir-earmark-parser              	       0        1        0        1        0
19862 elixir-ex-doc                      	       0        1        1        0        0
19863 elixir-makeup                      	       0        1        1        0        0
19864 elixir-makeup-elixir               	       0        1        1        0        0
19865 elixir-makeup-erlang               	       0        1        1        0        0
19866 elixir-nimble-parsec               	       0        1        1        0        0
19867 elk                                	       0        1        1        0        0
19868 elk-lapw                           	       0        1        1        0        0
19869 elks-libc                          	       0        8        8        0        0
19870 elks-libc-gcc-ia16-elf             	       0        1        1        0        0
19871 elksemu                            	       0        1        1        0        0
19872 elm-compiler                       	       0        3        3        0        0
19873 elogind-dbgsym                     	       0        1        1        0        0
19874 elpa-ace-window                    	       0        1        1        0        0
19875 elpa-ag                            	       0        1        1        0        0
19876 elpa-anzu                          	       0        2        2        0        0
19877 elpa-apiwrap                       	       0        2        2        0        0
19878 elpa-avy                           	       0        5        5        0        0
19879 elpa-bash-completion               	       0        1        1        0        0
19880 elpa-bind-key                      	       0        2        2        0        0
19881 elpa-bongo                         	       0        1        1        0        0
19882 elpa-citar                         	       0        1        1        0        0
19883 elpa-consult                       	       0        1        1        0        0
19884 elpa-counsel                       	       0        2        2        0        0
19885 elpa-dart-mode                     	       0        2        0        2        0
19886 elpa-dash-functional               	       0        1        1        0        0
19887 elpa-deferred                      	       0        1        1        0        0
19888 elpa-devscripts                    	       0        1        1        0        0
19889 elpa-dictionary                    	       0        1        1        0        0
19890 elpa-dired-du                      	       0        4        4        0        0
19891 elpa-dired-quick-sort              	       0        4        4        0        0
19892 elpa-dired-rsync                   	       0        4        4        0        0
19893 elpa-ediprolog                     	       0        1        1        0        0
19894 elpa-elfeed                        	       0        5        4        1        0
19895 elpa-elfeed-web                    	       0        1        1        0        0
19896 elpa-elisp-refs                    	       0        4        4        0        0
19897 elpa-elisp-slime-nav               	       0        1        1        0        0
19898 elpa-elpher                        	       0        1        1        0        0
19899 elpa-elscreen                      	       0        1        1        0        0
19900 elpa-emacsql-psql                  	       0        1        1        0        0
19901 elpa-emacsql-sqlite                	       0        2        2        0        0
19902 elpa-emacsql-sqlite3               	       0        1        1        0        0
19903 elpa-embark                        	       0        1        1        0        0
19904 elpa-ement                         	       0        1        1        0        0
19905 elpa-esh-help                      	       0        1        1        0        0
19906 elpa-eshell-git-prompt             	       0        2        2        0        0
19907 elpa-eshell-prompt-extras          	       0        1        1        0        0
19908 elpa-evil                          	       0        3        3        0        0
19909 elpa-evil-paredit                  	       0        2        2        0        0
19910 elpa-expand-region                 	       0        3        3        0        0
19911 elpa-exwm                          	       0        5        5        0        0
19912 elpa-find-file-in-project          	       0        1        1        0        0
19913 elpa-flx                           	       0        2        2        0        0
19914 elpa-flx-ido                       	       0        2        2        0        0
19915 elpa-flycheck                      	       0        6        6        0        0
19916 elpa-flycheck-package              	       0        1        1        0        0
19917 elpa-fountain-mode                 	       0        2        0        2        0
19918 elpa-fsm                           	       0        4        4        0        0
19919 elpa-geiser                        	       0        2        2        0        0
19920 elpa-ghub+                         	       0        2        2        0        0
19921 elpa-git-annex                     	       0        1        1        0        0
19922 elpa-git-timemachine               	       0        1        1        0        0
19923 elpa-goto-chg                      	       0        3        3        0        0
19924 elpa-haskell-tab-indent            	       0        1        1        0        0
19925 elpa-helm-org                      	       0        2        2        0        0
19926 elpa-helpful                       	       0        3        3        0        0
19927 elpa-highlight-indentation         	       0        1        1        0        0
19928 elpa-hydra                         	       0        5        5        0        0
19929 elpa-irony                         	       0        1        1        0        0
19930 elpa-ivy                           	       0        5        5        0        0
19931 elpa-jabber                        	       0        4        4        0        0
19932 elpa-lbdb                          	       0        1        1        0        0
19933 elpa-lsp-treemacs                  	       0        1        1        0        0
19934 elpa-lua-mode                      	       0        9        7        2        0
19935 elpa-magit-annex                   	       0        1        1        0        0
19936 elpa-magithub                      	       0        2        2        0        0
19937 elpa-marginalia                    	       0        1        1        0        0
19938 elpa-markdown-toc                  	       0        2        2        0        0
19939 elpa-mastodon                      	       0        1        1        0        0
19940 elpa-modus-themes                  	       0        1        1        0        0
19941 elpa-monokai-theme                 	       0        2        2        0        0
19942 elpa-muse                          	       0        2        2        0        0
19943 elpa-neotree                       	       0        3        3        0        0
19944 elpa-org-contrib                   	       0        1        1        0        0
19945 elpa-org-drill                     	       0        2        0        2        0
19946 elpa-org-roam                      	       0        2        2        0        0
19947 elpa-package-lint                  	       0        1        1        0        0
19948 elpa-package-lint-flymake          	       0        1        1        0        0
19949 elpa-parsebib                      	       0        1        1        0        0
19950 elpa-password-store                	       0        1        1        0        0
19951 elpa-pfuture                       	       0        1        1        0        0
19952 elpa-pg                            	       0        1        1        0        0
19953 elpa-pkg-info                      	       0        9        7        2        0
19954 elpa-plz                           	       0        1        1        0        0
19955 elpa-projectile                    	       0        2        2        0        0
19956 elpa-ps-ccrypt                     	       0        1        1        0        0
19957 elpa-pyvenv                        	       0        1        1        0        0
19958 elpa-queue                         	       0        4        4        0        0
19959 elpa-racket-mode                   	       0        2        0        2        0
19960 elpa-rainbow-delimiters            	       0        2        2        0        0
19961 elpa-request                       	       0        1        1        0        0
19962 elpa-rtags                         	       0        2        2        0        0
19963 elpa-seq                           	       0       10       10        0        0
19964 elpa-simple-httpd                  	       0        2        2        0        0
19965 elpa-smex                          	       0        4        4        0        0
19966 elpa-solarized-theme               	       0        1        1        0        0
19967 elpa-srv                           	       0        4        4        0        0
19968 elpa-subed                         	       0        1        1        0        0
19969 elpa-svg-lib                       	       0        1        1        0        0
19970 elpa-swiper                        	       0        2        2        0        0
19971 elpa-taxy                          	       0        1        1        0        0
19972 elpa-taxy-magit-section            	       0        1        1        0        0
19973 elpa-treemacs                      	       0        1        1        0        0
19974 elpa-treemacs-evil                 	       0        1        1        0        0
19975 elpa-treemacs-magit                	       0        1        1        0        0
19976 elpa-treemacs-projectile           	       0        1        1        0        0
19977 elpa-tuareg                        	       0        4        4        0        0
19978 elpa-undo-tree                     	       0        5        5        0        0
19979 elpa-use-package                   	       0        2        2        0        0
19980 elpa-vertico                       	       0        1        1        0        0
19981 elpa-visual-fill-column            	       0        1        1        0        0
19982 elpa-visual-regexp                 	       0        2        2        0        0
19983 elpa-volume                        	       0        1        1        0        0
19984 elpa-which-key                     	       0        1        1        0        0
19985 elpa-writeroom-mode                	       0        1        1        0        0
19986 elpa-ws-butler                     	       0        2        2        0        0
19987 elpa-xcscope                       	       0        6        6        0        0
19988 elpa-xelb                          	       0        5        5        0        0
19989 elpa-zenburn-theme                 	       0        1        1        0        0
19990 elscreen                           	       0        1        0        0        1
19991 elserv                             	       0        1        1        0        0
19992 elvis                              	       0        1        1        0        0
19993 elvis-common                       	       0        1        0        0        1
19994 elvis-tiny                         	       0        3        3        0        0
19995 elvis-tools                        	       0        1        1        0        0
19996 elvish                             	       0        3        1        2        0
19997 elyxer                             	       0        1        1        0        0
19998 emacs                              	       0      362        0        0      362
19999 emacs-bin-common-dbgsym            	       0        1        0        1        0
20000 emacs-build-deps                   	       0        1        0        0        1
20001 emacs-calfw-howm                   	       0        1        1        0        0
20002 emacs-common-non-dfsg              	       0       30        0        0       30
20003 emacs-editing-major-modes          	       0        2        0        0        2
20004 emacs-el                           	       0      451        0        0      451
20005 emacs-goodies-el                   	       0       38        1        0       37
20006 emacs-intl-fonts                   	       0       13        0        0       13
20007 emacs-libvterm                     	       0        6        2        0        4
20008 emacs-mozc                         	       0        1        1        0        0
20009 emacs-mozc-bin                     	       0        1        1        0        0
20010 emacs-non-dfsg-build-deps          	       0        1        0        0        1
20011 emacs-nox-dbgsym                   	       0        1        0        1        0
20012 emacs-window-layout                	       0        2        2        0        0
20013 emacs21                            	       0        1        0        0        1
20014 emacs23                            	       0        2        2        0        0
20015 emacs23-bin-common                 	       0        2        2        0        0
20016 emacs23-common                     	       0        2        0        0        2
20017 emacs23-common-non-dfsg            	       0        1        0        0        1
20018 emacs23-el                         	       0        1        0        0        1
20019 emacs24                            	       0       13        9        0        4
20020 emacs24-bin-common                 	       0       10       10        0        0
20021 emacs24-common                     	       0       10        0        0       10
20022 emacs24-common-non-dfsg            	       0        1        0        0        1
20023 emacs24-el                         	       0        7        0        0        7
20024 emacs24-nox                        	       0        1        1        0        0
20025 emacs25                            	       0        1        0        0        1
20026 emacs25-nox                        	       0        1        0        0        1
20027 emboss                             	       0        2        2        0        0
20028 emboss-data                        	       0        2        0        0        2
20029 emboss-lib                         	       0        2        2        0        0
20030 emby-server                        	       0        1        1        0        0
20031 emd                                	       0        3        3        0        0
20032 emdebian-archive-keyring           	       0       13        0        0       13
20033 emelfm2-svg-icons                  	       0        1        0        0        1
20034 emerald-themes                     	       0       17        0        0       17
20035 emf2svg                            	       0        2        2        0        0
20036 emicp                              	       0        1        1        0        0
20037 emidf                              	       0        1        1        0        0
20038 emidist-upgrade                    	       0        1        1        0        0
20039 emifloppy                          	       0        1        1        0        0
20040 emitabulator                       	       0        1        1        0        0
20041 emiutility-dummy                   	       0        1        0        0        1
20042 emma                               	       0        1        1        0        0
20043 empathy                            	       0        4        4        0        0
20044 empathy-common                     	       0       10        0        0       10
20045 empathy-skype                      	       0        1        0        0        1
20046 emptty                             	       0        2        2        0        0
20047 empty-expect                       	       0        2        2        0        0
20048 emscripten                         	       0        9        9        0        0
20049 emscripten-doc                     	       0        4        0        0        4
20050 emu8051                            	       0        7        6        1        0
20051 emugui-testing                     	       0        1        1        0        0
20052 emusic-data                        	       0        1        0        0        1
20053 emusic-docs                        	       0        1        0        0        1
20054 enamdict                           	       0        4        0        0        4
20055 endeavour                          	       0       11       11        0        0
20056 endeavour-common                   	       0       11        0        0       11
20057 endless-sky                        	       0       18       18        0        0
20058 endless-sky-build                  	       0        1        0        0        1
20059 endless-sky-data                   	       0       20        0        0       20
20060 endless-sky-dbgsym                 	       0        1        1        0        0
20061 endless-sky-high-dpi               	       0        7        0        0        7
20062 endlessh                           	       0        1        1        0        0
20063 enemylines3                        	       0        3        3        0        0
20064 enemylines7                        	       0        3        3        0        0
20065 engauge-digitizer                  	       0        7        7        0        0
20066 engauge-digitizer-doc              	       0        5        0        0        5
20067 enigma-data                        	       0       30        0        0       30
20068 enigma-doc                         	       0       28        0        0       28
20069 enigmail                           	       0        6        0        0        6
20070 enlightenment-theme-bluesteel      	       0        2        0        0        2
20071 enlightenment-theme-brushedmetal   	       0        2        0        0        2
20072 enlightenment-theme-ganymede       	       0        2        0        0        2
20073 enlightenment-theme-shinymetal     	       0        2        0        0        2
20074 enmime                             	       0        1        1        0        0
20075 enpass                             	       0        1        1        0        0
20076 enscribe                           	       0        2        2        0        0
20077 ent                                	       0        2        2        0        0
20078 entagged                           	       0        2        2        0        0
20079 entangle                           	       0        9        9        0        0
20080 entropybroker                      	       0        1        1        0        0
20081 env-assert                         	       0        1        1        0        0
20082 env2                               	       0        3        3        0        0
20083 environment-modules                	       0        8        7        1        0
20084 eog-plugin-disable-dark-theme      	       0        7        0        0        7
20085 eog-plugin-exif-display            	       0        8        0        0        8
20086 eog-plugin-export-to-folder        	       0        7        0        0        7
20087 eog-plugin-fit-to-width            	       0        8        0        0        8
20088 eog-plugin-fullscreen-background   	       0        8        0        0        8
20089 eog-plugin-hide-titlebar           	       0        2        0        0        2
20090 eog-plugin-map                     	       0        7        0        0        7
20091 eog-plugin-maximize-windows        	       0        7        0        0        7
20092 eog-plugin-picasa                  	       0        4        0        0        4
20093 eog-plugin-python-console          	       0        7        0        0        7
20094 eog-plugin-send-by-mail            	       0        7        0        0        7
20095 eog-plugin-slideshow-shuffle       	       0        7        0        0        7
20096 eog-plugins                        	       0        8        1        0        7
20097 eog-plugins-common                 	       0        8        0        0        8
20098 eot-utils                          	       0        3        2        1        0
20099 eot2ttf                            	       0        2        2        0        0
20100 epdfview                           	       0        6        6        0        0
20101 eperl                              	       0        4        4        0        0
20102 epic4                              	       0        2        2        0        0
20103 epic4-help                         	       0        2        0        0        2
20104 epic5                              	       0        2        2        0        0
20105 epiphany-browser-data              	       0       89        1        0       88
20106 epiphany-data                      	       0       23        0        0       23
20107 epiphany-extensions                	       0        2        1        0        1
20108 epiphany-gecko                     	       0        1        0        0        1
20109 epix                               	       0        1        1        0        0
20110 epm                                	       0        1        0        1        0
20111 epsilon-bin                        	       0        1        1        0        0
20112 epson-alc1100-filter               	       0        1        1        0        0
20113 epson-alc1100-filter-cups          	       0        1        0        0        1
20114 epson-inkjet-printer-201207w       	       0        2        2        0        0
20115 epson-inkjet-printer-202101w       	       0        1        1        0        0
20116 epson-pc-fax                       	       0        1        1        0        0
20117 epson-port-communication-service   	       0        1        1        0        0
20118 epsonscan2-non-free-plugin         	       0       15        0        0       15
20119 ept-cache                          	       0        1        1        0        0
20120 epub-utils                         	       0       26       25        1        0
20121 epubcheck                          	       0       12       12        0        0
20122 eqonomize                          	       0        2        2        0        0
20123 eqonomize-doc                      	       0        2        0        0        2
20124 erfs                               	       0        1        1        0        0
20125 eric-api-files                     	       0        7        0        0        7
20126 erlang                             	       0       16        0        0       16
20127 erlang-asciideck                   	       0        2        1        1        0
20128 erlang-base64url                   	       0        5        4        1        0
20129 erlang-bbmustache                  	       0        1        1        0        0
20130 erlang-bear                        	       0        2        2        0        0
20131 erlang-bitcask                     	       0        1        1        0        0
20132 erlang-bitcask-dev                 	       0        1        1        0        0
20133 erlang-cf                          	       0        2        1        1        0
20134 erlang-cl                          	       0       18       18        0        0
20135 erlang-common-test                 	       0       16       15        1        0
20136 erlang-corba                       	       0        3        3        0        0
20137 erlang-cowboy                      	       0        1        1        0        0
20138 erlang-cowboy-doc                  	       0        1        0        0        1
20139 erlang-cowboy-examples             	       0        1        0        0        1
20140 erlang-cowlib                      	       0        2        1        1        0
20141 erlang-cuttlefish                  	       0        1        1        0        0
20142 erlang-debugger                    	       0       16       15        1        0
20143 erlang-dev                         	       0       20       19        1        0
20144 erlang-dialyzer                    	       0       18       17        1        0
20145 erlang-diameter                    	       0       17       16        1        0
20146 erlang-doc                         	       0        8        7        1        0
20147 erlang-edoc                        	       0       17       16        1        0
20148 erlang-eldap                       	       0       24       23        1        0
20149 erlang-erl-docgen                  	       0       16       16        0        0
20150 erlang-erlware-commons             	       0        1        0        1        0
20151 erlang-erlware-commons-dev         	       0        1        0        1        0
20152 erlang-esdl                        	       0       18       18        0        0
20153 erlang-esdl-dev                    	       0        2        2        0        0
20154 erlang-esdl-doc                    	       0        2        0        0        2
20155 erlang-et                          	       0       16       15        1        0
20156 erlang-eunit                       	       0       23       22        1        0
20157 erlang-examples                    	       0       16       15        1        0
20158 erlang-folsom                      	       0        1        1        0        0
20159 erlang-folsom-dev                  	       0        1        1        0        0
20160 erlang-getopt                      	       0        2        1        1        0
20161 erlang-goldrush                    	       0        7        6        1        0
20162 erlang-gs                          	       0        2        2        0        0
20163 erlang-hex                         	       0        1        1        0        0
20164 erlang-horse                       	       0        1        0        1        0
20165 erlang-ic                          	       0        3        3        0        0
20166 erlang-ic-java                     	       0        2        2        0        0
20167 erlang-jinterface                  	       0       15       14        1        0
20168 erlang-luerl                       	       0        1        0        1        0
20169 erlang-manpages                    	       0        8        0        0        8
20170 erlang-meck                        	       0        2        2        0        0
20171 erlang-megaco                      	       0       16       15        1        0
20172 erlang-metrics                     	       0        1        1        0        0
20173 erlang-mimerl                      	       0        1        1        0        0
20174 erlang-mochiweb                    	       0        1        1        0        0
20175 erlang-neotoma                     	       0        1        1        0        0
20176 erlang-nox                         	       0        5        0        0        5
20177 erlang-observer                    	       0       16       15        1        0
20178 erlang-odbc                        	       0       21       20        1        0
20179 erlang-p1-iconv                    	       0        4        4        0        0
20180 erlang-p1-mqtree                   	       0        4        4        0        0
20181 erlang-p1-mysql                    	       0        4        4        0        0
20182 erlang-p1-oauth2                   	       0        1        1        0        0
20183 erlang-p1-pam                      	       0        4        4        0        0
20184 erlang-p1-pgsql                    	       0        5        5        0        0
20185 erlang-p1-sip                      	       0        4        4        0        0
20186 erlang-p1-sqlite3                  	       0        2        2        0        0
20187 erlang-p1-stun                     	       0        7        7        0        0
20188 erlang-parsetools                  	       0       28       27        1        0
20189 erlang-percept                     	       0        3        3        0        0
20190 erlang-poolboy                     	       0        1        1        0        0
20191 erlang-proper                      	       0        8        7        1        0
20192 erlang-proper-dev                  	       0        1        0        1        0
20193 erlang-proper-doc                  	       0        2        0        0        2
20194 erlang-ranch                       	       0        3        3        0        0
20195 erlang-ranch-doc                   	       0        2        0        0        2
20196 erlang-redis-client                	       0        1        1        0        0
20197 erlang-reltool                     	       0       16       15        1        0
20198 erlang-solutions                   	       0        2        0        0        2
20199 erlang-src                         	       0       17       16        1        0
20200 erlang-ssh                         	       0       17       16        1        0
20201 erlang-typer                       	       0        2        2        0        0
20202 erlang-uuid                        	       0        3        3        0        0
20203 erlang-webtool                     	       0        2        2        0        0
20204 erlang-wx                          	       0       31       30        1        0
20205 erlang-x11                         	       0        4        0        0        4
20206 erlang-xmlrpc                      	       0        3        3        0        0
20207 erofsfuse                          	       0        1        1        0        0
20208 esci-interpreter-gt-f720           	       0        1        1        0        0
20209 esh                                	       0        1        1        0        0
20210 eskatos-docker-config              	       0        4        0        0        4
20211 eskatos-icinga-scripts             	       0        1        0        0        1
20212 eskatos-nexus-registry-mirror      	       0        1        0        0        1
20213 eskatos-trivy-server               	       0        1        0        0        1
20214 esmtp                              	       0        5        5        0        0
20215 esmtp-run                          	       0        2        2        0        0
20216 esnacc                             	       0        1        1        0        0
20217 esnacc-doc                         	       0        1        0        0        1
20218 eso-midas                          	       0        6        6        0        0
20219 eso-pipelines                      	       0        2        0        0        2
20220 esorex                             	       0        2        2        0        0
20221 esound-common                      	       0       33        0        0       33
20222 espctag                            	       0        1        1        0        0
20223 espeak-data                        	       0     1072        0        0     1072
20224 espeak-ng-data                     	       0     2815        0        0     2815
20225 espeak-ng-espeak                   	       0        7        7        0        0
20226 espeakedit                         	       0        6        6        0        0
20227 ess                                	       0        6        0        0        6
20228 estic                              	       0        1        1        0        0
20229 eta                                	       0        1        1        0        0
20230 etcd-client                        	       0        1        1        0        0
20231 etcd-fs                            	       0        1        1        0        0
20232 etcher-electron                    	       0        1        0        0        1
20233 etherape                           	       0       50       50        0        0
20234 etherape-data                      	       0       46        0        0       46
20235 etherboot-qemu                     	       0        1        1        0        0
20236 ethereal-chess                     	       0        3        3        0        0
20237 ethstats                           	       0        4        4        0        0
20238 etl-dev                            	       0        2        2        0        0
20239 etoys                              	       0        1        1        0        0
20240 etoys-doc                          	       0        1        0        0        1
20241 etqw                               	       0        2        2        0        0
20242 etree-scripts                      	       0        1        1        0        0
20243 etsf-io                            	       0        1        1        0        0
20244 ettercap-graphical                 	       0        8        8        0        0
20245 etw                                	       0        2        2        0        0
20246 etw-data                           	       0        2        0        0        2
20247 eudev-build-deps                   	       0        1        0        0        1
20248 eudev-dbgsym                       	       0        3        3        0        0
20249 eufloriahd                         	       0        1        0        0        1
20250 euler                              	       0        8        8        0        0
20251 euler-doc                          	       0        5        5        0        0
20252 eureka                             	       0        8        8        0        0
20253 eureka-dbgsym                      	       0        1        1        0        0
20254 euro-support                       	       0        1        1        0        0
20255 euro-support-console               	       0        1        0        0        1
20256 euro-support-x                     	       0        1        0        0        1
20257 evdi                               	       0        1        1        0        0
20258 evdi-dkms                          	       0        6        6        0        0
20259 eventfs                            	       0        1        1        0        0
20260 evilwm                             	       0        7        7        0        0
20261 evince-gtk                         	       0       31       10        0       21
20262 evince-hwp                         	       0        1        1        0        0
20263 evol-client                        	       0        1        0        0        1
20264 evolution-data-server-dev          	       0        1        0        0        1
20265 evolution-data-server-doc          	       0        2        0        0        2
20266 evolution-webcal                   	       0        2        2        0        0
20267 evolvotron                         	       0        5        5        0        0
20268 evracoon                           	       0        1        1        0        0
20269 evrouter                           	       0        1        1        0        0
20270 ewipe                              	       0        1        1        0        0
20271 exabgp                             	       0        2        2        0        0
20272 exactimage                         	       0       22       20        2        0
20273 exadrums                           	       0        1        1        0        0
20274 exaile                             	       0        1        1        0        0
20275 excellent-bifurcation              	       0        1        1        0        0
20276 exe-thumbnailer                    	       0       12        1        0       11
20277 exec-tools                         	       0        1        1        0        0
20278 execline                           	       0       10       10        0        0
20279 execline-doc                       	       0        3        0        0        3
20280 execnet-doc                        	       0        1        0        0        1
20281 exfatprogs-dbgsym                  	       0        1        1        0        0
20282 exiflooter                         	       0        2        1        1        0
20283 exim4                              	       0      355        0        0      355
20284 exim4-doc-html                     	       0        8        0        0        8
20285 exim4-doc-info                     	       0        6        0        0        6
20286 exo-build-deps                     	       0        1        0        0        1
20287 exo-utils-dbgsym                   	       0        1        1        0        0
20288 exodus                             	       0        9        9        0        0
20289 exonerate                          	       0        4        4        0        0
20290 expandrive                         	       0        1        1        0        0
20291 expeyes                            	       0        1        1        0        0
20292 expeyes-doc-common                 	       0        1        1        0        0
20293 expeyes-doc-en                     	       0        1        0        0        1
20294 expeyes-web                        	       0        1        1        0        0
20295 explain                            	       0        1        1        0        0
20296 explosive-c4                       	       0        4        4        0        0
20297 expressvpn                         	       0        1        1        0        0
20298 exrtools                           	       0        2        2        0        0
20299 ext2resize                         	       0        1        1        0        0
20300 extace                             	       0        1        1        0        0
20301 extra-cmake-modules                	       0      111        0        0      111
20302 extra-cmake-modules-doc            	       0        3        0        0        3
20303 extrace                            	       0        6        6        0        0
20304 extractpdfmark                     	       0        5        5        0        0
20305 extremetuxracer                    	       0       44       44        0        0
20306 extremetuxracer-data               	       0       45        1        0       44
20307 extremetuxracer-extras             	       0        3        0        0        3
20308 extrepo-offline-data               	       0       69        0        0       69
20309 exult                              	       0        2        2        0        0
20310 exult-studio                       	       0        1        1        0        0
20311 eye                                	       0        1        1        0        0
20312 eyes17                             	       0        1        1        0        0
20313 eyesapplet-trinity                 	       0       24       22        2        0
20314 ez-ipupdate                        	       0        1        1        0        0
20315 eza                                	       0        2        0        2        0
20316 ezquake                            	       0        4        4        0        0
20317 eztrace                            	       0        1        1        0        0
20318 f-irc                              	       0        4        4        0        0
20319 f-spot                             	       0        1        1        0        0
20320 f2c                                	       0       14       14        0        0
20321 f2j                                	       0        2        2        0        0
20322 f3d                                	       0        5        5        0        0
20323 faba-icon-theme                    	       0       34        0        0       34
20324 fabla                              	       0        1        1        0        0
20325 fabric                             	       0        2        2        0        0
20326 facedetect                         	       0       10       10        0        0
20327 facetimehd-firmware                	       0        1        1        0        0
20328 fact++                             	       0        1        1        0        0
20329 facterdb                           	       0        1        1        0        0
20330 fadecut                            	       0        3        3        0        0
20331 faenza-icon-theme                  	       0       34        0        0       34
20332 fahclient                          	       0        3        3        0        0
20333 fahviewer                          	       0        2        2        0        0
20334 fai-client                         	       0        1        1        0        0
20335 fai-server                         	       0        1        1        0        0
20336 fai-setup-storage                  	       0        1        1        0        0
20337 faifa                              	       0        3        3        0        0
20338 fairy-stockfish                    	       0        3        3        0        0
20339 faker                              	       0        1        1        0        0
20340 fakeroot-ng                        	       0        7        7        0        0
20341 faketime                           	       0       32       32        0        0
20342 fakturama                          	       0        1        1        0        0
20343 falabaac                           	       0        1        1        0        0
20344 falconseye                         	       0        1        1        0        0
20345 falconseye-data                    	       0        1        1        0        0
20346 falkon-plugin-wallet               	       0        1        0        0        1
20347 famfamfam-flag-gif                 	       0        1        0        0        1
20348 famfamfam-flag-png                 	       0       27        0        0       27
20349 famfamfam-silk                     	       0        8        0        0        8
20350 fapg                               	       0        3        3        0        0
20351 far2l                              	       0        1        1        0        0
20352 far2l-data                         	       0        1        0        0        1
20353 far2l-wx                           	       0        1        1        0        0
20354 farbfeld                           	       0       10       10        0        0
20355 farpd                              	       0        2        2        0        0
20356 fasd                               	       0        2        2        0        0
20357 fasm                               	       0       10       10        0        0
20358 fastaq                             	       0        1        1        0        0
20359 fastd                              	       0        2        2        0        0
20360 fastd-doc                          	       0        1        0        0        1
20361 fastdep                            	       0        1        1        0        0
20362 fastdnaml                          	       0        3        3        0        0
20363 fastforward                        	       0        5        5        0        0
20364 fastjet-doc                        	       0        1        0        0        1
20365 fastlink                           	       0        1        1        0        0
20366 fastp                              	       0        1        1        0        0
20367 fastqc                             	       0        3        3        0        0
20368 fastqtl                            	       0        1        1        0        0
20369 fasttext                           	       0        2        2        0        0
20370 fasttrack-archive-keyring          	       0       24        0        0       24
20371 fasttree                           	       0        1        1        0        0
20372 fatrace                            	       0       14       14        0        0
20373 faucc                              	       0        1        1        0        0
20374 fauhdlc                            	       0        3        3        0        0
20375 faultstat                          	       0        1        1        0        0
20376 faumachine                         	       0        1        1        0        0
20377 faumachine-data                    	       0        1        0        0        1
20378 faust                              	       0        4        4        0        0
20379 faust-common                       	       0        4        4        0        0
20380 faustworks                         	       0        2        2        0        0
20381 faxcoder                           	       0        1        1        0        0
20382 fb-music-high                      	       0        8        0        0        8
20383 fb2edit                            	       0        1        1        0        0
20384 fbb                                	       0        3        3        0        0
20385 fbbdoc                             	       0        1        0        0        1
20386 fbdesk                             	       0        3        3        0        0
20387 fbiterm                            	       0        1        1        0        0
20388 fbterm-ucimf                       	       0        1        1        0        0
20389 fbtv                               	       0        1        1        0        0
20390 fbxkb                              	       0        5        5        0        0
20391 fbzx                               	       0        6        6        0        0
20392 fcc                                	       0        1        1        0        0
20393 fccexam                            	       0        3        3        0        0
20394 fceu                               	       0        1        1        0        0
20395 fceux                              	       0       20       20        0        0
20396 fcitx                              	       0       20        0        0       20
20397 fcitx-anthy                        	       0        2        1        0        1
20398 fcitx-chewing                      	       0        1        0        0        1
20399 fcitx-config-common                	       0       20        0        0       20
20400 fcitx-config-gtk                   	       0       20       20        0        0
20401 fcitx-data                         	       0       20        4        0       16
20402 fcitx-frontend-all                 	       0       20        0        0       20
20403 fcitx-frontend-fbterm              	       0        2        2        0        0
20404 fcitx-frontend-gtk2                	       0       22        0        0       22
20405 fcitx-frontend-qt4                 	       0        3        0        0        3
20406 fcitx-hangul                       	       0        1        0        0        1
20407 fcitx-imlist                       	       0        2        2        0        0
20408 fcitx-libpinyin                    	       0        1        0        0        1
20409 fcitx-libs-dev                     	       0        1        1        0        0
20410 fcitx-module-quickphrase-editor5   	       0       13        0        0       13
20411 fcitx-mozc                         	       0       10        4        1        5
20412 fcitx-mozc-data                    	       0       18        0        0       18
20413 fcitx-rime                         	       0        2        1        0        1
20414 fcitx-table-all                    	       0        1        0        0        1
20415 fcitx-table-arabic                 	       0        1        0        0        1
20416 fcitx-table-bingchan               	       0        1        0        0        1
20417 fcitx-table-cangjie                	       0        1        0        0        1
20418 fcitx-table-cantonese              	       0        1        0        0        1
20419 fcitx-table-dianbaoma              	       0        1        0        0        1
20420 fcitx-table-emoji                  	       0        2        0        0        2
20421 fcitx-table-erbi                   	       0        1        0        0        1
20422 fcitx-table-ipa-x-sampa            	       0        1        0        0        1
20423 fcitx-table-quick-classic          	       0        1        0        0        1
20424 fcitx-table-thai                   	       0        1        0        0        1
20425 fcitx-table-wanfeng                	       0        1        0        0        1
20426 fcitx-table-wbpy                   	       0        1        0        0        1
20427 fcitx-table-wubi                   	       0        2        0        0        2
20428 fcitx-table-ziranma                	       0        1        0        0        1
20429 fcitx-tools                        	       0        3        3        0        0
20430 fcitx5-anthy                       	       0        2        1        0        1
20431 fcitx5-chewing                     	       0        1        0        0        1
20432 fcitx5-chinese-addons              	       0        7        0        0        7
20433 fcitx5-chinese-addons-bin          	       0        7        6        1        0
20434 fcitx5-config-qt                   	       0       18       17        1        0
20435 fcitx5-frontend-all                	       0        1        0        0        1
20436 fcitx5-frontend-fbterm             	       0        2        2        0        0
20437 fcitx5-frontend-gtk2               	       0        4        1        0        3
20438 fcitx5-frontend-gtk4               	       0       15        2        0       13
20439 fcitx5-frontend-qt6                	       0       15        1        1       13
20440 fcitx5-frontend-tmux               	       0        2        0        0        2
20441 fcitx5-kkc                         	       0        1        1        0        0
20442 fcitx5-module-emoji                	       0        2        0        0        2
20443 fcitx5-module-lua-common           	       0        9        0        0        9
20444 fcitx5-module-lua-dev              	       0        1        0        1        0
20445 fcitx5-module-quickphrase          	       0        2        0        0        2
20446 fcitx5-module-quickphrase-editor   	       0       10        0        0       10
20447 fcitx5-module-wayland              	       0        2        0        0        2
20448 fcitx5-module-xorg                 	       0        2        0        0        2
20449 fcitx5-modules-dev                 	       0        1        0        1        0
20450 fcitx5-mozc                        	       0        9        6        0        3
20451 fcitx5-rime                        	       0        2        1        0        1
20452 fcitx5-skin-nord                   	       0        1        0        0        1
20453 fcitx5-skk                         	       0        1        0        0        1
20454 fcitx5-table                       	       0        7        1        0        6
20455 fcml                               	       0        3        2        1        0
20456 fcmp                               	       0        2        0        0        2
20457 fcoretools                         	       0        1        1        0        0
20458 fd-find                            	       0       31       30        1        0
20459 fdclone                            	       0        3        2        1        0
20460 fdflush                            	       0        3        3        0        0
20461 fdisk-dbgsym                       	       0        1        1        0        0
20462 fdkaac                             	       0       11       11        0        0
20463 fdm-materials                      	       0       23        0        0       23
20464 fdpowermon-icons                   	       0        4        0        0        4
20465 fdpp                               	       0        1        0        1        0
20466 fdpp-dev                           	       0        1        0        1        0
20467 fdroidcl                           	       0        4        3        1        0
20468 feathernotes-l10n                  	       0      256        0        0      256
20469 featherpad-l10n                    	       0      271        4        0      267
20470 feature-check                      	       0        2        2        0        0
20471 fedistar                           	       0        1        1        0        0
20472 feed2exec                          	       0        1        1        0        0
20473 feed2exec-doc                      	       0        1        0        0        1
20474 feed2toot                          	       0        1        1        0        0
20475 feedbackd-common                   	       0        9        0        0        9
20476 feedreader                         	       0        2        2        0        0
20477 felix-latin-data                   	       0        2        0        0        2
20478 felix-main                         	       0        1        1        0        0
20479 fence-agents                       	       0        5        5        0        0
20480 fence-virt                         	       0        1        1        0        0
20481 fenicsx                            	       0        1        0        0        1
20482 fenicsx-performance-tests-source   	       0        1        1        0        0
20483 fenix                              	       0        1        1        0        0
20484 fenix-plugin-mpeg                  	       0        1        1        0        0
20485 fenix-plugins                      	       0        1        1        0        0
20486 fenix-plugins-system               	       0        1        1        0        0
20487 fenrir                             	       0        1        1        0        0
20488 ferdi                              	       0        1        0        0        1
20489 ferret                             	       0        2        2        0        0
20490 ferret-datasets                    	       0        1        0        0        1
20491 ferret-vis                         	       0        1        1        0        0
20492 festival-dev                       	       0        6        6        0        0
20493 festival-doc                       	       0        5        0        0        5
20494 festival-freebsoft-utils           	       0       32        0        0       32
20495 festlex-cmu                        	       0      106        0        0      106
20496 festlex-ifd                        	       0        3        0        0        3
20497 festlex-oald                       	       0        9        0        0        9
20498 festlex-poslex                     	       0      106        0        0      106
20499 festvox-don                        	       0        2        0        0        2
20500 festvox-ellpc11k                   	       0        3        0        0        3
20501 festvox-en1                        	       0        4        0        0        4
20502 festvox-italp16k                   	       0        3        0        0        3
20503 festvox-itapc16k                   	       0        2        0        0        2
20504 festvox-kallpc16k                  	       0      101        0        0      101
20505 festvox-kdlpc16k                   	       0        4        0        0        4
20506 festvox-palpc16k                   	       0        1        0        0        1
20507 festvox-rablpc16k                  	       0        4        0        0        4
20508 festvox-ru                         	       0        3        0        0        3
20509 festvox-sflpc16k                   	       0        1        0        0        1
20510 festvox-suopuhe-common             	       0        3        0        0        3
20511 festvox-suopuhe-lj                 	       0        3        0        0        3
20512 festvox-suopuhe-mv                 	       0        2        0        0        2
20513 festvox-us-slt-hts                 	       0       12        0        0       12
20514 festvox-us1                        	       0        6        0        0        6
20515 festvox-us2                        	       0        3        0        0        3
20516 festvox-us3                        	       0        3        0        0        3
20517 fet                                	       0        4        4        0        0
20518 fet-data                           	       0        4        0        0        4
20519 feta                               	       0        1        1        0        0
20520 fetch-crl                          	       0        1        1        0        0
20521 fetchyahoo                         	       0        1        1        0        0
20522 fever                              	       0        1        1        0        0
20523 fex                                	       0        1        1        0        0
20524 fex-utils                          	       0        1        1        0        0
20525 ffado-dbus-server                  	       0        3        3        0        0
20526 ffado-mixer-qt4                    	       0        3        3        0        0
20527 ffado-tools                        	       0        5        5        0        0
20528 ffcvt                              	       0       13       11        2        0
20529 ffdiaporama                        	       0        2        2        0        0
20530 ffdiaporama-data                   	       0        2        0        0        2
20531 ffe                                	       0        1        1        0        0
20532 ffgtk                              	       0        1        1        0        0
20533 ffgtk-plugins-gdata                	       0        1        0        0        1
20534 ffgtk-plugins-gnome-keyring        	       0        1        0        0        1
20535 ffgtk-plugins-kwallet4             	       0        1        0        0        1
20536 ffgtk-plugins-pulseaudio           	       0        1        0        0        1
20537 ffindex                            	       0        2        2        0        0
20538 fflas-ffpack                       	       0       11       11        0        0
20539 fflas-ffpack-common                	       0       11       11        0        0
20540 ffmpeg-dbgsym                      	       0        1        1        0        0
20541 ffmpeg-doc                         	       0       70        0        0       70
20542 ffmpeg2theora                      	       0       20       20        0        0
20543 ffmpegfs                           	       0       10       10        0        0
20544 ffmsindex                          	       0        7        7        0        0
20545 ffplay                             	       0        1        1        0        0
20546 fftw-dev                           	       0       14       14        0        0
20547 fftw2                              	       0       29        0        0       29
20548 ffuf                               	       0        2        2        0        0
20549 fgallery                           	       0       12       12        0        0
20550 fglrx-modules-dkms                 	       0        1        1        0        0
20551 fh2odg                             	       0        1        1        0        0
20552 fhem                               	       0        1        1        0        0
20553 fhist                              	       0        3        3        0        0
20554 fiche                              	       0        2        2        0        0
20555 fido2-tools                        	       0        6        5        1        0
20556 fierce                             	       0        3        3        0        0
20557 fifteenapplet-trinity              	       0       24       22        2        0
20558 fifth                              	       0        1        1        0        0
20559 fig2ps                             	       0       14       14        0        0
20560 fig2sxd                            	       0        3        3        0        0
20561 figtoipe                           	       0        2        2        0        0
20562 figtree                            	       0        1        1        0        0
20563 file-build-deps                    	       0        1        0        0        1
20564 file-dbgsym                        	       0        1        1        0        0
20565 filelight-trinity                  	       0        3        3        0        0
20566 filemanager-actions                	       0        1        1        0        0
20567 filemanager-actions-data           	       0        1        0        0        1
20568 filemanager-actions-libs           	       0        1        0        0        1
20569 fileschanged                       	       0        3        3        0        0
20570 filetraq                           	       0        2        2        0        0
20571 fileutils                          	       0        2        0        0        2
20572 filezilla-common                   	       0      300        0        0      300
20573 filezilla-server                   	       0        2        2        0        0
20574 filler                             	       0        3        3        0        0
20575 fillets-ng-data                    	       0       11        0        0       11
20576 fillets-ng-data-cs                 	       0        1        0        0        1
20577 fillets-ng-data-nl                 	       0        2        0        0        2
20578 filtergen                          	       0        1        1        0        0
20579 filters                            	       0       10       10        0        0
20580 findbugs                           	       0        3        3        0        0
20581 findent                            	       0        1        1        0        0
20582 finetune                           	       0        1        1        0        0
20583 finit                              	       0        2        2        0        0
20584 finit-plugins                      	       0        2        0        0        2
20585 firebird-dev                       	       0       16       15        1        0
20586 firebird-emu                       	       0        1        1        0        0
20587 firebird2.1-common-doc             	       0        1        0        0        1
20588 firebird2.5-common-doc             	       0       29        0        0       29
20589 firebird2.5-server-common          	       0       17       17        0        0
20590 firebird3.0-common                 	       0      751        0        0      751
20591 firebird3.0-common-doc             	       0      752        0        0      752
20592 firebird3.0-doc                    	       0        6        0        0        6
20593 firebird3.0-examples               	       0        4        4        0        0
20594 firebird3.0-server-core            	       0      709        1        0      708
20595 firebird4.0-common                 	       0       19        0        0       19
20596 firebird4.0-common-doc             	       0       19        0        0       19
20597 firebird4.0-server-core            	       0       19        0        0       19
20598 firecapture                        	       0        1        1        0        0
20599 fireflies                          	       0        2        0        0        2
20600 firefox-beta                       	       0        2        2        0        0
20601 firefox-devedition                 	       0        1        1        0        0
20602 firefox-devedition-l10n-fr         	       0        1        1        0        0
20603 firefox-esr-l10n-all               	       0        1        0        0        1
20604 firefox-l10n-de                    	       0        7        4        2        1
20605 firefox-l10n-dsb                   	       0        2        2        0        0
20606 firefox-l10n-en-gb                 	       0       10        7        3        0
20607 firefox-l10n-es-ar                 	       0        1        0        1        0
20608 firefox-l10n-es-cl                 	       0        2        2        0        0
20609 firefox-l10n-es-es                 	       0        8        6        2        0
20610 firefox-l10n-es-mx                 	       0        1        1        0        0
20611 firefox-l10n-fr                    	       0        6        6        0        0
20612 firefox-l10n-hr                    	       0        1        1        0        0
20613 firefox-l10n-hsb                   	       0        2        2        0        0
20614 firefox-l10n-it                    	       0        1        1        0        0
20615 firefox-l10n-ja                    	       0        1        1        0        0
20616 firefox-l10n-nl                    	       0        1        0        1        0
20617 firefox-l10n-pl                    	       0        1        1        0        0
20618 firefox-mozilla-build              	       0        1        0        1        0
20619 firefox-nightly                    	       0        1        1        0        0
20620 firefox-nightly-l10n-en-gb         	       0        1        1        0        0
20621 firefox-nightly-l10n-hu            	       0        1        1        0        0
20622 firefox-nightly-l10n-ro            	       0        1        1        0        0
20623 firefoxdev                         	       0        1        1        0        0
20624 firefoxdriver                      	       0        3        3        0        0
20625 firefoxpwa                         	       0        2        2        0        0
20626 firehol-doc                        	       0        2        0        0        2
20627 firejail-build-deps                	       0        1        0        0        1
20628 firejail-dbgsym                    	       0        1        1        0        0
20629 firejail-profiles                  	       0       66        0        0       66
20630 firetools                          	       0       16       16        0        0
20631 firewalk                           	       0        1        1        0        0
20632 firewalld-tests                    	       0        1        0        0        1
20633 firm-phoenix-ware                  	       0        1        0        0        1
20634 firmware-adi                       	       0        2        0        0        2
20635 firmware-ast                       	       0        3        3        0        0
20636 firmware-ath9k-htc-dbgsym          	       0        1        1        0        0
20637 firmware-b43legacy-installer       	       0       22        5        1       16
20638 firmware-cavium                    	       0       14       14        0        0
20639 firmware-crystalhd                 	       0        4        4        0        0
20640 firmware-intelwimax                	       0      115      114        0        1
20641 firmware-linux                     	       0      387        0        0      387
20642 firmware-linux-nonfree             	       0      692        3        0      689
20643 firmware-microbit-micropython      	       0        3        0        0        3
20644 firmware-microbit-micropython-doc  	       0        2        0        0        2
20645 firmware-netronome                 	       0       14       13        1        0
20646 firmware-nvidia-tesla-gsp          	       0        5        5        0        0
20647 firmware-qcom-media                	       0        7        1        0        6
20648 firmware-qcom-soc                  	       0        8        8        0        0
20649 firmware-radeon-ucode              	       0        2        2        0        0
20650 firmware-ralink                    	       0       48        0        0       48
20651 firmware-realtek-rtl8723cs-bt      	       0       12       12        0        0
20652 firmware-samsung                   	       0       13       13        0        0
20653 firmware-siano                     	       0       11       10        1        0
20654 firmware-ti-connectivity           	       0      140      136        4        0
20655 firmware-tomu                      	       0        1        1        0        0
20656 fis-gtm                            	       0        4        0        0        4
20657 fis-gtm-6.3-007                    	       0        1        0        0        1
20658 fis-gtm-6.3-014                    	       0        1        0        0        1
20659 fis-gtm-7.0                        	       0        3        0        0        3
20660 fische                             	       0        4        4        0        0
20661 fitgcp                             	       0        1        1        0        0
20662 fitscut                            	       0        2        2        0        0
20663 fitsh                              	       0        3        3        0        0
20664 fitspng                            	       0        9        9        0        0
20665 fitsverify                         	       0        2        2        0        0
20666 fityk                              	       0        3        3        0        0
20667 fiu-utils                          	       0        1        1        0        0
20668 fix-alttab                         	       0        1        1        0        0
20669 fizmo                              	       0        1        0        0        1
20670 fizmo-common                       	       0        1        0        0        1
20671 fizmo-console                      	       0        2        2        0        0
20672 fizmo-ncursesw                     	       0        3        3        0        0
20673 fizmo-sdl2                         	       0        5        5        0        0
20674 fl-cow                             	       0        3        3        0        0
20675 flacon                             	       0        1        1        0        0
20676 flactag                            	       0       31       30        1        0
20677 flake                              	       0       18       18        0        0
20678 flam3                              	       0        3        3        0        0
20679 flam3-palette                      	       0        1        0        0        1
20680 flam3-utils                        	       0        1        1        0        0
20681 flamerobin                         	       0        4        4        0        0
20682 flamp                              	       0        3        3        0        0
20683 flang-17                           	       0        1        1        0        0
20684 flang-19                           	       0        1        1        0        0
20685 flare                              	       0        4        0        0        4
20686 flare-data                         	       0        4        0        0        4
20687 flare-engine                       	       0       12       12        0        0
20688 flare-engine-build-deps            	       0        1        0        0        1
20689 flare-engine-dbgsym                	       0        1        1        0        0
20690 flare-game                         	       0       12        0        0       12
20691 flare-game-build-deps              	       0        1        0        0        1
20692 flash                              	       0        1        1        0        0
20693 flash-kernel                       	       0        7        7        0        0
20694 flasharch-player                   	       0        1        0        0        1
20695 flasher-3.5                        	       0        2        2        0        0
20696 flashplayer-chromium               	       0        3        2        0        1
20697 flashplayer-mozilla                	       0        8        8        0        0
20698 flashplugin-nonfree                	       0       32       32        0        0
20699 flashplugin-nonfree-extrasound     	       0        5        5        0        0
20700 flashprint                         	       0        1        0        0        1
20701 flashprint5                        	       0        1        0        0        1
20702 flatcam                            	       0        1        1        0        0
20703 flatpak-builder                    	       0       15       13        2        0
20704 flatpak-builder-tests              	       0        3        0        0        3
20705 flatpak-tests                      	       0        5        0        0        5
20706 flatpak-xdg-utils                  	       0       20        0        0       20
20707 flatpak-xdg-utils-tests            	       0        3        0        0        3
20708 flatzinc                           	       0        1        1        0        0
20709 flawfinder                         	       0        9        9        0        0
20710 flent                              	       0        9        9        0        0
20711 flex-doc                           	       0       21        0        0       21
20712 flexbackup                         	       0        2        2        0        0
20713 flexbar                            	       0        1        1        0        0
20714 flexc++                            	       0        4        4        0        0
20715 flexibee-client                    	       0        1        1        0        0
20716 flexloader                         	       0        3        3        0        0
20717 flexml                             	       0        2        2        0        0
20718 flexpart                           	       0        1        1        0        0
20719 flextra                            	       0        1        1        0        0
20720 flickcurl-utils                    	       0        1        1        0        0
20721 flif-tools                         	       0        1        1        0        0
20722 flight-of-the-amazon-queen         	       0       15       15        0        0
20723 flightcrew                         	       0        4        4        0        0
20724 flightgear                         	       0       21       20        1        0
20725 flightgear-data-ai                 	       0       21        0        0       21
20726 flightgear-data-all                	       0       21        0        0       21
20727 flightgear-data-base               	       0       21        0        0       21
20728 flightgear-data-models             	       0       21        0        0       21
20729 flightgear-phi                     	       0        7        0        0        7
20730 flin                               	       0        1        1        0        0
20731 fling                              	       0        1        1        0        0
20732 flintqs                            	       0       11       11        0        0
20733 flip                               	       0        9        9        0        0
20734 flit                               	       0        3        3        0        0
20735 flite                              	       0       11       11        0        0
20736 flite1-dev                         	       0       15       14        1        0
20737 floatbg                            	       0        5        5        0        0
20738 flobopuyo                          	       0       17       16        1        0
20739 flood                              	       0        1        1        0        0
20740 floppyd                            	       0        9        9        0        0
20741 florence                           	       0        7        7        0        0
20742 flowgrind                          	       0        2        2        0        0
20743 flpsed-data                        	       0       11        0        0       11
20744 fltk1.1-doc                        	       0        1        1        0        0
20745 fltk1.3-doc                        	       0       49       47        1        1
20746 fltk1.3-games                      	       0       11       11        0        0
20747 fluid                              	       0       51       50        1        0
20748 fluid-soundfont-gm                 	       0      311        0        0      311
20749 fluid-soundfont-gs                 	       0      147        0        0      147
20750 fluidr3mono-gm-soundfont           	       0       25        0        0       25
20751 fluidsynth-dssi                    	       0       10       10        0        0
20752 fluxbox-themes-stackrpms           	       0       13        0        0       13
20753 fluxconf                           	       0        2        2        0        0
20754 flvmeta                            	       0        1        1        0        0
20755 flvstreamer                        	       0       30       29        1        0
20756 flvtool2                           	       0        1        1        0        0
20757 flwm                               	       0       12       12        0        0
20758 flycheck-doc                       	       0        3        0        0        3
20759 flydraw                            	       0        3        3        0        0
20760 flying-carpet                      	       0        1        1        0        0
20761 fmit                               	       0       11       10        1        0
20762 fnlib-data                         	       0        2        0        0        2
20763 fnotifystat                        	       0        1        1        0        0
20764 fnt                                	       0        7        7        0        0
20765 fntsample                          	       0        3        3        0        0
20766 foiltex                            	       0        2        0        0        2
20767 folks-common                       	       0      142        0        0      142
20768 fondu                              	       0        6        6        0        0
20769 font-hosny-amiri                   	       0        1        0        0        1
20770 font-ttf-ibarra                    	       0        1        0        0        1
20771 font-viewer                        	       0       92        0        0       92
20772 fontconfig-config                  	       0     4049        0        0     4049
20773 fontcustom                         	       0        4        4        0        0
20774 fontforge-build-deps-depends       	       0        1        0        0        1
20775 fontforge-common                   	       0      112        0        0      112
20776 fontforge-dbgsym                   	       0        1        1        0        0
20777 fontforge-doc                      	       0       22        0        0       22
20778 fontforge-nox                      	       0        8        8        0        0
20779 fontmake                           	       0        2        2        0        0
20780 fontmanager.app                    	       0        4        4        0        0
20781 fontmatrix                         	       0       22       22        0        0
20782 fonts-agave                        	       0       48        1        0       47
20783 fonts-aksharyogini2                	       0        9        1        0        8
20784 fonts-alegreya-sans                	       0        7        0        0        7
20785 fonts-alexbrush                    	       0        1        0        0        1
20786 fonts-allerta                      	       0       14        0        0       14
20787 fonts-amiga                        	       0       39        0        0       39
20788 fonts-anonymous-pro                	       0       37        1        0       36
20789 fonts-aoyagi-kouzan-t              	       0       14        0        0       14
20790 fonts-aoyagi-soseki                	       0       14        0        0       14
20791 fonts-apropal                      	       0       12        0        0       12
20792 fonts-arapey                       	       0       11        0        0       11
20793 fonts-atarismall                   	       0       48        0        0       48
20794 fonts-atarist                      	       0        4        0        0        4
20795 fonts-atkinson-hyperlegible        	       0        5        0        0        5
20796 fonts-atkinson-hyperlegible-ttf    	       0        4        0        0        4
20797 fonts-atkinson-hyperlegible-web    	       0        3        0        0        3
20798 fonts-averia-gwf                   	       0       15        1        0       14
20799 fonts-averia-sans-gwf              	       0       16        1        0       15
20800 fonts-averia-serif-gwf             	       0       16        1        0       15
20801 fonts-babelstone-modern            	       0       12        0        0       12
20802 fonts-bajaderka                    	       0       12        0        0       12
20803 fonts-bebas-neue                   	       0       14        0        0       14
20804 fonts-beng                         	       0       57        0        0       57
20805 fonts-beteckna                     	       0       27        0        0       27
20806 fonts-blankenburg                  	       0       16        0        0       16
20807 fonts-bwht                         	       0       13        0        0       13
20808 fonts-cabinsketch                  	       0       20        0        0       20
20809 fonts-campania                     	       0       12        1        0       11
20810 fonts-cherrybomb                   	       0       13        0        0       13
20811 fonts-chomsky                      	       0        3        0        0        3
20812 fonts-circos-symbols               	       0       15        0        0       15
20813 fonts-cns11643-pixmaps             	       0        8        0        0        8
20814 fonts-compagnon                    	       0       12        0        0       12
20815 fonts-creep2                       	       0        9        0        0        9
20816 fonts-culmus-fancy                 	       0        6        0        0        6
20817 fonts-cwtex-docs                   	       0        7        0        0        7
20818 fonts-cwtex-fs                     	       0        8        0        0        8
20819 fonts-cwtex-kai                    	       0        8        0        0        8
20820 fonts-cwtex-ming                   	       0        8        1        0        7
20821 fonts-cwtex-yen                    	       0        8        0        0        8
20822 fonts-dancingscript                	       0       19        0        0       19
20823 fonts-dclfonts                     	       0        7        0        0        7
20824 fonts-dejavu                       	       0     2119        0        0     2119
20825 fonts-dejavu-web                   	       0       14        0        0       14
20826 fonts-deva                         	       0       59        0        0       59
20827 fonts-dosis                        	       0       28        0        0       28
20828 fonts-dotgothic16                  	       0        9        0        0        9
20829 fonts-dseg                         	       0       14        0        0       14
20830 fonts-eeyek                        	       0        9        0        0        9
20831 fonts-elusive-icons                	       0       50        0        0       50
20832 fonts-entypo                       	       0        9        0        0        9
20833 fonts-eurofurence                  	       0       15        0        0       15
20834 fonts-f500                         	       0       24        0        0       24
20835 fonts-fantasma                     	       0       12        0        0       12
20836 fonts-fantasque-sans               	       0       38        3        1       34
20837 fonts-fanwood                      	       0       20        0        0       20
20838 fonts-farsiweb                     	       0       14        0        0       14
20839 fonts-femkeklaver                  	       0       42        0        0       42
20840 fonts-ferrite-core                 	       0       13        0        0       13
20841 fonts-freefarsi                    	       0       13        0        0       13
20842 fonts-gamaliel                     	       0       14        0        0       14
20843 fonts-gargi                        	       0       69        1        0       68
20844 fonts-gemunu-libre                 	       0        9        0        0        9
20845 fonts-gfs-bodoni-classic           	       0      107        0        0      107
20846 fonts-gfs-complutum                	       0      194        0        0      194
20847 fonts-gfs-didot-classic            	       0      109        0        0      109
20848 fonts-gfs-gazis                    	       0      107        0        0      107
20849 fonts-gfs-theokritos               	       0      107        0        0      107
20850 fonts-glewlwyd                     	       0        3        0        0        3
20851 fonts-glyphicons-halflings         	       0      255        3        0      252
20852 fonts-gnutypewriter                	       0       19        0        0       19
20853 fonts-gotico-antiqua               	       0       11        0        0       11
20854 fonts-goudybookletter              	       0       21        0        0       21
20855 fonts-gr-framework                 	       0        1        0        0        1
20856 fonts-gubbi                        	       0       58        0        0       58
20857 fonts-gujr                         	       0       59        0        0       59
20858 fonts-guru                         	       0       57        0        0       57
20859 fonts-hack-otf                     	       0       22        0        0       22
20860 fonts-hack-ttf                     	       0       40        0        0       40
20861 fonts-hack-web                     	       0       20        0        0       20
20862 fonts-havana                       	       0       14        0        0       14
20863 fonts-hermit                       	       0       15        0        0       15
20864 fonts-horai-umefont                	       0       15        1        0       14
20865 fonts-hosny-thabit                 	       0       11        0        0       11
20866 fonts-humor-sans                   	       0       27        0        0       27
20867 fonts-ibm-plex                     	       0       24        5        0       19
20868 fonts-indic                        	       0       30        0        0       30
20869 fonts-iosevka                      	       0        2        2        0        0
20870 fonts-ipaexfont                    	       0       20        0        0       20
20871 fonts-ipaexfont-mincho             	       0       84        1        0       83
20872 fonts-ipafont                      	       0       63        0        0       63
20873 fonts-ipafont-nonfree-jisx0208     	       0       11        0        0       11
20874 fonts-ipafont-nonfree-uigothic     	       0       10        0        0       10
20875 fonts-isabella                     	       0       36        1        0       35
20876 fonts-jetbrains-mono-web           	       0        4        0        0        4
20877 fonts-johnsmith-induni             	       0       14        0        0       14
20878 fonts-joscelyn                     	       0       10        0        0       10
20879 fonts-jsmath                       	       0       27        1        0       26
20880 fonts-junction                     	       0       18        0        0       18
20881 fonts-kacst                        	       0       23        1        0       22
20882 fonts-kacst-one                    	       0       27        1        0       26
20883 fonts-kalapi                       	       0       60        0        0       60
20884 fonts-karla                        	       0       17        0        0       17
20885 fonts-karmilla                     	       0       11        0        0       11
20886 fonts-kaushanscript                	       0       14        0        0       14
20887 fonts-kiloji                       	       0       12        0        0       12
20888 fonts-klaudia-berenika             	       0       17        1        0       16
20889 fonts-klee                         	       0       11        0        0       11
20890 fonts-knda                         	       0       57        0        0       57
20891 fonts-knda-extra                   	       0        6        0        0        6
20892 fonts-komatuna                     	       0       11        0        0       11
20893 fonts-konatu                       	       0       13        1        0       12
20894 fonts-kouzan-mouhitsu              	       0       11        0        0       11
20895 fonts-kristi                       	       0       18        1        0       17
20896 fonts-lao                          	       0       12        0        0       12
20897 fonts-larabie-deco                 	       0       20        1        0       19
20898 fonts-larabie-uncommon             	       0       18        2        0       16
20899 fonts-ldco                         	       0        7        0        0        7
20900 fonts-le-murmure                   	       0       11        0        0       11
20901 fonts-league-mono                  	       0       16        0        0       16
20902 fonts-league-spartan               	       0       52        1        0       51
20903 fonts-leckerli-one                 	       0       15        0        0       15
20904 fonts-lemonada                     	       0        9        0        0        9
20905 fonts-levien-museum                	       0       17        0        0       17
20906 fonts-levien-typoscript            	       0       13        0        0       13
20907 fonts-lexi-gulim                   	       0        9        0        0        9
20908 fonts-lexi-saebom                  	       0        9        0        0        9
20909 fonts-libfinal                     	       0        5        0        0        5
20910 fonts-lindenhill                   	       0       16        0        0       16
20911 fonts-lohit-deva-nepali            	       0        7        0        0        7
20912 fonts-lohit-mlym                   	       0       33        1        0       32
20913 fonts-lohit-taml-classical         	       0       61        0        0       61
20914 fonts-londrinaoutline              	       0        1        0        0        1
20915 fonts-lxgw-wenkai-doc              	       0        4        0        0        4
20916 fonts-maitreya                     	       0        3        1        0        2
20917 fonts-manchufont                   	       0       11        0        0       11
20918 fonts-materialdesignicons-webfont  	       0       19        0        0       19
20919 fonts-mathematica                  	       0        6        0        0        6
20920 fonts-mathjax-extras               	       0       43        0        0       43
20921 fonts-meera-inimai                 	       0        8        0        0        8
20922 fonts-meera-taml                   	       0        6        0        0        6
20923 fonts-mgopen                       	       0        3        0        0        3
20924 fonts-migmix                       	       0       12        0        0       12
20925 fonts-mikachan                     	       0        7        0        0        7
20926 fonts-millimetre                   	       0       11        0        0       11
20927 fonts-misaki                       	       0       13        0        0       13
20928 fonts-mlym                         	       0       32        0        0       32
20929 fonts-mmcedar                      	       0       12        0        0       12
20930 fonts-moe-standard-kai             	       0        8        0        0        8
20931 fonts-moe-standard-song            	       0        8        0        0        8
20932 fonts-monapo                       	       0       13        1        0       12
20933 fonts-monlam                       	       0        7        0        0        7
20934 fonts-monofur                      	       0       25        0        0       25
20935 fonts-monoid-halfloose             	       0       15        0        0       15
20936 fonts-monoid-halftight             	       0       16        0        0       16
20937 fonts-monoid-loose                 	       0       15        0        0       15
20938 fonts-monoid-tight                 	       0       14        0        0       14
20939 fonts-montserrat                   	       0        5        0        0        5
20940 fonts-morisawa-bizud-gothic        	       0        6        0        0        6
20941 fonts-morisawa-bizud-mincho        	       0        6        0        0        6
20942 fonts-motoya-l-cedar               	       0       15        0        0       15
20943 fonts-motoya-l-maruberi            	       0       10        0        0       10
20944 fonts-mplus                        	       0       28        0        0       28
20945 fonts-myanmar                      	       0        5        0        0        5
20946 fonts-nafees                       	       0       12        0        0       12
20947 fonts-nakula                       	       0       73        0        0       73
20948 fonts-nanum-coding                 	       0       11        0        0       11
20949 fonts-nanum-eco                    	       0       10        0        0       10
20950 fonts-nanum-extra                  	       0       11        0        0       11
20951 fonts-national-park                	       0        9        0        0        9
20952 fonts-naver-d2coding               	       0        8        0        0        8
20953 fonts-navilu                       	       0       58        0        0       58
20954 fonts-nerd-font-bitstreamverasansmono	       0        1        0        0        1
20955 fonts-nerd-font-cousine            	       0        1        1        0        0
20956 fonts-nerd-font-hack               	       0        1        0        0        1
20957 fonts-nerd-font-meslo              	       0        1        0        0        1
20958 fonts-nerd-font-mononoki           	       0        1        0        0        1
20959 fonts-nerd-font-robotomono         	       0        1        0        0        1
20960 fonts-nerd-font-sourcecodepro      	       0        1        0        0        1
20961 fonts-noto                         	       0      876        0        0      876
20962 fonts-noto-hinted                  	       0      624        0        0      624
20963 fonts-noto-unhinted                	       0      866        0        0      866
20964 fonts-ocr-b                        	       0       28        0        0       28
20965 fonts-octicons                     	       0        5        0        0        5
20966 fonts-oflb-euterpe                 	       0       20        0        0       20
20967 fonts-okolaks                      	       0       13        0        0       13
20968 fonts-oldstandard                  	       0       26        1        0       25
20969 fonts-opendin                      	       0       26        0        0       26
20970 fonts-opendyslexic                 	       0       22        0        0       22
20971 fonts-orya                         	       0       56        0        0       56
20972 fonts-osifont                      	       0       27        0        0       27
20973 fonts-ottilie                      	       0        3        0        0        3
20974 fonts-pagul                        	       0       31        0        0       31
20975 fonts-pecita                       	       0       13        0        0       13
20976 fonts-play                         	       0       18        0        0       18
20977 fonts-prociono                     	       0       21        0        0       21
20978 fonts-proggy                       	       0       13        0        0       13
20979 fonts-quattrocento                 	       0       28        0        0       28
20980 fonts-radisnoir                    	       0       24        0        0       24
20981 fonts-rampart                      	       0        9        0        0        9
20982 fonts-recommended                  	       0       28        0        0       28
20983 fonts-reggae                       	       0        9        0        0        9
20984 fonts-rit-sundar                   	       0        7        0        0        7
20985 fonts-roadgeek                     	       0       11        0        0       11
20986 fonts-roboto                       	       0      130        0        0      130
20987 fonts-roboto-hinted                	       0      126        0        0      126
20988 fonts-rocknroll                    	       0        9        0        0        9
20989 fonts-routed-gothic                	       0        9        0        0        9
20990 fonts-rufscript                    	       0       20        0        0       20
20991 fonts-sahadeva                     	       0       62        0        0       62
20992 fonts-sahel                        	       0        3        0        0        3
20993 fonts-sahel-variable               	       0        3        0        0        3
20994 fonts-sambhota-tsugring            	       0       10        0        0       10
20995 fonts-sambhota-yigchung            	       0       10        0        0       10
20996 fonts-samyak                       	       0       10        0        0       10
20997 fonts-samyak-mlym                  	       0       32        0        0       32
20998 fonts-samyak-taml                  	       0       62        1        0       61
20999 fonts-sarai                        	       0       61        0        0       61
21000 fonts-sawarabi-mincho              	       0       14        0        0       14
21001 fonts-schraubenkiste               	       0        1        0        0        1
21002 fonts-senamirmir-washra            	       0       11        0        0       11
21003 fonts-sil-akatab                   	       0        6        0        0        6
21004 fonts-sil-alkalami                 	       0        9        0        0        9
21005 fonts-sil-andika-compact           	       0       11        0        0       11
21006 fonts-sil-andikanewbasic           	       0       12        0        0       12
21007 fonts-sil-awami-nastaliq           	       0       10        0        0       10
21008 fonts-sil-charis-compact           	       0       13        0        0       13
21009 fonts-sil-doulos-compact           	       0       20        0        0       20
21010 fonts-sil-ezra                     	       0       15        0        0       15
21011 fonts-sil-galatia                  	       0       15        0        0       15
21012 fonts-sil-harmattan                	       0       10        0        0       10
21013 fonts-sil-mingzat                  	       0        9        0        0        9
21014 fonts-sil-mondulkiri               	       0       10        0        0       10
21015 fonts-sil-mondulkiri-extra         	       0       10        0        0       10
21016 fonts-sil-scheherazade             	       0       17        0        0       17
21017 fonts-sil-shimenkan                	       0        8        0        0        8
21018 fonts-sil-shimenkan-gsm            	       0        7        0        0        7
21019 fonts-sil-shimenkan-guifan         	       0        7        0        0        7
21020 fonts-sil-shimenkan-mas            	       0        7        0        0        7
21021 fonts-sil-shimenkan-mgs            	       0        7        0        0        7
21022 fonts-sil-shimenkan-salaowu        	       0        7        0        0        7
21023 fonts-sil-shimenkan-sapushan       	       0        7        0        0        7
21024 fonts-sil-shimenkan-taogu          	       0        7        0        0        7
21025 fonts-sil-shimenkan-zonghe         	       0        7        0        0        7
21026 fonts-sil-sophia-nubian            	       0       12        0        0       12
21027 fonts-sil-tagmukay                 	       0        9        0        0        9
21028 fonts-sil-taiheritagepro           	       0       11        1        0       10
21029 fonts-sil-zaghawa-beria            	       0       11        0        0       11
21030 fonts-sipa-arundina                	       0       10        0        0       10
21031 fonts-sixtyfour                    	       0       40        0        0       40
21032 fonts-smc                          	       0       32        0        0       32
21033 fonts-smc-gayathri                 	       0       29        0        0       29
21034 fonts-smc-karumbi                  	       0       30        0        0       30
21035 fonts-smc-keraleeyam               	       0       30        0        0       30
21036 fonts-smc-manjari                  	       0       30        0        0       30
21037 fonts-smc-raghumalayalamsans       	       0       30        0        0       30
21038 fonts-smc-suruma                   	       0       30        0        0       30
21039 fonts-smc-uroob                    	       0       30        0        0       30
21040 fonts-smiley-sans                  	       0        5        0        0        5
21041 fonts-sn-pro                       	       0        3        0        0        3
21042 fonts-solide-mirage                	       0        9        0        0        9
21043 fonts-sora                         	       0        9        0        0        9
21044 fonts-spleen                       	       0       23        0        0       23
21045 fonts-staypuft                     	       0       26        0        0       26
21046 fonts-stick                        	       0       11        0        0       11
21047 fonts-summersby                    	       0       21        0        0       21
21048 fonts-takao                        	       0       16        0        0       16
21049 fonts-takao-mincho                 	       0       23        0        0       23
21050 fonts-taml                         	       0       61        0        0       61
21051 fonts-taml-tamu                    	       0        8        0        0        8
21052 fonts-taml-tscu                    	       0       10        0        0       10
21053 fonts-telu                         	       0       60        0        0       60
21054 fonts-texmacs                      	       0        1        0        0        1
21055 fonts-thai-tlwg                    	       0       22        0        0       22
21056 fonts-thai-tlwg-otf                	       0       10        0        0       10
21057 fonts-thai-tlwg-ttf                	       0        8        0        0        8
21058 fonts-thai-tlwg-web                	       0       10        0        0       10
21059 fonts-tiresias                     	       0       21        0        0       21
21060 fonts-tlwg-garuda                  	       0      115        0        0      115
21061 fonts-tlwg-garuda-ttf              	       0       23        0        0       23
21062 fonts-tlwg-kinnari                 	       0      112        0        0      112
21063 fonts-tlwg-kinnari-ttf             	       0       21        0        0       21
21064 fonts-tlwg-laksaman                	       0      112        0        0      112
21065 fonts-tlwg-laksaman-ttf            	       0       21        0        0       21
21066 fonts-tlwg-loma                    	       0      112        0        0      112
21067 fonts-tlwg-loma-ttf                	       0       21        0        0       21
21068 fonts-tlwg-mono                    	       0      113        0        0      113
21069 fonts-tlwg-mono-ttf                	       0       22        0        0       22
21070 fonts-tlwg-norasi                  	       0      112        0        0      112
21071 fonts-tlwg-norasi-ttf              	       0       21        0        0       21
21072 fonts-tlwg-purisa                  	       0      113        0        0      113
21073 fonts-tlwg-sawasdee                	       0      111        0        0      111
21074 fonts-tlwg-sawasdee-ttf            	       0       20        0        0       20
21075 fonts-tlwg-typewriter              	       0      111        0        0      111
21076 fonts-tlwg-typewriter-ttf          	       0       20        0        0       20
21077 fonts-tlwg-typist                  	       0      111        0        0      111
21078 fonts-tlwg-typist-ttf              	       0       20        0        0       20
21079 fonts-tlwg-typo                    	       0      111        0        0      111
21080 fonts-tlwg-umpush                  	       0      111        0        0      111
21081 fonts-tlwg-umpush-ttf              	       0       20        0        0       20
21082 fonts-tlwg-waree                   	       0      120        0        0      120
21083 fonts-tomsontalks                  	       0       23        0        0       23
21084 fonts-train                        	       0       11        0        0       11
21085 fonts-tt2020                       	       0        3        0        0        3
21086 fonts-ttf-decoratives              	       0        1        0        0        1
21087 fonts-ttf-west-european            	       0        1        0        0        1
21088 fonts-twemoji-svginot              	       0        1        1        0        0
21089 fonts-type1-cyrillic               	       0        1        0        0        1
21090 fonts-ubuntu-console               	       0       22        0        0       22
21091 fonts-ubuntu-font-family-console   	       0        1        0        0        1
21092 fonts-ubuntu-title                 	       0       23        0        0       23
21093 fonts-umeplus-cl                   	       0       10        0        0       10
21094 fonts-unfonts-extra                	       0      109        1        0      108
21095 fonts-unifrakturcook               	       0        1        0        0        1
21096 fonts-unifrakturmaguntia           	       0        1        0        0        1
21097 fonts-unikurdweb                   	       0       13        0        0       13
21098 fonts-uniol                        	       0        6        0        0        6
21099 fonts-vazirmatn-variable           	       0        3        0        0        3
21100 fonts-weather-icons                	       0        3        0        0        3
21101 fonts-woowa-bm                     	       0        7        0        0        7
21102 fonts-woowa-hanna                  	       0        3        0        0        3
21103 fonts-xfree86-nonfree-syriac       	       0        8        1        0        7
21104 fonts-yanone-kaffeesatz            	       0       20        1        0       19
21105 fonts-yozvox-yozfont               	       0       12        0        0       12
21106 fonts-yozvox-yozfont-cute          	       0       12        0        0       12
21107 fonts-yozvox-yozfont-edu           	       0       12        0        0       12
21108 fonts-yozvox-yozfont-new-kana      	       0       12        0        0       12
21109 fonts-yozvox-yozfont-standard-kana 	       0       12        0        0       12
21110 fonts-yusei-magic                  	       0        9        0        0        9
21111 fonttools-eexecop                  	       0        1        1        0        0
21112 fonty                              	       0        1        0        0        1
21113 fontypython                        	       0        3        3        0        0
21114 foo-yc20                           	       0        1        1        0        0
21115 foo2zjs                            	       0        3        0        0        3
21116 foobillard                         	       0        1        1        0        0
21117 foobillardplus                     	       0       10       10        0        0
21118 foobillardplus-data                	       0       10        0        0       10
21119 fookb                              	       0        2        2        0        0
21120 fookb-wmaker                       	       0        1        0        0        1
21121 foomatic-db                        	       0      117        0        0      117
21122 foomatic-db-gutenprint             	       0       17        0        0       17
21123 foomatic-filters                   	       0        5        5        0        0
21124 foomatic-filters-ppds              	       0        1        0        0        1
21125 foomatic-gui                       	       0        1        1        0        0
21126 foot-terminfo                      	       0       48        0        0       48
21127 foot-themes                        	       0        6        0        0        6
21128 fop-doc                            	       0        9        0        0        9
21129 fopnu                              	       0        1        1        0        0
21130 forensic-artifacts                 	       0       22        0        0       22
21131 forensics-all                      	       0       15        0        0       15
21132 forensics-all-gui                  	       0        4        0        0        4
21133 forensics-extra                    	       0       15        0        0       15
21134 forensics-extra-gui                	       0        6        0        0        6
21135 forensics-full                     	       0        4        0        0        4
21136 forensics-samples-all              	       0        5        0        0        5
21137 forensics-samples-btrfs            	       0        5        0        0        5
21138 forensics-samples-exfat            	       0        5        0        0        5
21139 forensics-samples-ext2             	       0        5        0        0        5
21140 forensics-samples-ext4             	       0        5        0        0        5
21141 forensics-samples-files            	       0        6        0        0        6
21142 forensics-samples-multiple         	       0        5        0        0        5
21143 forensics-samples-ntfs             	       0        6        0        0        6
21144 forensics-samples-tools            	       0        7        7        0        0
21145 forensics-samples-vfat             	       0        5        0        0        5
21146 forg                               	       0        2        2        0        0
21147 forge-doc                          	       0        1        0        0        1
21148 forked-daapd                       	       0        2        2        0        0
21149 forkstat                           	       0        3        3        0        0
21150 formiko                            	       0        9        9        0        0
21151 fort77                             	       0        8        8        0        0
21152 forticlient-sslvpn                 	       0        1        0        0        1
21153 fortran-language-server            	       0        2        2        0        0
21154 fortunate.app                      	       0        2        2        0        0
21155 fortune-anarchism                  	       0       16        0        0       16
21156 fortune-zh                         	       0        1        1        0        0
21157 fortunes                           	       0       89        0        0       89
21158 fortunes-americanpie               	       0        1        0        0        1
21159 fortunes-beastwars                 	       0        1        0        0        1
21160 fortunes-bofh-excuses              	       0       53        0        0       53
21161 fortunes-br                        	       0        2        0        0        2
21162 fortunes-calvin                    	       0        1        0        0        1
21163 fortunes-ccs                       	       0        1        0        0        1
21164 fortunes-cs                        	       0        1        0        0        1
21165 fortunes-de                        	       0        7        7        0        0
21166 fortunes-debian-hints              	       0       64        0        0       64
21167 fortunes-devuan-quotes             	       0        1        0        0        1
21168 fortunes-discworld                 	       0        1        0        0        1
21169 fortunes-dragonball                	       0        1        0        0        1
21170 fortunes-ens                       	       0        1        0        0        1
21171 fortunes-eo                        	       0        1        0        0        1
21172 fortunes-eo-ascii                  	       0        1        0        0        1
21173 fortunes-eo-iso3                   	       0        1        0        0        1
21174 fortunes-es                        	       0        5        0        0        5
21175 fortunes-es-off                    	       0        3        0        0        3
21176 fortunes-fgump                     	       0        1        0        0        1
21177 fortunes-fr                        	       0        4        0        0        4
21178 fortunes-futurama                  	       0        1        0        0        1
21179 fortunes-ga                        	       0        1        0        0        1
21180 fortunes-hayward                   	       0        1        0        0        1
21181 fortunes-hitchhiker                	       0        1        0        0        1
21182 fortunes-it                        	       0      117        0        0      117
21183 fortunes-it-brianza                	       0        1        0        0        1
21184 fortunes-it-off                    	       0        4        0        0        4
21185 fortunes-kernelcookies             	       0        1        0        0        1
21186 fortunes-liberty                   	       0        1        0        0        1
21187 fortunes-mario                     	       0        5        0        0        5
21188 fortunes-matrix                    	       0        1        0        0        1
21189 fortunes-min                       	       0     1137        0        0     1137
21190 fortunes-off                       	       0       27        0        0       27
21191 fortunes-opensources               	       0        1        0        0        1
21192 fortunes-outlawstar                	       0        1        0        0        1
21193 fortunes-pl                        	       0        2        0        0        2
21194 fortunes-pooh                      	       0        1        0        0        1
21195 fortunes-programming-style         	       0        1        0        0        1
21196 fortunes-ru                        	       0        7        0        0        7
21197 fortunes-simpsons                  	       0        1        0        0        1
21198 fortunes-simpsons-chalkboard       	       0        1        0        0        1
21199 fortunes-simpsons-comicbookguy     	       0        1        0        0        1
21200 fortunes-simpsons-ralph            	       0        1        0        0        1
21201 fortunes-southpark                 	       0        1        0        0        1
21202 fortunes-starwars                  	       0        1        0        0        1
21203 fortunes-stephenwright             	       0        1        0        0        1
21204 fortunes-tao                       	       0        1        0        0        1
21205 fortunes-tenchi                    	       0        1        0        0        1
21206 fortunes-xfiles                    	       0        1        0        0        1
21207 fortunes-xian-koans                	       0        1        0        0        1
21208 fortunes-zh                        	       0        1        1        0        0
21209 fosfat                             	       0        2        2        0        0
21210 fotoxx-common                      	       0       24        0        0       24
21211 foxtrotgps                         	       0       14       14        0        0
21212 fp-compiler                        	       0       38        0        0       38
21213 fp-compiler-2.6.4                  	       0        4        4        0        0
21214 fp-compiler-3.0.0                  	       0        6        6        0        0
21215 fp-compiler-3.0.4                  	       0        8        8        0        0
21216 fp-docs                            	       0        9        0        0        9
21217 fp-docs-2.6.0                      	       0        1        0        0        1
21218 fp-docs-3.0.0                      	       0        5        0        0        5
21219 fp-docs-3.0.4                      	       0        4        0        0        4
21220 fp-docs-3.2.0                      	       0       15        0        0       15
21221 fp-docs-3.2.2                      	       0       77        0        0       77
21222 fp-ide                             	       0       30        0        0       30
21223 fp-ide-2.6.4                       	       0        1        1        0        0
21224 fp-ide-3.0.0                       	       0        6        6        0        0
21225 fp-ide-3.0.4                       	       0        4        4        0        0
21226 fp-ide-3.2.0                       	       0       10       10        0        0
21227 fp-units-base                      	       0       11        0        0       11
21228 fp-units-base-2.6.4                	       0        3        3        0        0
21229 fp-units-base-3.0.0                	       0        6        6        0        0
21230 fp-units-base-3.0.4                	       0        3        0        0        3
21231 fp-units-base-3.2.0                	       0       12        0        0       12
21232 fp-units-base-3.2.2                	       0       75        0        0       75
21233 fp-units-castle-game-engine        	       0        5        5        0        0
21234 fp-units-db                        	       0        6        0        0        6
21235 fp-units-db-2.6.4                  	       0        1        1        0        0
21236 fp-units-db-3.0.0                  	       0        6        6        0        0
21237 fp-units-db-3.0.4                  	       0        3        0        0        3
21238 fp-units-db-3.2.0                  	       0       10        0        0       10
21239 fp-units-db-3.2.2                  	       0       75        0        0       75
21240 fp-units-fcl                       	       0       12        0        0       12
21241 fp-units-fcl-2.6.4                 	       0        1        0        0        1
21242 fp-units-fcl-3.0.0                 	       0        6        6        0        0
21243 fp-units-fcl-3.0.4                 	       0        3        0        0        3
21244 fp-units-fcl-3.2.0                 	       0       11        0        0       11
21245 fp-units-fcl-3.2.2                 	       0       75        0        0       75
21246 fp-units-fv                        	       0        5        0        0        5
21247 fp-units-fv-2.6.4                  	       0        1        1        0        0
21248 fp-units-fv-3.0.0                  	       0        6        6        0        0
21249 fp-units-fv-3.0.4                  	       0        3        0        0        3
21250 fp-units-fv-3.2.0                  	       0       10        0        0       10
21251 fp-units-fv-3.2.2                  	       0       75        0        0       75
21252 fp-units-gfx                       	       0        6        0        0        6
21253 fp-units-gfx-2.6.4                 	       0        3        3        0        0
21254 fp-units-gfx-3.0.0                 	       0        6        6        0        0
21255 fp-units-gfx-3.0.4                 	       0        3        0        0        3
21256 fp-units-gfx-3.2.0                 	       0       11        0        0       11
21257 fp-units-gfx-3.2.2                 	       0       75        0        0       75
21258 fp-units-gtk2                      	       0        9        0        0        9
21259 fp-units-gtk2-2.6.4                	       0        1        1        0        0
21260 fp-units-gtk2-3.0.0                	       0        5        5        0        0
21261 fp-units-gtk2-3.0.4                	       0        2        0        0        2
21262 fp-units-gtk2-3.2.0                	       0        9        0        0        9
21263 fp-units-gtk2-3.2.2                	       0       72        0        0       72
21264 fp-units-i386                      	       0        3        0        0        3
21265 fp-units-i386-3.2.0                	       0        2        0        0        2
21266 fp-units-i386-3.2.2                	       0        2        0        0        2
21267 fp-units-math                      	       0        5        0        0        5
21268 fp-units-math-2.6.4                	       0        1        1        0        0
21269 fp-units-math-3.0.0                	       0        6        6        0        0
21270 fp-units-math-3.0.4                	       0        3        0        0        3
21271 fp-units-math-3.2.0                	       0       10        0        0       10
21272 fp-units-math-3.2.2                	       0       75        0        0       75
21273 fp-units-misc                      	       0       10        0        0       10
21274 fp-units-misc-2.6.4                	       0        1        1        0        0
21275 fp-units-misc-3.0.0                	       0        6        6        0        0
21276 fp-units-misc-3.0.4                	       0        3        0        0        3
21277 fp-units-misc-3.2.0                	       0       11        0        0       11
21278 fp-units-misc-3.2.2                	       0       75        0        0       75
21279 fp-units-multimedia                	       0        5        0        0        5
21280 fp-units-multimedia-3.0.0          	       0        6        6        0        0
21281 fp-units-multimedia-3.0.4          	       0        3        0        0        3
21282 fp-units-multimedia-3.2.0          	       0       11        0        0       11
21283 fp-units-multimedia-3.2.2          	       0       75        0        0       75
21284 fp-units-net                       	       0        5        0        0        5
21285 fp-units-net-2.6.4                 	       0        1        1        0        0
21286 fp-units-net-3.0.0                 	       0        6        6        0        0
21287 fp-units-net-3.0.4                 	       0        3        0        0        3
21288 fp-units-net-3.2.0                 	       0       10        0        0       10
21289 fp-units-net-3.2.2                 	       0       75        0        0       75
21290 fp-units-rtl                       	       0        7        0        0        7
21291 fp-units-rtl-2.6.4                 	       0        4        4        0        0
21292 fp-units-rtl-3.0.0                 	       0        6        6        0        0
21293 fp-units-rtl-3.0.4                 	       0        8        0        0        8
21294 fp-units-rtl-3.2.0                 	       0       23        0        0       23
21295 fp-units-wasm                      	       0        2        0        0        2
21296 fp-units-wasm-3.2.2                	       0        2        0        0        2
21297 fp-units-win-base                  	       0        1        0        0        1
21298 fp-units-win-base-3.2.2            	       0        1        0        0        1
21299 fp-units-win-db                    	       0        1        0        0        1
21300 fp-units-win-db-3.2.2              	       0        1        0        0        1
21301 fp-units-win-fcl                   	       0        1        0        0        1
21302 fp-units-win-fcl-3.2.2             	       0        1        0        0        1
21303 fp-units-win-fv                    	       0        1        0        0        1
21304 fp-units-win-fv-3.2.2              	       0        1        0        0        1
21305 fp-units-win-gfx                   	       0        1        0        0        1
21306 fp-units-win-gfx-3.2.2             	       0        1        0        0        1
21307 fp-units-win-gtk2                  	       0        1        0        0        1
21308 fp-units-win-gtk2-3.2.2            	       0        1        0        0        1
21309 fp-units-win-math                  	       0        1        0        0        1
21310 fp-units-win-math-3.2.2            	       0        1        0        0        1
21311 fp-units-win-misc                  	       0        1        0        0        1
21312 fp-units-win-misc-3.2.2            	       0        1        0        0        1
21313 fp-units-win-multimedia            	       0        1        0        0        1
21314 fp-units-win-multimedia-3.2.2      	       0        1        0        0        1
21315 fp-units-win-net                   	       0        1        0        0        1
21316 fp-units-win-net-3.2.2             	       0        1        0        0        1
21317 fp-units-win-rtl                   	       0        1        0        0        1
21318 fp-units-win-rtl-3.2.2             	       0        1        0        0        1
21319 fp-units-win-wasm                  	       0        1        0        0        1
21320 fp-units-win-wasm-3.2.2            	       0        1        0        0        1
21321 fp-utils-2.6.4                     	       0        3        3        0        0
21322 fp-utils-3.0.0                     	       0        5        5        0        0
21323 fp-utils-3.0.4                     	       0        8        8        0        0
21324 fpa-admin-utils                    	       0        1        1        0        0
21325 fpa-ansible-essentials             	       0        7        0        0        7
21326 fpa-apt-listchanges                	       0        1        0        0        1
21327 fpa-audio-manipulation             	       0        5        5        0        0
21328 fpa-autostart-x                    	       0        1        0        0        1
21329 fpa-backup-scripts                 	       0       10        9        1        0
21330 fpa-bash-lib                       	       0       10        9        1        0
21331 fpa-benchmark                      	       0        4        4        0        0
21332 fpa-black-scholes                  	       0        3        3        0        0
21333 fpa-brainworkshop                  	       0        2        2        0        0
21334 fpa-btrfs-utils                    	       0        2        1        1        0
21335 fpa-chromedriver                   	       0        1        0        0        1
21336 fpa-chromium-sandboxed             	       0        1        0        0        1
21337 fpa-clipfixfilename                	       0        3        3        0        0
21338 fpa-config-apache-proxy-pass       	       0        2        0        0        2
21339 fpa-config-dotfiles                	       0        9        8        1        0
21340 fpa-config-ethers                  	       0        2        0        0        2
21341 fpa-config-hblock                  	       0        1        1        0        0
21342 fpa-config-lyx                     	       0        2        0        0        2
21343 fpa-config-openvpn                 	       0        5        5        0        0
21344 fpa-config-ssh-server              	       0        3        0        0        3
21345 fpa-config-sudo                    	       0       10        0        0       10
21346 fpa-config-sword                   	       0        4        4        0        0
21347 fpa-config-taskwarrior             	       0        3        0        0        3
21348 fpa-config-vim                     	       0        7        7        0        0
21349 fpa-containers-essentials-lxc      	       0        3        0        0        3
21350 fpa-debian-autoupdates             	       0        8        0        0        8
21351 fpa-debian-updater                 	       0        8        8        0        0
21352 fpa-desktop-common                 	       0        1        0        0        1
21353 fpa-dev-debianbuild                	       0        1        1        0        0
21354 fpa-dev-generic                    	       0        3        3        0        0
21355 fpa-drupal-scripts                 	       0        2        2        0        0
21356 fpa-firefox                        	       0        2        2        0        0
21357 fpa-fixfilenames-perl              	       0        4        4        0        0
21358 fpa-fot                            	       0        2        0        0        2
21359 fpa-fuzzy-tools                    	       0        1        1        0        0
21360 fpa-general-scripts                	       0       10        9        1        0
21361 fpa-general-x                      	       0        7        6        1        0
21362 fpa-getlinks-python                	       0        3        3        0        0
21363 fpa-gitman                         	       0        7        6        1        0
21364 fpa-google-chrome-repo             	       0        3        0        0        3
21365 fpa-holyday-calendar               	       0        2        2        0        0
21366 fpa-html-utils                     	       0        2        2        0        0
21367 fpa-investment-calculations        	       0        4        3        1        0
21368 fpa-iptables-config-doc            	       0        1        0        0        1
21369 fpa-ksounds                        	       0        1        1        0        0
21370 fpa-ksounds-files                  	       0        1        0        0        1
21371 fpa-lanemotions                    	       0        2        2        0        0
21372 fpa-latex-scripts                  	       0        2        2        0        0
21373 fpa-lxc-utils                      	       0        2        2        0        0
21374 fpa-meta-admin                     	       0        1        0        0        1
21375 fpa-metal-essentials               	       0        4        0        0        4
21376 fpa-mktree                         	       0        2        2        0        0
21377 fpa-mountiso                       	       0        1        1        0        0
21378 fpa-mp3info                        	       0        4        0        0        4
21379 fpa-mp3info-python                 	       0        1        1        0        0
21380 fpa-mp3tools                       	       0        1        0        0        1
21381 fpa-network-scripts                	       0        7        6        1        0
21382 fpa-nextcloud-kdocs-backup         	       0        1        1        0        0
21383 fpa-noip                           	       0        1        1        0        0
21384 fpa-nopulseaudio                   	       0        3        3        0        0
21385 fpa-ookla-speedtest                	       0        2        2        0        0
21386 fpa-pcloud                         	       0        3        3        0        0
21387 fpa-pipewire                       	       0        2        1        1        0
21388 fpa-pkg                            	       0        1        0        1        0
21389 fpa-pyscraper                      	       0        2        2        0        0
21390 fpa-python-modules                 	       0        1        1        0        0
21391 fpa-python-pyvirtualdisplay        	       0        3        2        0        1
21392 fpa-reboot-update                  	       0        5        5        0        0
21393 fpa-repo-brave-browser             	       0        3        0        0        3
21394 fpa-repo-devuan-backports          	       0        1        0        0        1
21395 fpa-repo-devuan-beowulf            	       0        4        0        0        4
21396 fpa-repo-devuan-chimaera           	       0        1        0        0        1
21397 fpa-repo-devuan-daedalus           	       0        2        0        0        2
21398 fpa-repo-elasticsearch             	       0        1        0        0        1
21399 fpa-repo-nextcloud                 	       0        3        0        0        3
21400 fpa-repo-nordvpn                   	       0        2        0        0        2
21401 fpa-repo-owncloud                  	       0        1        0        0        1
21402 fpa-repo-private                   	       0       10        0        0       10
21403 fpa-repo-recoll                    	       0        2        0        0        2
21404 fpa-repo-signal                    	       0        2        0        0        2
21405 fpa-repo-x2go                      	       0        3        0        0        3
21406 fpa-repo-xpra                      	       0        3        0        0        3
21407 fpa-rtunnel                        	       0        2        2        0        0
21408 fpa-scanner-scripts                	       0        1        1        0        0
21409 fpa-scrape-python                  	       0        1        1        0        0
21410 fpa-security-scripts               	       0        3        3        0        0
21411 fpa-services                       	       0        3        3        0        0
21412 fpa-silence-notifications          	       0        2        2        0        0
21413 fpa-sndreset                       	       0        2        2        0        0
21414 fpa-sync-scripts                   	       0        7        7        0        0
21415 fpa-system-monitor-temps           	       0        7        7        0        0
21416 fpa-test                           	       0        1        0        1        0
21417 fpa-thistothat-scripts             	       0        3        3        0        0
21418 fpa-web-scripts                    	       0        6        6        0        0
21419 fpa-webtools-apache                	       0        6        6        0        0
21420 fpa-wgetpaste                      	       0        1        1        0        0
21421 fpa-wine-scripts                   	       0        4        4        0        0
21422 fpa-xclip-scripts                  	       0        2        2        0        0
21423 fpa-xpad                           	       0        3        3        0        0
21424 fpc                                	       0       78        0        0       78
21425 fpc-3.0.0                          	       0        5        0        0        5
21426 fpc-3.0.4                          	       0        2        0        0        2
21427 fpc-3.2.0                          	       0        7        0        0        7
21428 fpc-3.2.2                          	       0       71        0        0       71
21429 fpc-laz                            	       0        7        7        0        0
21430 fpc-source                         	       0       15        0        0       15
21431 fpc-source-3.0.0                   	       0        5        0        0        5
21432 fpc-source-3.0.4                   	       0        8        0        0        8
21433 fpc-source-3.2.0                   	       0       23        0        0       23
21434 fpc-source-3.2.2                   	       0       86        0        0       86
21435 fpc-src                            	       0        7        7        0        0
21436 fpdns                              	       0        3        3        0        0
21437 fpga-icestorm-chipdb               	       0        8        0        0        8
21438 fpga-trellis                       	       0        1        1        0        0
21439 fpga-trellis-database              	       0        1        0        0        1
21440 fpgatools                          	       0        5        5        0        0
21441 fplll-tools                        	       0        1        1        0        0
21442 fprint-demo                        	       0        4        4        0        0
21443 fprintd                            	       0       39       38        1        0
21444 fprintd-doc                        	       0        4        0        0        4
21445 fprintd-tk                         	       0        1        1        0        0
21446 fprobe                             	       0        3        3        0        0
21447 fpzip-utils                        	       0        1        1        0        0
21448 fqterm                             	       0        1        0        1        0
21449 fractalnow                         	       0        4        4        0        0
21450 fractgen                           	       0        4        4        0        0
21451 fraqtive                           	       0       12       12        0        0
21452 fred                               	       0        1        1        0        0
21453 fred-reports                       	       0        1        0        0        1
21454 free42-nologo                      	       0        5        5        0        0
21455 freealchemist                      	       0       15       15        0        0
21456 freebirth                          	       0        3        3        0        0
21457 freebirth-data                     	       0        3        0        0        3
21458 freebsd-manpages                   	       0        7        0        0        7
21459 freebsd-mk                         	       0        3        0        0        3
21460 freecad-common                     	       0      122        0        0      122
21461 freecad-python2                    	       0        2        2        0        0
21462 freecad-runtime                    	       0        3        0        0        3
21463 freecdb                            	       0        2        2        0        0
21464 freecell-solver-bin                	       0        2        2        0        0
21465 freeciv                            	       0       48       47        1        0
21466 freeciv-client-extras              	       0       19       18        1        0
21467 freeciv-client-gtk                 	       0       12        4        0        8
21468 freeciv-client-gtk4                	       0        1        0        1        0
21469 freeciv-client-qt                  	       0        5        5        0        0
21470 freeciv-client-sdl                 	       0        5        5        0        0
21471 freeciv-ruleset-tools              	       0        4        4        0        0
21472 freeciv-sound-standard             	       0        4        0        0        4
21473 freeciv21                          	       0        1        1        0        0
21474 freecontact                        	       0        1        1        0        0
21475 freecraft                          	       0        2        2        0        0
21476 freedesktop-sound-theme            	       0        1        0        0        1
21477 freedict-tools                     	       0        1        0        0        1
21478 freedink                           	       0       14        0        0       14
21479 freedink-data                      	       0       14        0        0       14
21480 freedink-dfarc                     	       0       14       14        0        0
21481 freedink-engine                    	       0       14       14        0        0
21482 freedm                             	       0       10        9        1        0
21483 freedombox-doc-en                  	       0        1        0        0        1
21484 freedownloadmanager                	       0        1        1        0        0
21485 freedroid                          	       0        6        6        0        0
21486 freedroid-data                     	       0        6        0        0        6
21487 freedroidrpg                       	       0       11       11        0        0
21488 freedroidrpg-data                  	       0       11        0        0       11
21489 freedup                            	       0        1        1        0        0
21490 freefem                            	       0        4        4        0        0
21491 freefem++                          	       0        2        2        0        0
21492 freefem++-doc                      	       0        2        0        0        2
21493 freefem-doc                        	       0        2        0        0        2
21494 freefem-examples                   	       0        3        0        0        3
21495 freefem3d                          	       0        1        1        0        0
21496 freefilesync                       	       0        4        4        0        0
21497 freefilesync-build-deps            	       0        1        0        0        1
21498 freegish                           	       0        3        3        0        0
21499 freegish-data                      	       0        3        0        0        3
21500 freeglut-build-deps                	       0        1        0        0        1
21501 freeglut3                          	       0      166        0        0      166
21502 freeglut3-dbgsym                   	       0        1        1        0        0
21503 freeglut3-dev                      	       0       94       27        0       67
21504 freeguide                          	       0        1        1        0        0
21505 freehdl                            	       0        3        3        0        0
21506 freeipa-client                     	       0       17       17        0        0
21507 freeipa-client-epn                 	       0        1        1        0        0
21508 freeipa-client-samba               	       0        1        1        0        0
21509 freeipa-common                     	       0       17        0        0       17
21510 freeipa-healthcheck                	       0        1        1        0        0
21511 freeipa-helper                     	       0       12       12        0        0
21512 freeipmi                           	       0       15        0        0       15
21513 freeipmi-common                    	       0      114        0        0      114
21514 freelan                            	       0        1        1        0        0
21515 freelib                            	       0        1        1        0        0
21516 freemat-help                       	       0        1        0        0        1
21517 freeme2                            	       0        1        1        0        0
21518 freemind                           	       0        4        4        0        0
21519 freemind-doc                       	       0        5        0        0        5
21520 freemind-plugins-svg               	       0        1        0        0        1
21521 freenect                           	       0        1        0        0        1
21522 freenx                             	       0        1        0        0        1
21523 freenx-media                       	       0        1        1        0        0
21524 freenx-rdp                         	       0        1        0        0        1
21525 freenx-server                      	       0        1        1        0        0
21526 freenx-session-launcher            	       0        1        1        0        0
21527 freenx-vnc                         	       0        1        0        0        1
21528 freeorion-build-deps               	       0        1        0        0        1
21529 freeorion-data                     	       0       16        0        0       16
21530 freeorion-dbgsym                   	       0        1        1        0        0
21531 freepats                           	       0      289        0        0      289
21532 freeplane                          	       0       26       24        2        0
21533 freeplane-scripting-api            	       0        3        0        0        3
21534 freeradius-common                  	       0       33        0        0       33
21535 freeradius-config                  	       0       33        0        0       33
21536 freeradius-dhcp                    	       0        1        1        0        0
21537 freeradius-utils                   	       0       33       33        0        0
21538 freerct                            	       0        1        1        0        0
21539 freerdp-nightly                    	       0        1        1        0        0
21540 freerdp-x11                        	       0       22       22        0        0
21541 freerdp2-dev                       	       0        3        3        0        0
21542 freerdp2-shadow-x11                	       0        8        8        0        0
21543 freerdp2-wayland                   	       0       10       10        0        0
21544 freerdp3-dev                       	       0        1        0        1        0
21545 freerdp3-sdl                       	       0        1        0        1        0
21546 freerdp3-shadow-x11                	       0        1        0        1        0
21547 freerdp3-wayland                   	       0        8        6        2        0
21548 freesci                            	       0        1        1        0        0
21549 freesh-archive-keyring             	       0        8        0        0        8
21550 freesh-keyring                     	       0        1        0        0        1
21551 freeswitch                         	       0        1        1        0        0
21552 freeswitch-conf-curl               	       0        1        0        0        1
21553 freeswitch-conf-insideout          	       0        1        0        0        1
21554 freeswitch-conf-sbc                	       0        1        0        0        1
21555 freeswitch-conf-softphone          	       0        1        0        0        1
21556 freeswitch-conf-vanilla            	       0        2        0        0        2
21557 freeswitch-dbg                     	       0        1        1        0        0
21558 freeswitch-doc                     	       0        1        0        0        1
21559 freeswitch-lang                    	       0        2        0        0        2
21560 freeswitch-lang-de                 	       0        1        0        0        1
21561 freeswitch-lang-en                 	       0        2        0        0        2
21562 freeswitch-lang-es                 	       0        1        0        0        1
21563 freeswitch-lang-fr                 	       0        1        0        0        1
21564 freeswitch-lang-he                 	       0        1        0        0        1
21565 freeswitch-lang-pt                 	       0        1        0        0        1
21566 freeswitch-lang-ru                 	       0        1        0        0        1
21567 freeswitch-meta-bare               	       0        1        0        0        1
21568 freeswitch-meta-codecs             	       0        1        0        0        1
21569 freeswitch-meta-conf               	       0        1        0        0        1
21570 freeswitch-meta-lang               	       0        1        0        0        1
21571 freeswitch-meta-mod-say            	       0        1        0        0        1
21572 freeswitch-mod-amr                 	       0        1        1        0        0
21573 freeswitch-mod-amrwb               	       0        1        1        0        0
21574 freeswitch-mod-b64                 	       0        1        1        0        0
21575 freeswitch-mod-bv                  	       0        1        1        0        0
21576 freeswitch-mod-callcenter          	       0        1        1        0        0
21577 freeswitch-mod-cdr-csv             	       0        1        1        0        0
21578 freeswitch-mod-cidlookup           	       0        1        1        0        0
21579 freeswitch-mod-codec2              	       0        1        1        0        0
21580 freeswitch-mod-commands            	       0        1        1        0        0
21581 freeswitch-mod-conference          	       0        1        1        0        0
21582 freeswitch-mod-console             	       0        1        1        0        0
21583 freeswitch-mod-dahdi-codec         	       0        1        1        0        0
21584 freeswitch-mod-db                  	       0        1        1        0        0
21585 freeswitch-mod-dialplan-xml        	       0        1        1        0        0
21586 freeswitch-mod-directory           	       0        1        1        0        0
21587 freeswitch-mod-distributor         	       0        1        1        0        0
21588 freeswitch-mod-dptools             	       0        1        1        0        0
21589 freeswitch-mod-enum                	       0        1        1        0        0
21590 freeswitch-mod-esf                 	       0        1        1        0        0
21591 freeswitch-mod-esl                 	       0        1        1        0        0
21592 freeswitch-mod-event-socket        	       0        1        1        0        0
21593 freeswitch-mod-expr                	       0        1        1        0        0
21594 freeswitch-mod-fifo                	       0        1        1        0        0
21595 freeswitch-mod-flite               	       0        1        1        0        0
21596 freeswitch-mod-fsv                 	       0        1        1        0        0
21597 freeswitch-mod-g723-1              	       0        1        1        0        0
21598 freeswitch-mod-g729                	       0        1        1        0        0
21599 freeswitch-mod-h26x                	       0        1        1        0        0
21600 freeswitch-mod-hash                	       0        1        1        0        0
21601 freeswitch-mod-httapi              	       0        1        1        0        0
21602 freeswitch-mod-isac                	       0        1        1        0        0
21603 freeswitch-mod-json-cdr            	       0        1        1        0        0
21604 freeswitch-mod-local-stream        	       0        1        1        0        0
21605 freeswitch-mod-logfile             	       0        1        1        0        0
21606 freeswitch-mod-loopback            	       0        1        1        0        0
21607 freeswitch-mod-lua                 	       0        1        1        0        0
21608 freeswitch-mod-memcache            	       0        1        1        0        0
21609 freeswitch-mod-mp4v                	       0        1        1        0        0
21610 freeswitch-mod-native-file         	       0        1        1        0        0
21611 freeswitch-mod-opus                	       0        1        1        0        0
21612 freeswitch-mod-png                 	       0        1        1        0        0
21613 freeswitch-mod-rtc                 	       0        1        1        0        0
21614 freeswitch-mod-say-de              	       0        1        1        0        0
21615 freeswitch-mod-say-en              	       0        1        1        0        0
21616 freeswitch-mod-say-es              	       0        1        1        0        0
21617 freeswitch-mod-say-fa              	       0        1        1        0        0
21618 freeswitch-mod-say-fr              	       0        1        1        0        0
21619 freeswitch-mod-say-he              	       0        1        1        0        0
21620 freeswitch-mod-say-hr              	       0        1        1        0        0
21621 freeswitch-mod-say-hu              	       0        1        1        0        0
21622 freeswitch-mod-say-it              	       0        1        1        0        0
21623 freeswitch-mod-say-ja              	       0        1        1        0        0
21624 freeswitch-mod-say-nl              	       0        1        1        0        0
21625 freeswitch-mod-say-pl              	       0        1        1        0        0
21626 freeswitch-mod-say-pt              	       0        1        1        0        0
21627 freeswitch-mod-say-ru              	       0        1        1        0        0
21628 freeswitch-mod-say-th              	       0        1        1        0        0
21629 freeswitch-mod-say-zh              	       0        1        1        0        0
21630 freeswitch-mod-shout               	       0        1        1        0        0
21631 freeswitch-mod-silk                	       0        1        1        0        0
21632 freeswitch-mod-skypopen            	       0        1        1        0        0
21633 freeswitch-mod-skypopen-dbg        	       0        1        1        0        0
21634 freeswitch-mod-sms                 	       0        1        1        0        0
21635 freeswitch-mod-sms-dbg             	       0        1        1        0        0
21636 freeswitch-mod-sndfile             	       0        1        1        0        0
21637 freeswitch-mod-sofia               	       0        1        1        0        0
21638 freeswitch-mod-sofia-dbg           	       0        1        1        0        0
21639 freeswitch-mod-spandsp             	       0        1        1        0        0
21640 freeswitch-mod-theora              	       0        1        1        0        0
21641 freeswitch-mod-tone-stream         	       0        1        1        0        0
21642 freeswitch-mod-tts-commandline     	       0        1        1        0        0
21643 freeswitch-mod-valet-parking       	       0        1        1        0        0
21644 freeswitch-mod-verto               	       0        1        1        0        0
21645 freeswitch-mod-xml-cdr             	       0        1        1        0        0
21646 freeswitch-music-default           	       0        1        0        0        1
21647 freeswitch-sounds-en-us-callie     	       0        2        0        0        2
21648 freeswitch-sysvinit                	       0        2        0        0        2
21649 freeswitch-timezones               	       0        2        0        0        2
21650 freetable                          	       0        2        2        0        0
21651 freetalk                           	       0        1        1        0        0
21652 freetds-bin                        	       0        7        7        0        0
21653 freetds-common                     	       0      102        0        0      102
21654 freetds-doc                        	       0       14        0        0       14
21655 freetennis-common                  	       0        2        0        0        2
21656 freetts                            	       0        4        0        0        4
21657 freetube                           	       0       39        4        1       34
21658 freetype-build-deps                	       0        1        0        0        1
21659 freetype1-tools                    	       0        2        2        0        0
21660 freetype2-demos                    	       0       13       13        0        0
21661 freetype2-doc                      	       0       36        0        0       36
21662 freevial                           	       0        2        2        0        0
21663 freewheeling                       	       0        1        1        0        0
21664 freexian-archive-keyring           	       0        1        0        0        1
21665 frei0r-plugins-doc                 	       0        1        0        0        1
21666 frescobaldi                        	       0       16       15        1        0
21667 fretsonfire                        	       0        2        0        0        2
21668 fretsonfire-game                   	       0        3        3        0        0
21669 fretsonfire-songs-muldjord         	       0        4        0        0        4
21670 fretsonfire-songs-sectoid          	       0        4        0        0        4
21671 fricas                             	       0        1        1        0        0
21672 fricas-databases                   	       0        1        0        0        1
21673 fricas-doc                         	       0        1        0        0        1
21674 fricas-graphics                    	       0        1        0        0        1
21675 fricas-graphics-data               	       0        1        0        0        1
21676 fricas-hypertex                    	       0        1        0        0        1
21677 fricas-hypertex-data               	       0        1        0        0        1
21678 fricas-source                      	       0        1        0        0        1
21679 fricas-test                        	       0        1        1        0        0
21680 frickelplatz-archive-keyring       	       0        1        0        0        1
21681 frickelplatz-keyring               	       0        1        0        0        1
21682 frickelplatz-keyrings              	       0        1        0        0        1
21683 fritzing                           	       0       29       29        0        0
21684 fritzing-data                      	       0       29        0        0       29
21685 fritzing-parts                     	       0       27        0        0       27
21686 frobby                             	       0        1        1        0        0
21687 frog                               	       0        3        3        0        0
21688 frogatto                           	       0        4        4        0        0
21689 frogatto-build-deps                	       0        1        0        0        1
21690 frogatto-data                      	       0        4        0        0        4
21691 frogatto-dbgsym                    	       0        1        1        0        0
21692 frogdata                           	       0        1        1        0        0
21693 frogr                              	       0        1        1        0        0
21694 frogr-data                         	       0        1        0        0        1
21695 frontdesign                        	       0        1        1        0        0
21696 frotz                              	       0       12       12        0        0
21697 frown                              	       0        1        1        0        0
21698 frown-doc                          	       0        1        0        0        1
21699 froxlor                            	       0        1        1        0        0
21700 frozen-bubble-data                 	       0       52        0        0       52
21701 frr-doc                            	       0        1        0        0        1
21702 fruit                              	       0        7        7        0        0
21703 fs-uae                             	       0       19       19        0        0
21704 fs-uae-arcade                      	       0        6        6        0        0
21705 fs-uae-launcher                    	       0        4        4        0        0
21706 fs-uae-netplay-server              	       0        1        1        0        0
21707 fsa                                	       0        3        3        0        0
21708 fscrypt                            	       0        8        6        2        0
21709 fsearch                            	       0        5        4        1        0
21710 fsmark                             	       0        3        3        0        0
21711 fso-config-general                 	       0        1        0        0        1
21712 fso-deviced-player-gstreamer       	       0        1        0        0        1
21713 fso-frameworkd                     	       0        1        1        0        0
21714 fso-gsm0710muxd                    	       0        1        1        0        0
21715 fso-sounds-yue-base                	       0        1        0        0        1
21716 fsp                                	       0        1        1        0        0
21717 fspanel                            	       0        6        6        0        0
21718 fspy                               	       0        2        2        0        0
21719 fssync                             	       0        1        1        0        0
21720 fst-dev                            	       0        2        2        0        0
21721 fstl                               	       0       10       10        0        0
21722 fstrcmp-doc                        	       0        1        0        0        1
21723 fsverity                           	       0        3        3        0        0
21724 ft2-clone                          	       0        1        1        0        0
21725 fte-docs                           	       0        3        0        0        3
21726 fte-xwindow                        	       0        5        5        0        0
21727 ftgl-dev                           	       0        1        0        0        1
21728 ftnchek                            	       0        3        3        0        0
21729 ftools-fv                          	       0        8        8        0        0
21730 ftools-pow                         	       0       10       10        0        0
21731 ftp-proxy                          	       0        1        1        0        0
21732 ftp-proxy-doc                      	       0        2        0        0        2
21733 ftp.app                            	       0        2        2        0        0
21734 ftpgrab                            	       0        2        2        0        0
21735 ftpmirror                          	       0        1        1        0        0
21736 ftpsync                            	       0        2        1        1        0
21737 ftpwatch                           	       0        1        1        0        0
21738 ftxui                              	       0        1        1        0        0
21739 funguloids                         	       0        5        5        0        0
21740 funguloids-data                    	       0        5        0        0        5
21741 funnelweb                          	       0        3        3        0        0
21742 funnelweb-doc                      	       0        2        0        0        2
21743 funny-manpages                     	       0        2        0        0        2
21744 funnyboat                          	       0       10       10        0        0
21745 funtools                           	       0        2        2        0        0
21746 furo                               	       0        7        7        0        0
21747 fuse-emulator-common               	       0       13        0        0       13
21748 fuse-emulator-gtk                  	       0       12       12        0        0
21749 fuse-emulator-sdl                  	       0        6        6        0        0
21750 fuse-emulator-utils                	       0       11       11        0        0
21751 fuse-posixovl                      	       0        6        6        0        0
21752 fuse-utils                         	       0        9        0        0        9
21753 fuse-zip                           	       0       15       14        1        0
21754 fusecompress                       	       0        1        1        0        0
21755 fusedav                            	       0        3        3        0        0
21756 fuseext2                           	       0       10        6        0        4
21757 fusefile                           	       0        4        4        0        0
21758 fuseiso9660                        	       0       16       14        0        2
21759 fusiondirectory-plugin-mail        	       0        1        0        0        1
21760 fusiondirectory-plugin-mail-schema 	       0        1        0        0        1
21761 fusiondirectory-schema             	       0        2        2        0        0
21762 fusioninventory-agent-task-deploy  	       0        1        1        0        0
21763 fusioninventory-agent-task-esx     	       0        1        1        0        0
21764 fusioninventory-agent-task-network 	       0        1        1        0        0
21765 futatabi                           	       0        1        1        0        0
21766 fuzz                               	       0        3        3        0        0
21767 fvwm-icons                         	       0       20        0        0       20
21768 fvwm1                              	       0        2        2        0        0
21769 fw4spl                             	       0        1        1        0        0
21770 fwbuilder-common                   	       0       14        0        0       14
21771 fwbuilder-doc                      	       0       14        0        0       14
21772 fweb                               	       0        2        2        0        0
21773 fweb-doc                           	       0        1        0        0        1
21774 fwknop-client                      	       0        2        2        0        0
21775 fwlogwatch                         	       0        1        1        0        0
21776 fwsnort                            	       0        2        2        0        0
21777 fwupd-amd64-signed                 	       0      814        4        0      810
21778 fwupd-amd64-signed-template        	       0        4        0        0        4
21779 fwupd-arm64-signed                 	       0        1        0        0        1
21780 fwupd-armhf-signed                 	       0        1        0        0        1
21781 fwupd-doc                          	       0        5        0        0        5
21782 fwupd-i386-signed                  	       0       17        0        0       17
21783 fwupd-tests                        	       0        1        0        0        1
21784 fwupd-unsigned                     	       0        2        0        0        2
21785 fx-cast-bridge                     	       0        1        1        0        0
21786 fxcyberjack                        	       0        2        2        0        0
21787 fyi                                	       0        3        3        0        0
21788 fyre                               	       0        3        3        0        0
21789 fyremc-kliens                      	       0        1        1        0        0
21790 fzy                                	       0        8        8        0        0
21791 g++-10-aarch64-linux-gnu           	       0        4        4        0        0
21792 g++-10-arm-linux-gnueabi           	       0        1        1        0        0
21793 g++-10-arm-linux-gnueabihf         	       0        1        1        0        0
21794 g++-10-i686-linux-gnu              	       0        2        2        0        0
21795 g++-10-multilib                    	       0       34        0        0       34
21796 g++-10-multilib-powerpc64-linux-gnu	       0        1        0        0        1
21797 g++-10-powerpc64-linux-gnu         	       0        1        1        0        0
21798 g++-10-riscv64-linux-gnu           	       0        1        1        0        0
21799 g++-11-arm-linux-gnueabi           	       0        1        1        0        0
21800 g++-11-arm-linux-gnueabihf         	       0        1        1        0        0
21801 g++-11-multilib                    	       0        5        0        0        5
21802 g++-12-arm-linux-gnueabi           	       0        2        2        0        0
21803 g++-12-arm-linux-gnueabihf         	       0        5        5        0        0
21804 g++-12-mips-linux-gnu              	       0        2        2        0        0
21805 g++-12-mipsel-linux-gnu            	       0        2        2        0        0
21806 g++-12-multilib                    	       0       70        0        0       70
21807 g++-12-multilib-mips-linux-gnu     	       0        1        0        0        1
21808 g++-12-multilib-mipsel-linux-gnu   	       0        1        0        0        1
21809 g++-12-multilib-x86-64-linux-gnux32	       0        1        0        0        1
21810 g++-12-x86-64-linux-gnux32         	       0        1        1        0        0
21811 g++-13-aarch64-linux-gnu           	       0        1        1        0        0
21812 g++-13-i686-linux-gnu              	       0        2        2        0        0
21813 g++-13-multilib                    	       0        8        0        0        8
21814 g++-14-aarch64-linux-gnu           	       0        3        3        0        0
21815 g++-14-arm-linux-gnueabihf         	       0        4        4        0        0
21816 g++-14-i686-linux-gnu              	       0        5        4        1        0
21817 g++-14-multilib                    	       0       16        0        0       16
21818 g++-14-riscv64-linux-gnu           	       0        1        1        0        0
21819 g++-15                             	       0        1        1        0        0
21820 g++-15-x86-64-linux-gnu            	       0        1        1        0        0
21821 g++-3.3                            	       0        1        1        0        0
21822 g++-4.4                            	       0        6        6        0        0
21823 g++-4.6                            	       0        1        1        0        0
21824 g++-4.7                            	       0        1        1        0        0
21825 g++-4.8                            	       0        3        3        0        0
21826 g++-4.9-multilib                   	       0        2        0        0        2
21827 g++-5                              	       0        1        1        0        0
21828 g++-6-multilib                     	       0        2        0        0        2
21829 g++-7                              	       0        3        3        0        0
21830 g++-8-aarch64-linux-gnu            	       0        2        2        0        0
21831 g++-8-arm-linux-gnueabi            	       0        2        2        0        0
21832 g++-8-arm-linux-gnueabihf          	       0        2        2        0        0
21833 g++-8-i686-linux-gnu               	       0        1        1        0        0
21834 g++-8-mips-linux-gnu               	       0        1        1        0        0
21835 g++-8-mips64el-linux-gnuabi64      	       0        1        1        0        0
21836 g++-8-mipsel-linux-gnu             	       0        1        1        0        0
21837 g++-8-multilib                     	       0        5        0        0        5
21838 g++-arm-linux-gnueabi              	       0        4        4        0        0
21839 g++-arm-linux-gnueabihf            	       0       13       13        0        0
21840 g++-i686-linux-gnu                 	       0        9        8        1        0
21841 g++-mingw-w64                      	       0       30        0        0       30
21842 g++-mingw-w64-i686                 	       0       33        2        0       31
21843 g++-mingw-w64-i686-posix           	       0       31       30        1        0
21844 g++-mingw-w64-i686-win32           	       0       31       30        1        0
21845 g++-mingw-w64-x86-64               	       0       32        2        0       30
21846 g++-mingw-w64-x86-64-posix         	       0       31       29        2        0
21847 g++-mingw-w64-x86-64-win32         	       0       30       29        1        0
21848 g++-mips-linux-gnu                 	       0        3        3        0        0
21849 g++-mips64el-linux-gnuabi64        	       0        1        1        0        0
21850 g++-mipsel-linux-gnu               	       0        3        3        0        0
21851 g++-multilib                       	       0       82        0        0       82
21852 g++-riscv64-linux-gnu              	       0        1        1        0        0
21853 g-wrap                             	       0        1        1        0        0
21854 g10k                               	       0        1        1        0        0
21855 g3data                             	       0        1        1        0        0
21856 g3dviewer                          	       0        3        3        0        0
21857 g77-2.95-doc                       	       0        1        0        0        1
21858 g810-led                           	       0        5        5        0        0
21859 gabedit                            	       0        1        1        0        0
21860 gadmin-openvpn-client              	       0        3        3        0        0
21861 gadmin-rsync                       	       0        1        1        0        0
21862 gadmin-samba                       	       0        3        3        0        0
21863 gaffitter                          	       0        2        2        0        0
21864 gaiasky                            	       0        1        0        0        1
21865 gaiksaurus                         	       0        2        2        0        0
21866 gajim-antispam                     	       0        3        0        0        3
21867 gajim-dev-keyring                  	       0        1        0        0        1
21868 gajim-omemo                        	       0       42        0        0       42
21869 gajim-openpgp                      	       0       40        0        0       40
21870 gajim-pgp                          	       0       14        0        0       14
21871 gajim-triggers                     	       0        3        0        0        3
21872 galeon                             	       0        1        1        0        0
21873 galeon-common                      	       0        1        1        0        0
21874 galileopress-actionscript          	       0        1        1        0        0
21875 galileopress-c                     	       0        1        1        0        0
21876 galileopress-csharp                	       0        1        1        0        0
21877 galileopress-java2                 	       0        1        1        0        0
21878 galileopress-javainsel             	       0        1        1        0        0
21879 galileopress-javascript            	       0        1        1        0        0
21880 galileopress-kit                   	       0        1        1        0        0
21881 galileopress-knoppix               	       0        1        1        0        0
21882 galileopress-pcnetzwerke           	       0        1        1        0        0
21883 galileopress-php4                  	       0        1        1        0        0
21884 galileopress-ubuntu                	       0        1        1        0        0
21885 galileopress-unix                  	       0        1        1        0        0
21886 gallery3                           	       0        1        1        0        0
21887 galois                             	       0        7        7        0        0
21888 galrey                             	       0        1        1        0        0
21889 gama                               	       0        1        1        0        0
21890 gamazons                           	       0        3        3        0        0
21891 gambas2-gb-compress                	       0        1        1        0        0
21892 gambas2-gb-compress-bzlib2         	       0        1        1        0        0
21893 gambas2-gb-compress-zlib           	       0        1        1        0        0
21894 gambas2-gb-db                      	       0        1        1        0        0
21895 gambas2-gb-db-postgresql           	       0        1        1        0        0
21896 gambas2-gb-form                    	       0        1        1        0        0
21897 gambas2-gb-gtk                     	       0        1        1        0        0
21898 gambas2-gb-gui                     	       0        1        1        0        0
21899 gambas2-gb-pdf                     	       0        1        1        0        0
21900 gambas2-gb-qt                      	       0        1        1        0        0
21901 gambas2-gb-qt-ext                  	       0        1        1        0        0
21902 gambas2-gb-qt-kde                  	       0        1        1        0        0
21903 gambas2-gb-qt-kde-html             	       0        1        1        0        0
21904 gambas2-gb-settings                	       0        1        1        0        0
21905 gambas2-gb-xml                     	       0        1        1        0        0
21906 gambas2-runtime                    	       0        1        1        0        0
21907 gambas3                            	       0       14        0        0       14
21908 gambas3-dev-tools                  	       0        1        0        1        0
21909 gambas3-devel                      	       0       15       14        1        0
21910 gambas3-examples                   	       0       15        0        0       15
21911 gambas3-gb-args                    	       0       15       13        2        0
21912 gambas3-gb-cairo                   	       0       15       13        2        0
21913 gambas3-gb-chart                   	       0       15       13        2        0
21914 gambas3-gb-clipper                 	       0       16       14        2        0
21915 gambas3-gb-clipper2                	       0        2        0        2        0
21916 gambas3-gb-complex                 	       0       15       13        2        0
21917 gambas3-gb-compress                	       0       15       13        2        0
21918 gambas3-gb-compress-bzlib2         	       0       15       13        2        0
21919 gambas3-gb-compress-zlib           	       0       15       13        2        0
21920 gambas3-gb-compress-zstd           	       0       14       12        2        0
21921 gambas3-gb-crypt                   	       0       16       14        2        0
21922 gambas3-gb-data                    	       0       15       13        2        0
21923 gambas3-gb-db                      	       0       16       14        2        0
21924 gambas3-gb-db-form                 	       0       16       14        2        0
21925 gambas3-gb-db-mysql                	       0       15       13        2        0
21926 gambas3-gb-db-odbc                 	       0       15       13        2        0
21927 gambas3-gb-db-postgresql           	       0       15       13        2        0
21928 gambas3-gb-db-sqlite2              	       0        1        1        0        0
21929 gambas3-gb-db-sqlite3              	       0       15       13        2        0
21930 gambas3-gb-db2                     	       0        2        0        2        0
21931 gambas3-gb-db2-form                	       0        2        0        2        0
21932 gambas3-gb-db2-mysql               	       0        2        0        2        0
21933 gambas3-gb-db2-odbc                	       0        2        0        2        0
21934 gambas3-gb-db2-postgresql          	       0        2        0        2        0
21935 gambas3-gb-db2-sqlite3             	       0        2        0        2        0
21936 gambas3-gb-dbus                    	       0       15       13        2        0
21937 gambas3-gb-dbus-trayicon           	       0       15       13        2        0
21938 gambas3-gb-desktop                 	       0       18       16        2        0
21939 gambas3-gb-desktop-gnome-keyring   	       0        1        1        0        0
21940 gambas3-gb-desktop-x11             	       0       18       16        2        0
21941 gambas3-gb-eval-highlight          	       0       14       12        2        0
21942 gambas3-gb-form                    	       0       17       15        2        0
21943 gambas3-gb-form-dialog             	       0       16       14        2        0
21944 gambas3-gb-form-editor             	       0       16       14        2        0
21945 gambas3-gb-form-htmlview           	       0       15       13        2        0
21946 gambas3-gb-form-mdi                	       0       16       14        2        0
21947 gambas3-gb-form-print              	       0       16       14        2        0
21948 gambas3-gb-form-stock              	       0       16       14        2        0
21949 gambas3-gb-form-terminal           	       0       16       14        2        0
21950 gambas3-gb-gmp                     	       0       15       13        2        0
21951 gambas3-gb-gsl                     	       0       15       13        2        0
21952 gambas3-gb-gtk                     	       0        3        2        1        0
21953 gambas3-gb-gtk-opengl              	       0        2        1        1        0
21954 gambas3-gb-gtk3-opengl             	       0       14       12        2        0
21955 gambas3-gb-gtk3-wayland            	       0        4        3        1        0
21956 gambas3-gb-gtk3-webview            	       0       16       14        2        0
21957 gambas3-gb-gtk3-x11                	       0       15       14        1        0
21958 gambas3-gb-gui-opengl              	       0        2        0        0        2
21959 gambas3-gb-gui-qt                  	       0        1        0        0        1
21960 gambas3-gb-gui-qt-webkit           	       0        1        0        0        1
21961 gambas3-gb-gui-trayicon            	       0        1        0        0        1
21962 gambas3-gb-hash                    	       0       12       11        1        0
21963 gambas3-gb-highlight               	       0        4        2        2        0
21964 gambas3-gb-httpd                   	       0       15       13        2        0
21965 gambas3-gb-image-effect            	       0       15       13        2        0
21966 gambas3-gb-image-imlib             	       0       15       13        2        0
21967 gambas3-gb-image-io                	       0       16       14        2        0
21968 gambas3-gb-inotify                 	       0        4        2        2        0
21969 gambas3-gb-jit                     	       0       15       14        1        0
21970 gambas3-gb-libxml                  	       0        5        3        2        0
21971 gambas3-gb-logging                 	       0       15       13        2        0
21972 gambas3-gb-map                     	       0       15       13        2        0
21973 gambas3-gb-markdown                	       0       16       14        2        0
21974 gambas3-gb-media                   	       0       15       13        2        0
21975 gambas3-gb-media-form              	       0       15       13        2        0
21976 gambas3-gb-memcached               	       0       15       13        2        0
21977 gambas3-gb-mime                    	       0       15       13        2        0
21978 gambas3-gb-mongodb                 	       0        2        0        2        0
21979 gambas3-gb-mysql                   	       0       15       13        2        0
21980 gambas3-gb-ncurses                 	       0       15       13        2        0
21981 gambas3-gb-net-curl                	       0       17       15        2        0
21982 gambas3-gb-net-pop3                	       0       15       13        2        0
21983 gambas3-gb-openal                  	       0       16       14        2        0
21984 gambas3-gb-opengl                  	       0       18       16        2        0
21985 gambas3-gb-opengl-glsl             	       0       15       13        2        0
21986 gambas3-gb-opengl-glu              	       0       15       13        2        0
21987 gambas3-gb-opengl-sge              	       0       16       14        2        0
21988 gambas3-gb-openssl                 	       0       15       13        2        0
21989 gambas3-gb-option                  	       0        4        2        2        0
21990 gambas3-gb-pcre                    	       0       16       14        2        0
21991 gambas3-gb-pdf                     	       0        4        2        2        0
21992 gambas3-gb-poppler                 	       0       15       13        2        0
21993 gambas3-gb-qt4                     	       0        1        1        0        0
21994 gambas3-gb-qt5                     	       0        8        7        1        0
21995 gambas3-gb-qt5-ext                 	       0        6        5        1        0
21996 gambas3-gb-qt5-opengl              	       0        6        5        1        0
21997 gambas3-gb-qt5-wayland             	       0        1        1        0        0
21998 gambas3-gb-qt5-webkit              	       0        5        4        1        0
21999 gambas3-gb-qt5-webview             	       0        2        1        1        0
22000 gambas3-gb-qt5-x11                 	       0        2        2        0        0
22001 gambas3-gb-qt6                     	       0        2        0        2        0
22002 gambas3-gb-qt6-ext                 	       0        2        0        2        0
22003 gambas3-gb-qt6-opengl              	       0        2        0        2        0
22004 gambas3-gb-qt6-wayland             	       0        1        0        1        0
22005 gambas3-gb-qt6-webview             	       0        2        0        2        0
22006 gambas3-gb-qt6-x11                 	       0        1        0        1        0
22007 gambas3-gb-report                  	       0        4        2        2        0
22008 gambas3-gb-report2                 	       0       15       13        2        0
22009 gambas3-gb-scanner                 	       0       16       14        2        0
22010 gambas3-gb-sdl                     	       0        3        2        1        0
22011 gambas3-gb-sdl-sound               	       0        3        2        1        0
22012 gambas3-gb-sdl2                    	       0       16       14        2        0
22013 gambas3-gb-sdl2-audio              	       0       16       14        2        0
22014 gambas3-gb-settings                	       0       17       15        2        0
22015 gambas3-gb-signal                  	       0       16       14        2        0
22016 gambas3-gb-term                    	       0       16       14        2        0
22017 gambas3-gb-term-form               	       0       15       13        2        0
22018 gambas3-gb-util                    	       0       16       14        2        0
22019 gambas3-gb-util-web                	       0       16       14        2        0
22020 gambas3-gb-v4l                     	       0        5        3        2        0
22021 gambas3-gb-vb                      	       0       15       13        2        0
22022 gambas3-gb-web                     	       0       15       13        2        0
22023 gambas3-gb-web-feed                	       0       15       13        2        0
22024 gambas3-gb-web-form                	       0        5        3        2        0
22025 gambas3-gb-web-gui                 	       0       15       13        2        0
22026 gambas3-gb-xml                     	       0       15       13        2        0
22027 gambas3-gb-xml-html                	       0       15       13        2        0
22028 gambas3-gb-xml-rpc                 	       0       15       13        2        0
22029 gambas3-gb-xml-xslt                	       0       15       13        2        0
22030 gambas3-gui                        	       0        1        0        0        1
22031 gambas3-gui-opengl                 	       0        1        0        0        1
22032 gambas3-gui-webview                	       0        1        0        0        1
22033 gambas3-ide                        	       0       16       14        2        0
22034 gambas3-scripter                   	       0       15       13        2        0
22035 gambas3-templates                  	       0        1        0        0        1
22036 gambc                              	       0        4        3        1        0
22037 gambc-doc                          	       0        4        3        1        0
22038 game-data-packager                 	       0       27       27        0        0
22039 game-data-packager-build-deps      	       0        1        0        0        1
22040 game-data-packager-runtime         	       0       26       26        0        0
22041 gameclock                          	       0        1        1        0        0
22042 gameconqueror                      	       0        8        8        0        0
22043 gamedevtycoon                      	       0        1        0        0        1
22044 gamehub                            	       0       10        9        1        0
22045 gamemaker-beta                     	       0        1        1        0        0
22046 gamepadtool                        	       0        4        4        0        0
22047 games-adventure                    	       0        6        0        0        6
22048 games-all                          	       0        3        0        0        3
22049 games-arcade                       	       0        2        0        0        2
22050 games-board                        	       0        3        0        0        3
22051 games-c++-dev                      	       0        1        0        0        1
22052 games-card                         	       0       11        0        0       11
22053 games-chess                        	       0        4        0        0        4
22054 games-console                      	       0        5        0        0        5
22055 games-content-dev                  	       0        1        0        0        1
22056 games-education                    	       0        2        0        0        2
22057 games-emulator                     	       0        5        0        0        5
22058 games-finest                       	       0        5        0        0        5
22059 games-finest-light                 	       0        1        0        0        1
22060 games-fps                          	       0        3        0        0        3
22061 games-minesweeper                  	       0        9        0        0        9
22062 games-mud                          	       0        4        0        0        4
22063 games-perl-dev                     	       0        2        0        0        2
22064 games-platform                     	       0        1        0        0        1
22065 games-programming                  	       0        4        0        0        4
22066 games-puzzle                       	       0        7        0        0        7
22067 games-python3-dev                  	       0        2        0        0        2
22068 games-rogue                        	       0        3        0        0        3
22069 games-rpg                          	       0        3        0        0        3
22070 games-simulation                   	       0        2        0        0        2
22071 games-strategy                     	       0        4        0        0        4
22072 games-tasks                        	       0       41        0        0       41
22073 games-tetris                       	       0       10        0        0       10
22074 games-thumbnails                   	       0        5        0        0        5
22075 games-toys                         	       0        3        0        0        3
22076 games-typing                       	       0        3        0        0        3
22077 gamine-data                        	       0        9        0        0        9
22078 gaminggear-utils                   	       0        1        1        0        0
22079 gammaray                           	       0        1        0        1        0
22080 gammaray-dev                       	       0        1        0        1        0
22081 gammaray-plugin-bluetooth          	       0        1        0        0        1
22082 gammaray-plugin-positioning        	       0        1        0        0        1
22083 gammaray-plugin-quickinspector     	       0        1        0        0        1
22084 gammastep                          	       0        6        5        1        0
22085 gammu-doc                          	       0        5        0        0        5
22086 gammu-smsd                         	       0        3        3        0        0
22087 gandi-cli                          	       0        1        1        0        0
22088 ganeti                             	       0        1        1        0        0
22089 ganeti-3.0                         	       0        1        1        0        0
22090 ganeti-haskell-3.0                 	       0        1        1        0        0
22091 ganeti-htools-3.0                  	       0        1        1        0        0
22092 ganeti-testsuite                   	       0        1        0        0        1
22093 ganglia-modules-linux              	       0        1        1        0        0
22094 ganglia-webfrontend                	       0        1        1        0        0
22095 gant                               	       0        1        1        0        0
22096 ganyremote                         	       0        4        4        0        0
22097 gap                                	       0       13        0        0       13
22098 gap-alnuth                         	       0       13        0        0       13
22099 gap-atlasrep                       	       0       13        0        0       13
22100 gap-autpgrp                        	       0       13        0        0       13
22101 gap-character-tables               	       0       13        0        0       13
22102 gap-doc                            	       0       13        0        0       13
22103 gap-factint                        	       0       12        0        0       12
22104 gap-fga                            	       0       11        0        0       11
22105 gap-float                          	       0        1        0        0        1
22106 gap-gapdoc                         	       0       13        0        0       13
22107 gap-grape                          	       0        1        0        0        1
22108 gap-guava                          	       0        1        0        0        1
22109 gap-guava-bin                      	       0        1        1        0        0
22110 gap-io                             	       0       13        0        0       13
22111 gap-laguna                         	       0       11        0        0       11
22112 gap-online-help                    	       0       13        0        0       13
22113 gap-openmath                       	       0        1        0        0        1
22114 gap-polycyclic                     	       0       13        0        0       13
22115 gap-primgrp                        	       0       13        0        0       13
22116 gap-smallgrp                       	       0       12        0        0       12
22117 gap-table-of-marks                 	       0       13        0        0       13
22118 gap-transgrp                       	       0       12        0        0       12
22119 gap-utils                          	       0       12        0        0       12
22120 gapcmon                            	       0        1        1        0        0
22121 gappletviewer-4.3                  	       0        1        1        0        0
22122 garcon-build-deps                  	       0        1        0        0        1
22123 garden-of-coloured-lights          	       0        8        8        0        0
22124 garden-of-coloured-lights-data     	       0        8        0        0        8
22125 gargoyle-free                      	       0        9        9        0        0
22126 garli                              	       0        1        1        0        0
22127 gatling                            	       0        1        1        0        0
22128 gato                               	       0        1        1        0        0
22129 gatos                              	       0        1        1        0        0
22130 gatotray                           	       0        1        1        0        0
22131 gauche                             	       0        1        1        0        0
22132 gaupol                             	       0       18       18        0        0
22133 gav                                	       0        4        4        0        0
22134 gav-themes                         	       0        4        0        0        4
22135 gaviotatb                          	       0       13        0        0       13
22136 gawk-doc                           	       0       31        0        0       31
22137 gbatnav                            	       0        3        3        0        0
22138 gbdfed                             	       0        5        5        0        0
22139 gbemol                             	       0        5        4        1        0
22140 gbrainy                            	       0       25       25        0        0
22141 gc                                 	       0        1        1        0        0
22142 gcab                               	       0        6        6        0        0
22143 gcad3d                             	       0        1        1        0        0
22144 gcal-common                        	       0       21        0        0       21
22145 gcalcli                            	       0        4        4        0        0
22146 gcalctool                          	       0        1        1        0        0
22147 gcap                               	       0        1        1        0        0
22148 gcc-10-aarch64-linux-gnu           	       0        6        6        0        0
22149 gcc-10-aarch64-linux-gnu-base      	       0        7        0        0        7
22150 gcc-10-alpha-linux-gnu             	       0        1        1        0        0
22151 gcc-10-alpha-linux-gnu-base        	       0        1        0        0        1
22152 gcc-10-arm-linux-gnueabi           	       0        5        5        0        0
22153 gcc-10-arm-linux-gnueabi-base      	       0        6        0        0        6
22154 gcc-10-arm-linux-gnueabihf         	       0        6        6        0        0
22155 gcc-10-arm-linux-gnueabihf-base    	       0        7        0        0        7
22156 gcc-10-base                        	       0     1450        0        0     1450
22157 gcc-10-cross-base                  	       0       18        0        0       18
22158 gcc-10-cross-base-mipsen           	       0        2        0        0        2
22159 gcc-10-cross-base-ports            	       0        4        0        0        4
22160 gcc-10-dbgsym                      	       0        1        1        0        0
22161 gcc-10-doc                         	       0       41        0        0       41
22162 gcc-10-hppa-linux-gnu              	       0        1        1        0        0
22163 gcc-10-hppa-linux-gnu-base         	       0        2        0        0        2
22164 gcc-10-hppa64-linux-gnu            	       0        1        1        0        0
22165 gcc-10-i686-linux-gnu              	       0        3        3        0        0
22166 gcc-10-i686-linux-gnu-base         	       0        3        0        0        3
22167 gcc-10-locales                     	       0        8        0        0        8
22168 gcc-10-mipsel-linux-gnu            	       0        1        1        0        0
22169 gcc-10-mipsel-linux-gnu-base       	       0        1        0        0        1
22170 gcc-10-multilib                    	       0       86        0        0       86
22171 gcc-10-multilib-powerpc64-linux-gnu	       0        1        0        0        1
22172 gcc-10-powerpc-linux-gnu           	       0        1        1        0        0
22173 gcc-10-powerpc-linux-gnu-base      	       0        1        0        0        1
22174 gcc-10-powerpc64-linux-gnu         	       0        2        2        0        0
22175 gcc-10-powerpc64-linux-gnu-base    	       0        2        0        0        2
22176 gcc-10-riscv64-linux-gnu           	       0        3        3        0        0
22177 gcc-10-riscv64-linux-gnu-base      	       0        3        0        0        3
22178 gcc-10-s390x-linux-gnu             	       0        1        1        0        0
22179 gcc-10-s390x-linux-gnu-base        	       0        1        0        0        1
22180 gcc-10-sparc64-linux-gnu           	       0        1        1        0        0
22181 gcc-10-sparc64-linux-gnu-base      	       0        1        0        0        1
22182 gcc-11-arm-linux-gnueabi           	       0        1        1        0        0
22183 gcc-11-arm-linux-gnueabi-base      	       0        1        0        0        1
22184 gcc-11-arm-linux-gnueabihf         	       0        1        1        0        0
22185 gcc-11-arm-linux-gnueabihf-base    	       0        1        0        0        1
22186 gcc-11-base                        	       0      677        0        0      677
22187 gcc-11-cross-base                  	       0       11        0        0       11
22188 gcc-11-doc                         	       0        3        0        0        3
22189 gcc-11-i686-linux-gnu              	       0        1        1        0        0
22190 gcc-11-i686-linux-gnu-base         	       0        1        0        0        1
22191 gcc-11-locales                     	       0        2        0        0        2
22192 gcc-11-multilib                    	       0        8        0        0        8
22193 gcc-11-multilib-i686-linux-gnu     	       0        1        0        0        1
22194 gcc-11-source                      	       0        1        0        0        1
22195 gcc-12-aarch64-linux-gnu-base      	       0       20        0        0       20
22196 gcc-12-alpha-linux-gnu             	       0        1        1        0        0
22197 gcc-12-alpha-linux-gnu-base        	       0        1        0        0        1
22198 gcc-12-arm-linux-gnueabi           	       0       12       12        0        0
22199 gcc-12-arm-linux-gnueabi-base      	       0       12        0        0       12
22200 gcc-12-arm-linux-gnueabihf         	       0       13       13        0        0
22201 gcc-12-arm-linux-gnueabihf-base    	       0       14        0        0       14
22202 gcc-12-base                        	       0     2999        0        0     2999
22203 gcc-12-cross-base                  	       0       50        0        0       50
22204 gcc-12-cross-base-mipsen           	       0        7        0        0        7
22205 gcc-12-cross-base-ports            	       0       14        0        0       14
22206 gcc-12-doc                         	       0       64        0        0       64
22207 gcc-12-hppa-linux-gnu              	       0        1        1        0        0
22208 gcc-12-hppa-linux-gnu-base         	       0        1        0        0        1
22209 gcc-12-i686-linux-gnu              	       0        4        4        0        0
22210 gcc-12-i686-linux-gnu-base         	       0        4        0        0        4
22211 gcc-12-locales                     	       0        7        0        0        7
22212 gcc-12-m68k-linux-gnu              	       0        1        1        0        0
22213 gcc-12-m68k-linux-gnu-base         	       0        1        0        0        1
22214 gcc-12-mips-linux-gnu              	       0        4        4        0        0
22215 gcc-12-mips-linux-gnu-base         	       0        4        0        0        4
22216 gcc-12-mips64-linux-gnuabi64       	       0        1        1        0        0
22217 gcc-12-mips64-linux-gnuabi64-base  	       0        1        0        0        1
22218 gcc-12-mipsel-linux-gnu            	       0        4        4        0        0
22219 gcc-12-mipsel-linux-gnu-base       	       0        4        0        0        4
22220 gcc-12-multilib                    	       0      130        0        0      130
22221 gcc-12-multilib-i686-linux-gnu     	       0        2        0        0        2
22222 gcc-12-multilib-mips-linux-gnu     	       0        1        0        0        1
22223 gcc-12-multilib-mipsel-linux-gnu   	       0        1        0        0        1
22224 gcc-12-multilib-x86-64-linux-gnux32	       0        4        0        0        4
22225 gcc-12-plugin-dev                  	       0        1        0        0        1
22226 gcc-12-plugin-dev-aarch64-linux-gnu	       0        1        0        0        1
22227 gcc-12-powerpc-linux-gnu           	       0        2        2        0        0
22228 gcc-12-powerpc-linux-gnu-base      	       0        2        0        0        2
22229 gcc-12-powerpc64-linux-gnu         	       0        1        1        0        0
22230 gcc-12-powerpc64-linux-gnu-base    	       0        1        0        0        1
22231 gcc-12-riscv64-linux-gnu           	       0        5        5        0        0
22232 gcc-12-riscv64-linux-gnu-base      	       0        6        0        0        6
22233 gcc-12-s390x-linux-gnu             	       0        2        2        0        0
22234 gcc-12-s390x-linux-gnu-base        	       0        2        0        0        2
22235 gcc-12-source                      	       0        2        0        0        2
22236 gcc-12-sparc64-linux-gnu           	       0        1        1        0        0
22237 gcc-12-sparc64-linux-gnu-base      	       0        1        0        0        1
22238 gcc-12-x86-64-linux-gnux32         	       0        4        4        0        0
22239 gcc-12-x86-64-linux-gnux32-base    	       0        4        0        0        4
22240 gcc-13-aarch64-linux-gnu           	       0        1        1        0        0
22241 gcc-13-aarch64-linux-gnu-base      	       0        1        0        0        1
22242 gcc-13-base                        	       0      164        0        0      164
22243 gcc-13-cross-base                  	       0        2        0        0        2
22244 gcc-13-doc                         	       0       10        0        0       10
22245 gcc-13-i686-linux-gnu              	       0        4        4        0        0
22246 gcc-13-locales                     	       0        4        0        0        4
22247 gcc-13-multilib                    	       0       17        0        0       17
22248 gcc-13-powerpc64le-linux-gnu       	       0        1        1        0        0
22249 gcc-13-powerpc64le-linux-gnu-base  	       0        1        0        0        1
22250 gcc-13-riscv64-linux-gnu           	       0        1        1        0        0
22251 gcc-13-source                      	       0        1        0        0        1
22252 gcc-13-test-results                	       0        1        0        0        1
22253 gcc-14-aarch64-linux-gnu           	       0        5        5        0        0
22254 gcc-14-aarch64-linux-gnu-base      	       0        5        0        0        5
22255 gcc-14-alpha-linux-gnu             	       0        1        1        0        0
22256 gcc-14-alpha-linux-gnu-base        	       0        1        0        0        1
22257 gcc-14-arm-linux-gnueabi           	       0        2        2        0        0
22258 gcc-14-arm-linux-gnueabi-base      	       0        2        0        0        2
22259 gcc-14-arm-linux-gnueabihf         	       0        5        5        0        0
22260 gcc-14-arm-linux-gnueabihf-base    	       0        5        0        0        5
22261 gcc-14-base                        	       0      315        0        0      315
22262 gcc-14-cross-base                  	       0       10        0        0       10
22263 gcc-14-cross-base-ports            	       0        3        0        0        3
22264 gcc-14-doc                         	       0        9        0        0        9
22265 gcc-14-for-build                   	       0        1        0        0        1
22266 gcc-14-hppa-linux-gnu              	       0        1        1        0        0
22267 gcc-14-hppa-linux-gnu-base         	       0        1        0        0        1
22268 gcc-14-i686-linux-gnu              	       0        7        6        1        0
22269 gcc-14-i686-linux-gnu-base         	       0        2        0        0        2
22270 gcc-14-locales                     	       0        3        0        0        3
22271 gcc-14-multilib                    	       0       24        0        0       24
22272 gcc-14-multilib-i686-linux-gnu     	       0        1        0        0        1
22273 gcc-14-plugin-dev                  	       0        1        0        0        1
22274 gcc-14-powerpc-linux-gnu           	       0        2        2        0        0
22275 gcc-14-powerpc-linux-gnu-base      	       0        2        0        0        2
22276 gcc-14-powerpc64-linux-gnu         	       0        3        3        0        0
22277 gcc-14-powerpc64-linux-gnu-base    	       0        3        0        0        3
22278 gcc-14-powerpc64le-linux-gnu       	       0        1        1        0        0
22279 gcc-14-powerpc64le-linux-gnu-base  	       0        1        0        0        1
22280 gcc-14-riscv64-linux-gnu           	       0        3        3        0        0
22281 gcc-14-riscv64-linux-gnu-base      	       0        2        0        0        2
22282 gcc-14-s390x-linux-gnu             	       0        1        1        0        0
22283 gcc-14-s390x-linux-gnu-base        	       0        1        0        0        1
22284 gcc-14-source                      	       0        1        0        0        1
22285 gcc-14-sparc64-linux-gnu           	       0        1        1        0        0
22286 gcc-14-sparc64-linux-gnu-base      	       0        1        0        0        1
22287 gcc-14-test-results                	       0        1        0        0        1
22288 gcc-14-x86-64-linux-gnu-base       	       0        1        0        0        1
22289 gcc-15-base                        	       0        2        0        0        2
22290 gcc-3.3                            	       0        3        3        0        0
22291 gcc-3.3-base                       	       0        3        0        0        3
22292 gcc-3.4-base                       	       0        1        0        0        1
22293 gcc-4.0-base                       	       0        1        0        0        1
22294 gcc-4.0-doc                        	       0        1        0        0        1
22295 gcc-4.1-base                       	       0        4        0        0        4
22296 gcc-4.1-locales                    	       0        1        0        0        1
22297 gcc-4.2                            	       0        2        2        0        0
22298 gcc-4.2-base                       	       0        8        0        0        8
22299 gcc-4.3                            	       0        1        1        0        0
22300 gcc-4.3-arm-linux-gnueabi          	       0        1        1        0        0
22301 gcc-4.3-arm-linux-gnueabi-base     	       0        1        0        0        1
22302 gcc-4.3-base                       	       0       12        0        0       12
22303 gcc-4.3-doc                        	       0        2        0        0        2
22304 gcc-4.3-locales                    	       0        1        0        0        1
22305 gcc-4.4-base                       	       0       28        0        0       28
22306 gcc-4.4-doc                        	       0        3        0        0        3
22307 gcc-4.4-locales                    	       0        1        0        0        1
22308 gcc-4.5                            	       0        1        1        0        0
22309 gcc-4.5-base                       	       0        4        0        0        4
22310 gcc-4.6-base                       	       0       34        0        0       34
22311 gcc-4.6-locales                    	       0        1        0        0        1
22312 gcc-4.6-multilib                   	       0        1        0        0        1
22313 gcc-4.7                            	       0        7        7        0        0
22314 gcc-4.7-base                       	       0       13        0        0       13
22315 gcc-4.7-doc                        	       0        5        0        0        5
22316 gcc-4.7-locales                    	       0        1        0        0        1
22317 gcc-4.7-multilib                   	       0        1        0        0        1
22318 gcc-4.8-base                       	       0      232        0        0      232
22319 gcc-4.8-doc                        	       0        1        0        0        1
22320 gcc-4.8-locales                    	       0        1        0        0        1
22321 gcc-4.8-plugin-dev                 	       0        1        0        0        1
22322 gcc-4.9-base                       	       0      291        0        0      291
22323 gcc-4.9-doc                        	       0        9        0        0        9
22324 gcc-4.9-locales                    	       0        2        0        0        2
22325 gcc-4.9-multilib                   	       0        5        0        0        5
22326 gcc-4.9-plugin-dev                 	       0        1        0        0        1
22327 gcc-5                              	       0        5        5        0        0
22328 gcc-5-base                         	       0       12        0        0       12
22329 gcc-6-aarch64-linux-gnu            	       0        2        2        0        0
22330 gcc-6-aarch64-linux-gnu-base       	       0        2        0        0        2
22331 gcc-6-arm-linux-gnueabihf          	       0        1        1        0        0
22332 gcc-6-arm-linux-gnueabihf-base     	       0        1        0        0        1
22333 gcc-6-base                         	       0      653        0        0      653
22334 gcc-6-cross-base                   	       0        2        0        0        2
22335 gcc-6-doc                          	       0       21        0        0       21
22336 gcc-6-locales                      	       0        3        0        0        3
22337 gcc-6-multilib                     	       0        7        0        0        7
22338 gcc-7                              	       0        5        5        0        0
22339 gcc-7-base                         	       0      308        0        0      308
22340 gcc-7-doc                          	       0        1        0        0        1
22341 gcc-7-locales                      	       0        1        0        0        1
22342 gcc-7-source                       	       0        1        0        0        1
22343 gcc-8-aarch64-linux-gnu            	       0        7        7        0        0
22344 gcc-8-aarch64-linux-gnu-base       	       0        7        0        0        7
22345 gcc-8-arm-linux-gnueabi            	       0        2        2        0        0
22346 gcc-8-arm-linux-gnueabi-base       	       0        2        0        0        2
22347 gcc-8-arm-linux-gnueabihf          	       0        5        5        0        0
22348 gcc-8-arm-linux-gnueabihf-base     	       0        5        0        0        5
22349 gcc-8-base                         	       0      917        0        0      917
22350 gcc-8-cross-base                   	       0       14        0        0       14
22351 gcc-8-cross-base-ports             	       0        2        0        0        2
22352 gcc-8-doc                          	       0        4        0        0        4
22353 gcc-8-i686-linux-gnu               	       0        2        2        0        0
22354 gcc-8-i686-linux-gnu-base          	       0        2        0        0        2
22355 gcc-8-locales                      	       0        1        0        0        1
22356 gcc-8-mips-linux-gnu               	       0        1        1        0        0
22357 gcc-8-mips-linux-gnu-base          	       0        1        0        0        1
22358 gcc-8-mips64el-linux-gnuabi64      	       0        1        1        0        0
22359 gcc-8-mips64el-linux-gnuabi64-base 	       0        1        0        0        1
22360 gcc-8-mipsel-linux-gnu             	       0        1        1        0        0
22361 gcc-8-mipsel-linux-gnu-base        	       0        1        0        0        1
22362 gcc-8-multilib                     	       0       10        0        0       10
22363 gcc-8-multilib-i686-linux-gnu      	       0        1        0        0        1
22364 gcc-8-multilib-x86-64-linux-gnux32 	       0        1        0        0        1
22365 gcc-8-source                       	       0        1        0        0        1
22366 gcc-8-x86-64-linux-gnux32          	       0        2        2        0        0
22367 gcc-8-x86-64-linux-gnux32-base     	       0        2        0        0        2
22368 gcc-9-aarch64-linux-gnu            	       0        1        1        0        0
22369 gcc-9-aarch64-linux-gnu-base       	       0        1        0        0        1
22370 gcc-9-arm-linux-gnueabi            	       0        1        1        0        0
22371 gcc-9-arm-linux-gnueabi-base       	       0        1        0        0        1
22372 gcc-9-arm-linux-gnueabihf          	       0        2        2        0        0
22373 gcc-9-arm-linux-gnueabihf-base     	       0        2        0        0        2
22374 gcc-9-base                         	       0     1177        0        0     1177
22375 gcc-9-build-deps                   	       0        1        0        0        1
22376 gcc-9-cross-base                   	       0        9        0        0        9
22377 gcc-9-cross-base-ports             	       0        1        0        0        1
22378 gcc-9-doc                          	       0        6        0        0        6
22379 gcc-9-locales                      	       0        2        0        0        2
22380 gcc-9-multilib                     	       0        3        0        0        3
22381 gcc-alpha-linux-gnu                	       0        3        3        0        0
22382 gcc-arm-linux-gnueabi              	       0       18       18        0        0
22383 gcc-arm-linux-gnueabihf            	       0       28       28        0        0
22384 gcc-arm-none-eabi-dbgsym           	       0        1        1        0        0
22385 gcc-arm-none-eabi-source           	       0        1        0        0        1
22386 gcc-doc                            	       0       67        0        0       67
22387 gcc-doc-base                       	       0       97        0        0       97
22388 gcc-for-build                      	       0        1        0        0        1
22389 gcc-hppa-linux-gnu                 	       0        3        3        0        0
22390 gcc-i686-linux-gnu                 	       0       16       15        1        0
22391 gcc-ia16-elf                       	       0        1        1        0        0
22392 gcc-m68k-linux-gnu                 	       0        1        1        0        0
22393 gcc-mingw-w64                      	       0       33        0        0       33
22394 gcc-mingw-w64-base                 	       0       44        0        0       44
22395 gcc-mingw-w64-i686                 	       0       38        4        0       34
22396 gcc-mingw-w64-i686-posix           	       0       36       35        1        0
22397 gcc-mingw-w64-i686-posix-runtime   	       0       36        0        0       36
22398 gcc-mingw-w64-i686-win32-runtime   	       0       36        0        0       36
22399 gcc-mingw-w64-x86-64               	       0       39        4        0       35
22400 gcc-mingw-w64-x86-64-posix         	       0       38       36        2        0
22401 gcc-mingw-w64-x86-64-posix-runtime 	       0       38        0        0       38
22402 gcc-mingw-w64-x86-64-win32-runtime 	       0       38        0        0       38
22403 gcc-mips-linux-gnu                 	       0        5        5        0        0
22404 gcc-mips64-linux-gnuabi64          	       0        1        1        0        0
22405 gcc-mips64el-linux-gnuabi64        	       0        1        1        0        0
22406 gcc-mipsel-linux-gnu               	       0        6        6        0        0
22407 gcc-msp430                         	       0        2        2        0        0
22408 gcc-multilib                       	       0      163        0        0      163
22409 gcc-multilib-i686-linux-gnu        	       0        3        0        0        3
22410 gcc-multilib-x86-64-linux-gnux32   	       0        1        0        0        1
22411 gcc-or1k-elf                       	       0        1        1        0        0
22412 gcc-powerpc-linux-gnu              	       0        5        5        0        0
22413 gcc-powerpc64-linux-gnu            	       0        5        5        0        0
22414 gcc-powerpc64le-linux-gnu          	       0        1        1        0        0
22415 gcc-riscv64-linux-gnu              	       0        8        8        0        0
22416 gcc-riscv64-unknown-elf            	       0        3        3        0        0
22417 gcc-s390x-linux-gnu                	       0        4        4        0        0
22418 gcc-sparc64-linux-gnu              	       0        3        3        0        0
22419 gcc-x86-64-linux-gnux32            	       0        1        1        0        0
22420 gcc-xtensa-lx106                   	       0        1        1        0        0
22421 gccgo                              	       0        9        9        0        0
22422 gccgo-10-doc                       	       0        1        0        0        1
22423 gccgo-11-i686-linux-gnu            	       0        1        1        0        0
22424 gccgo-11-multilib-i686-linux-gnu   	       0        1        0        0        1
22425 gccgo-13                           	       0        1        1        0        0
22426 gccgo-14                           	       0        2        2        0        0
22427 gccgo-14-doc                       	       0        1        0        0        1
22428 gccgo-14-x86-64-linux-gnu          	       0        2        2        0        0
22429 gccgo-6                            	       0        1        1        0        0
22430 gccgo-6-doc                        	       0        1        0        0        1
22431 gccgo-8                            	       0        1        1        0        0
22432 gccgo-8-doc                        	       0        1        0        0        1
22433 gccgo-doc                          	       0        1        0        0        1
22434 gccgo-go                           	       0        1        1        0        0
22435 gccgo-x86-64-linux-gnu             	       0        2        2        0        0
22436 gccintro                           	       0        7        0        0        7
22437 gccrs-14                           	       0        1        0        1        0
22438 gccrs-14-for-build                 	       0        1        0        0        1
22439 gccrs-14-x86-64-linux-gnu          	       0        1        0        1        0
22440 gccxml                             	       0        5        5        0        0
22441 gcdmaster                          	       0        2        2        0        0
22442 gcin                               	       0        4        4        0        0
22443 gcin-data                          	       0        4        0        0        4
22444 gcin-gtk2-immodule                 	       0        4        0        0        4
22445 gcin-gtk3-immodule                 	       0        4        1        0        3
22446 gcin-tables                        	       0        4        0        0        4
22447 gcipher                            	       0        1        1        0        0
22448 gcj-4.0-base                       	       0        1        0        0        1
22449 gcj-4.1-base                       	       0        3        0        0        3
22450 gcj-4.2-base                       	       0        2        0        0        2
22451 gcj-4.3-base                       	       0        1        0        0        1
22452 gcj-4.4-base                       	       0        1        0        0        1
22453 gcj-4.4-jre                        	       0        1        0        0        1
22454 gcj-4.4-jre-headless               	       0        1        1        0        0
22455 gcj-4.4-jre-lib                    	       0        1        0        0        1
22456 gcj-4.6-base                       	       0        5        0        0        5
22457 gcj-4.6-jre-headless               	       0        2        2        0        0
22458 gcj-4.6-jre-lib                    	       0        3        0        0        3
22459 gcj-4.7-base                       	       0       10        0        0       10
22460 gcj-4.7-jre                        	       0        1        0        0        1
22461 gcj-4.7-jre-headless               	       0        3        3        0        0
22462 gcj-4.7-jre-lib                    	       0        3        0        0        3
22463 gcj-4.8-jre-headless               	       0        1        1        0        0
22464 gcj-4.8-jre-lib                    	       0        1        0        0        1
22465 gcj-4.9                            	       0        1        1        0        0
22466 gcj-4.9-jdk                        	       0        1        1        0        0
22467 gcj-4.9-jre                        	       0        1        0        0        1
22468 gcj-4.9-jre-lib                    	       0        8        0        0        8
22469 gcj-4.9-source                     	       0        1        0        0        1
22470 gcj-6                              	       0        2        2        0        0
22471 gcj-6-doc                          	       0        1        0        0        1
22472 gcj-6-jdk                          	       0        2        2        0        0
22473 gcj-6-jre                          	       0        6        0        0        6
22474 gcj-6-jre-lib                      	       0       52        0        0       52
22475 gcj-doc                            	       0        1        0        0        1
22476 gcj-jdk                            	       0        2        2        0        0
22477 gcj-jre                            	       0        5        0        0        5
22478 gcl                                	       0        9        8        1        0
22479 gcl-doc                            	       0        6        0        0        6
22480 gcli                               	       0        3        3        0        0
22481 gcm                                	       0        5        5        0        0
22482 gcobol-15                          	       0        1        1        0        0
22483 gcobol-15-x86-64-linux-gnu         	       0        1        1        0        0
22484 gcodeworkshop                      	       0        1        1        0        0
22485 gcolor2                            	       0        8        8        0        0
22486 gcompris                           	       0       14        2        0       12
22487 gcompris-data                      	       0        3        0        0        3
22488 gcompris-qt                        	       0       29       28        1        0
22489 gcompris-qt-data                   	       0       29        0        0       29
22490 gcompris-sound-en                  	       0        3        0        0        3
22491 gconf-cleaner                      	       0        1        1        0        0
22492 gconf-defaults-service             	       0       26        0        0       26
22493 gconf-editor                       	       0        9        9        0        0
22494 gconf-gsettings-backend            	       0       20        0        0       20
22495 gcovr                              	       0        4        3        1        0
22496 gcrontab                           	       0        1        1        0        0
22497 gcrystal                           	       0        1        1        0        0
22498 gcu-bin                            	       0        1        1        0        0
22499 gcx                                	       0        1        1        0        0
22500 gda2-postgres                      	       0        1        1        0        0
22501 gdal-data                          	       0      656        0        0      656
22502 gdal-plugins                       	       0      527        0        0      527
22503 gdb-arm-none-eabi                  	       0        2        2        0        0
22504 gdb-avr                            	       0       30       30        0        0
22505 gdb-bpf                            	       0        1        0        1        0
22506 gdb-doc                            	       0       57        0        0       57
22507 gdb-mingw-w64                      	       0        4        4        0        0
22508 gdb-mingw-w64-target               	       0        5        0        0        5
22509 gdb-msp430                         	       0        2        2        0        0
22510 gdb-multiarch                      	       0       18       18        0        0
22511 gdb-source                         	       0        3        0        0        3
22512 gdbm-l10n                          	       0      456        0        0      456
22513 gdbmtool                           	       0        3        3        0        0
22514 gdc                                	       0       14       14        0        0
22515 gdc-10                             	       0        3        3        0        0
22516 gdc-10-aarch64-linux-gnu           	       0        1        1        0        0
22517 gdc-12                             	       0       11       11        0        0
22518 gdc-13                             	       0        1        1        0        0
22519 gdc-14                             	       0        1        1        0        0
22520 gdc-14-x86-64-linux-gnu            	       0        1        1        0        0
22521 gdc-4.9                            	       0        2        2        0        0
22522 gdc-aarch64-linux-gnu              	       0        1        1        0        0
22523 gdc-x86-64-linux-gnu               	       0        1        1        0        0
22524 gdcm-doc                           	       0        1        0        0        1
22525 gdevilspie                         	       0        1        1        0        0
22526 gdf-tools                          	       0        2        2        0        0
22527 gdis                               	       0        5        5        0        0
22528 gdis-data                          	       0        5        0        0        5
22529 gdiskdump                          	       0        1        1        0        0
22530 gdk-imlib11                        	       0        1        1        0        0
22531 gdk-pixbuf-tests                   	       0        3        0        0        3
22532 gdl-astrolib                       	       0        1        0        0        1
22533 gdl-coyote                         	       0        2        0        0        2
22534 gdl-mpfit                          	       0        1        0        0        1
22535 gdm                                	       0        1        1        0        0
22536 gdmd                               	       0        1        1        0        0
22537 gdpc                               	       0        1        1        0        0
22538 gds-tools-11-7                     	       0        1        1        0        0
22539 gds-tools-12-4                     	       0        2        0        0        2
22540 gds-tools-12-6                     	       0        4        0        0        4
22541 gds-tools-12-8                     	       0        2        0        0        2
22542 gdu                                	       0        7        6        1        0
22543 geany-kvirc                        	       0        1        1        0        0
22544 geany-plugin-addons                	       0       87        0        0       87
22545 geany-plugin-autoclose             	       0       71        0        0       71
22546 geany-plugin-automark              	       0       77        0        0       77
22547 geany-plugin-codenav               	       0       73        0        0       73
22548 geany-plugin-commander             	       0       71        0        0       71
22549 geany-plugin-ctags                 	       0       68        0        0       68
22550 geany-plugin-debugger              	       0       67        0        0       67
22551 geany-plugin-defineformat          	       0       67        0        0       67
22552 geany-plugin-devhelp               	       0        2        0        0        2
22553 geany-plugin-doc                   	       0       70        0        0       70
22554 geany-plugin-extrasel              	       0       69        0        0       69
22555 geany-plugin-gendoc                	       0       68        0        0       68
22556 geany-plugin-geniuspaste           	       0       68        0        0       68
22557 geany-plugin-git-changebar         	       0       72        0        0       72
22558 geany-plugin-gproject              	       0       12        0        0       12
22559 geany-plugin-insertnum             	       0       73        0        0       73
22560 geany-plugin-keyrecord             	       0       66        0        0       66
22561 geany-plugin-latex                 	       0       73        0        0       73
22562 geany-plugin-lineoperations        	       0       76        0        0       76
22563 geany-plugin-lipsum                	       0       70        0        0       70
22564 geany-plugin-lua                   	       0       68        0        0       68
22565 geany-plugin-macro                 	       0       72        0        0       72
22566 geany-plugin-markdown              	       0       76        0        0       76
22567 geany-plugin-miniscript            	       0       70        0        0       70
22568 geany-plugin-multiterm             	       0        2        0        0        2
22569 geany-plugin-numberedbookmarks     	       0       69        0        0       69
22570 geany-plugin-overview              	       0       68        0        0       68
22571 geany-plugin-pairtaghighlighter    	       0       70        0        0       70
22572 geany-plugin-pg                    	       0       68        0        0       68
22573 geany-plugin-pohelper              	       0       68        0        0       68
22574 geany-plugin-prettyprinter         	       0       69        0        0       69
22575 geany-plugin-prj                   	       0       69        0        0       69
22576 geany-plugin-projectorganizer      	       0       69        0        0       69
22577 geany-plugin-py                    	       0        2        0        0        2
22578 geany-plugin-scope                 	       0       66        0        0       66
22579 geany-plugin-sendmail              	       0       67        0        0       67
22580 geany-plugin-shiftcolumn           	       0       69        0        0       69
22581 geany-plugin-spellcheck            	       0       85        0        0       85
22582 geany-plugin-tableconvert          	       0       70        0        0       70
22583 geany-plugin-treebrowser           	       0       77        0        0       77
22584 geany-plugin-updatechecker         	       0       68        0        0       68
22585 geany-plugin-vc                    	       0       68        0        0       68
22586 geany-plugin-vimode                	       0       70        0        0       70
22587 geany-plugin-webhelper             	       0        3        0        0        3
22588 geany-plugin-workbench             	       0       67        0        0       67
22589 geany-plugin-xmlsnippets           	       0       68        0        0       68
22590 geany-plugins-common               	       0      111        0        0      111
22591 gearhead                           	       0        7        7        0        0
22592 gearhead-data                      	       0       11        0        0       11
22593 gearhead-sdl                       	       0        4        3        1        0
22594 gearhead2                          	       0        4        4        0        0
22595 gearhead2-data                     	       0        6        0        0        6
22596 gearhead2-sdl                      	       0        4        3        1        0
22597 geary                              	       0        6        6        0        0
22598 gecko-mediaplayer                  	       0        1        1        0        0
22599 geda                               	       0       12        0        0       12
22600 geda-doc                           	       0       16        0        0       16
22601 geda-examples                      	       0       12        0        0       12
22602 geda-gattrib                       	       0       11       11        0        0
22603 geda-gnetlist                      	       0       12       12        0        0
22604 geda-gschem                        	       0       12       12        0        0
22605 geda-gsymcheck                     	       0       12       12        0        0
22606 geda-symbols                       	       0       15        0        0       15
22607 geda-utils                         	       0        6        6        0        0
22608 geda-xgsch2pcb                     	       0        4        4        0        0
22609 gedit-latex-plugin                 	       0        2        2        0        0
22610 gedit-plugin-bookmarks             	       0      122        0        0      122
22611 gedit-plugin-bracket-completion    	       0      122        0        0      122
22612 gedit-plugin-character-map         	       0      124        0        0      124
22613 gedit-plugin-code-comment          	       0      122        0        0      122
22614 gedit-plugin-color-picker          	       0      127        0        0      127
22615 gedit-plugin-color-schemer         	       0      118        0        0      118
22616 gedit-plugin-commander             	       0       59        0        0       59
22617 gedit-plugin-draw-spaces           	       0      122        1        0      121
22618 gedit-plugin-find-in-files         	       0       59        0        0       59
22619 gedit-plugin-git                   	       0      121        0        0      121
22620 gedit-plugin-join-lines            	       0      124        0        0      124
22621 gedit-plugin-multi-edit            	       0      124        0        0      124
22622 gedit-plugin-session-saver         	       0      113        0        0      113
22623 gedit-plugin-smart-spaces          	       0      122        0        0      122
22624 gedit-plugin-synctex               	       0      118        0        0      118
22625 gedit-plugin-terminal              	       0      122        0        0      122
22626 gedit-plugin-text-size             	       0      111        0        0      111
22627 gedit-plugin-translate             	       0       59        0        0       59
22628 gedit-plugin-word-completion       	       0      122        0        0      122
22629 gedit-plugin-zeitgeist             	       0       11        0        0       11
22630 gedit-plugins                      	       0      129        0        0      129
22631 gedit-plugins-common               	       0      129        0        0      129
22632 gedit-source-code-browser-plugin   	       0        4        4        0        0
22633 geekcode                           	       0        6        6        0        0
22634 geg                                	       0        4        4        0        0
22635 gegl                               	       0        7        7        0        0
22636 geiser                             	       0        1        0        0        1
22637 geki2                              	       0        3        3        0        0
22638 geki3                              	       0        3        3        0        0
22639 gelemental                         	       0        7        7        0        0
22640 gem                                	       0       16       16        0        0
22641 gem-doc                            	       0       15        0        0       15
22642 gem-extra                          	       0       16       15        1        0
22643 gem-plugin-assimp                  	       0       15       15        0        0
22644 gem-plugin-dv4l                    	       0        2        2        0        0
22645 gem-plugin-glfw3                   	       0        1        1        0        0
22646 gem-plugin-gmerlin                 	       0       15       15        0        0
22647 gem-plugin-jpeg                    	       0        2        2        0        0
22648 gem-plugin-lqt                     	       0       13       13        0        0
22649 gem-plugin-magick                  	       0       15       15        0        0
22650 gem-plugin-mpeg3                   	       0        1        1        0        0
22651 gem-plugin-sdl                     	       0        1        1        0        0
22652 gem-plugin-tiff                    	       0        1        1        0        0
22653 gem-plugin-v4l2                    	       0       14       14        0        0
22654 gem-plugin-vlc                     	       0        2        2        0        0
22655 gem2deb                            	       0        9        9        0        0
22656 gem2deb-test-runner                	       0       10       10        0        0
22657 gemdropx                           	       0       11       11        0        0
22658 gemrb                              	       0        1        1        0        0
22659 gemrb-data                         	       0        1        0        0        1
22660 gendarme                           	       0        4        4        0        0
22661 generate-ninja                     	       0        9        9        0        0
22662 generator-scripting-language       	       0        2        2        0        0
22663 generator-scripting-language-examples	       0        2        2        0        0
22664 geneweb-gui                        	       0        2        2        0        0
22665 gengetopt                          	       0       11       11        0        0
22666 genimage                           	       0        3        3        0        0
22667 genius-common                      	       0       28        0        0       28
22668 genometools-common                 	       0        1        0        0        1
22669 genparse                           	       0        1        1        0        0
22670 gentle                             	       0        2        2        0        0
22671 gentoo                             	       0        7        7        0        0
22672 geoclue                            	       0        4        4        0        0
22673 geoclue-2-demo                     	       0        4        0        0        4
22674 geoclue-doc                        	       0        2        0        0        2
22675 geoclue-examples                   	       0        1        1        0        0
22676 geoclue-hostip                     	       0        4        4        0        0
22677 geoclue-localnet                   	       0        4        4        0        0
22678 geoclue-manual                     	       0        4        4        0        0
22679 geoclue-nominatim                  	       0        2        2        0        0
22680 geoclue-yahoo                      	       0        3        3        0        0
22681 geocode-glib-common                	       0      436        0        0      436
22682 geoeasy                            	       0        1        1        0        0
22683 geoeasy-doc                        	       0        1        0        0        1
22684 geogebra-classic                   	       0        2        2        0        0
22685 geogebra-kde                       	       0        1        1        0        0
22686 geogebra5                          	       0        2        2        0        0
22687 geographiclib-tools                	       0        4        4        0        0
22688 geoip-database                     	       0     1815        0        0     1815
22689 geoip-database-contrib             	       0        3        3        0        0
22690 geoip-database-extra               	       0       22        0        0       22
22691 geole-keyring                      	       0        1        0        0        1
22692 geonkick                           	       0        1        1        0        0
22693 geophar                            	       0        1        1        0        0
22694 geos-bin                           	       0        1        1        0        0
22695 geotiff-bin                        	       0       21       21        0        0
22696 geotranz                           	       0        5        5        0        0
22697 geotranz-doc                       	       0        2        0        0        2
22698 geotranz-help                      	       0        5        0        0        5
22699 gerris                             	       0        2        2        0        0
22700 gertty                             	       0        1        1        0        0
22701 ges1.0-tools                       	       0        2        2        0        0
22702 gespeaker                          	       0        3        3        0        0
22703 get-flash-videos                   	       0        5        5        0        0
22704 get-iplayer                        	       0        9        9        0        0
22705 getdns-utils                       	       0        2        2        0        0
22706 getdp                              	       0        1        1        0        0
22707 getenvoy-envoy                     	       0        1        1        0        0
22708 gethwake                           	       0        1        1        0        0
22709 getlibs                            	       0        1        1        0        0
22710 getmail                            	       0       17       10        0        7
22711 gettext-base-dbgsym                	       0        1        1        0        0
22712 gettext-build-deps                 	       0        1        0        0        1
22713 gettext-dbgsym                     	       0        1        1        0        0
22714 gettext-doc                        	       0       29       28        0        1
22715 gettext-kde                        	       0        1        1        0        0
22716 getty-run                          	       0      276        0        0      276
22717 geximon                            	       0        2        2        0        0
22718 gextractwinicons                   	       0        2        2        0        0
22719 gfan                               	       0       11       11        0        0
22720 gfax                               	       0        1        1        0        0
22721 gff2aplot                          	       0        2        2        0        0
22722 gff2ps                             	       0        2        2        0        0
22723 gfio                               	       0        5        5        0        0
22724 gfm                                	       0        5        5        0        0
22725 gfontview                          	       0        1        1        0        0
22726 gforth-common                      	       0       22        0        0       22
22727 gforth-lib                         	       0       22        0        0       22
22728 gfortran-10-doc                    	       0       10        0        0       10
22729 gfortran-10-multilib               	       0       13        0        0       13
22730 gfortran-11-doc                    	       0        2        0        0        2
22731 gfortran-11-multilib               	       0        2        0        0        2
22732 gfortran-12-doc                    	       0       14        0        0       14
22733 gfortran-12-multilib               	       0       15        0        0       15
22734 gfortran-12-multilib-x86-64-linux-gnux32	       0        1        0        0        1
22735 gfortran-12-x86-64-linux-gnux32    	       0        1        1        0        0
22736 gfortran-13-doc                    	       0        4        0        0        4
22737 gfortran-13-i686-linux-gnu         	       0        1        1        0        0
22738 gfortran-13-multilib               	       0        2        0        0        2
22739 gfortran-14-doc                    	       0        2        0        0        2
22740 gfortran-14-i686-linux-gnu         	       0        1        1        0        0
22741 gfortran-14-multilib               	       0        5        0        0        5
22742 gfortran-15                        	       0        1        1        0        0
22743 gfortran-15-x86-64-linux-gnu       	       0        1        1        0        0
22744 gfortran-4.3-doc                   	       0        1        0        0        1
22745 gfortran-4.4                       	       0        1        1        0        0
22746 gfortran-4.9                       	       0        9        9        0        0
22747 gfortran-4.9-doc                   	       0        1        0        0        1
22748 gfortran-4.9-multilib              	       0        1        0        0        1
22749 gfortran-5                         	       0        1        1        0        0
22750 gfortran-6                         	       0       31       31        0        0
22751 gfortran-6-doc                     	       0        4        0        0        4
22752 gfortran-6-multilib                	       0        1        0        0        1
22753 gfortran-8                         	       0       32       32        0        0
22754 gfortran-8-multilib                	       0        1        0        0        1
22755 gfortran-9-doc                     	       0        1        0        0        1
22756 gfortran-doc                       	       0       19        0        0       19
22757 gfortran-i686-linux-gnu            	       0        1        1        0        0
22758 gfortran-mingw-w64                 	       0        3        0        0        3
22759 gfortran-mingw-w64-i686            	       0        3        0        0        3
22760 gfortran-mingw-w64-i686-posix      	       0        3        3        0        0
22761 gfortran-mingw-w64-i686-win32      	       0        3        3        0        0
22762 gfortran-mingw-w64-x86-64          	       0        3        0        0        3
22763 gfortran-mingw-w64-x86-64-posix    	       0        3        3        0        0
22764 gfortran-mingw-w64-x86-64-win32    	       0        4        4        0        0
22765 gfortran-multilib                  	       0       17        0        0       17
22766 gfpoken                            	       0        7        7        0        0
22767 gfs2-utils                         	       0        1        1        0        0
22768 gfsecret                           	       0        3        3        0        0
22769 gftp                               	       0       39        0        0       39
22770 gftp-common                        	       0       51       50        1        0
22771 gftp-text                          	       0       43       43        0        0
22772 gfxboot                            	       0        5        5        0        0
22773 gfxboot-dev                        	       0        3        3        0        0
22774 gfxboot-themes                     	       0        4        4        0        0
22775 ggcov                              	       0        1        1        0        0
22776 ggobi                              	       0        3        3        0        0
22777 ggz                                	       0        1        0        0        1
22778 ggz-game-servers                   	       0        1        1        0        0
22779 ggz-gnome-client                   	       0        1        1        0        0
22780 ggz-gtk-client                     	       0        1        1        0        0
22781 ggz-gtk-games                      	       0        1        1        0        0
22782 ggz-gtk-games-data                 	       0        1        0        0        1
22783 ggz-kde-games                      	       0        1        1        0        0
22784 ggz-kde-games-data                 	       0        1        0        0        1
22785 ggz-sdl-games                      	       0        1        1        0        0
22786 ggz-sdl-games-data                 	       0        1        0        0        1
22787 ggz-txt-client                     	       0        1        1        0        0
22788 ggzcore-bin                        	       0        2        2        0        0
22789 ghc-8.4.4                          	       0        1        1        0        0
22790 ghc-doc                            	       0       30       30        0        0
22791 ghc-prof                           	       0       24       22        0        2
22792 ghdl                               	       0       13        1        0       12
22793 ghdl-common                        	       0       12       11        1        0
22794 ghdl-gcc                           	       0        3        3        0        0
22795 ghdl-llvm                          	       0        2        2        0        0
22796 ghdl-mcode                         	       0       12       11        1        0
22797 ghdl-tools                         	       0        3        2        1        0
22798 ghemical                           	       0        3        3        0        0
22799 ghextris                           	       0       11       11        0        0
22800 ghfaxviewer                        	       0        1        1        0        0
22801 ghi                                	       0        1        1        0        0
22802 ghidra                             	       0        1        1        0        0
22803 ghmm                               	       0        1        1        0        0
22804 ghostess                           	       0        7        7        0        0
22805 ghostscript-build-deps             	       0        1        0        0        1
22806 ghostscript-cups                   	       0        2        2        0        0
22807 ghostscript-dbgsym                 	       0        1        1        0        0
22808 ghostscript-doc                    	       0       18        0        0       18
22809 ghostscript-x-dbgsym               	       0        1        1        0        0
22810 ghostty                            	       0        3        3        0        0
22811 ghostwriter                        	       0       27       26        1        0
22812 gi-docgen                          	       0        2        1        1        0
22813 giac-doc                           	       0        1        0        0        1
22814 giada                              	       0        5        5        0        0
22815 giara                              	       0        2        2        0        0
22816 giblib1                            	       0      110        0        0      110
22817 gif2apng                           	       0        2        2        0        0
22818 gif2png                            	       0        3        3        0        0
22819 gifski                             	       0        2        2        0        0
22820 gig-uiems                          	       0        1        0        0        1
22821 gigalomania                        	       0        3        3        0        0
22822 gigalomania-data                   	       0        3        0        0        3
22823 gigatribe                          	       0        1        1        0        0
22824 gigedit                            	       0        3        3        0        0
22825 giggle                             	       0       16       16        0        0
22826 giggle-personal-details-plugin     	       0        2        2        0        0
22827 giggle-terminal-view-plugin        	       0        2        2        0        0
22828 gigtools                           	       0        5        5        0        0
22829 gij-4.0                            	       0        1        1        0        0
22830 gij-4.1                            	       0        1        1        0        0
22831 gij-4.2                            	       0        1        1        0        0
22832 gij-4.3                            	       0        1        1        0        0
22833 gimagereader-common                	       0       35        0        0       35
22834 gimagereader-qt5                   	       0        7        7        0        0
22835 gimmix                             	       0        1        1        0        0
22836 gimp-cbmplugs                      	       0       18       18        0        0
22837 gimp-data-extras                   	       0      140        0        0      140
22838 gimp-dds                           	       0        5        5        0        0
22839 gimp-dimage-color                  	       0        2        2        0        0
22840 gimp-flegita                       	       0        1        1        0        0
22841 gimp-gap                           	       0       32       32        0        0
22842 gimp-gluas                         	       0       24       24        0        0
22843 gimp-gutenprint                    	       0       97       97        0        0
22844 gimp-help-common                   	       0      176        0        0      176
22845 gimp-help-de                       	       0       35        0        0       35
22846 gimp-help-el                       	       0        3        0        0        3
22847 gimp-help-en                       	       0       78        0        0       78
22848 gimp-help-en-gb                    	       0       12        0        0       12
22849 gimp-help-es                       	       0       14        0        0       14
22850 gimp-help-fr                       	       0       10        0        0       10
22851 gimp-help-hu                       	       0        2        0        0        2
22852 gimp-help-it                       	       0        3        0        0        3
22853 gimp-help-ko                       	       0        1        0        0        1
22854 gimp-help-lt                       	       0        1        0        0        1
22855 gimp-help-nl                       	       0        3        0        0        3
22856 gimp-help-ru                       	       0       27        0        0       27
22857 gimp-help-sl                       	       0        1        0        0        1
22858 gimp-help-sv                       	       0       11        0        0       11
22859 gimp-help-uk                       	       0        2        0        0        2
22860 gimp-lensfun                       	       0       34       34        0        0
22861 gimp-manual                        	       0        1        0        0        1
22862 gimp-plugin-file-jxl               	       0        1        1        0        0
22863 gimp-plugin-registry               	       0       72       72        0        0
22864 gimp-plugin-ris                    	       0        1        1        0        0
22865 gimp-plugin-scaler-biakima         	       0        1        1        0        0
22866 gimp-python                        	       0        4        4        0        0
22867 gimp-resynthesizer                 	       0        2        0        0        2
22868 gimp-texturize                     	       0       44       44        0        0
22869 gimp-ufraw                         	       0       15       15        0        0
22870 gimp2.0-quiteinsane                	       0        1        1        0        0
22871 gimpprint-doc                      	       0        1        0        0        1
22872 ginkgocadx                         	       0        5        5        0        0
22873 gir-rust-code-generator            	       0        1        0        1        0
22874 gir-to-d                           	       0        1        1        0        0
22875 gir1.2-abi-3.0                     	       0        1        0        0        1
22876 gir1.2-accounts-1.0                	       0        1        1        0        0
22877 gir1.2-adw-1                       	       0      413        1        0      412
22878 gir1.2-ags-6.0                     	       0        1        0        0        1
22879 gir1.2-agsaudio-6.0                	       0        1        0        0        1
22880 gir1.2-amtk-5                      	       0       89        1        0       88
22881 gir1.2-appindicator-0.1            	       0        2        0        0        2
22882 gir1.2-appstreamcompose-1.0        	       0        1        0        0        1
22883 gir1.2-appstreamglib-1.0           	       0        2        0        0        2
22884 gir1.2-avahi-0.6                   	       0        1        0        0        1
22885 gir1.2-ayatanaappindicator-0.1     	       0        2        0        0        2
22886 gir1.2-ayatanaido3-0.4             	       0        8        0        0        8
22887 gir1.2-babl-0.1                    	       0       79        0        0       79
22888 gir1.2-bamf-3                      	       0       59        0        0       59
22889 gir1.2-blockdev-2.0                	       0        3        0        0        3
22890 gir1.2-brasero-3.1                 	       0        1        0        0        1
22891 gir1.2-budgie-1.0                  	       0        8        1        0        7
22892 gir1.2-budgieraven-1.0             	       0        3        1        0        2
22893 gir1.2-caja                        	       0        4        0        0        4
22894 gir1.2-camel-1.2                   	       0      239        0        0      239
22895 gir1.2-champlain-0.12              	       0       19        0        0       19
22896 gir1.2-cheese-3.0                  	       0       22        0        0       22
22897 gir1.2-cloudproviders-0.3.0        	       0       30        0        0       30
22898 gir1.2-clutter-1.0                 	       0      242        0        0      242
22899 gir1.2-clutter-gst-1.0             	       0        2        2        0        0
22900 gir1.2-clutter-gst-2.0             	       0        7        7        0        0
22901 gir1.2-clutter-gst-3.0             	       0       25        0        0       25
22902 gir1.2-cogl-1.0                    	       0      245        0        0      245
22903 gir1.2-coglpango-1.0               	       0      245        0        0      245
22904 gir1.2-colord-1.0                  	       0       20        0        0       20
22905 gir1.2-colordgtk-1.0               	       0       15        0        0       15
22906 gir1.2-cryptui-0.0                 	       0        1        0        0        1
22907 gir1.2-dazzle-1.0                  	       0       13        0        0       13
22908 gir1.2-dbusmenu-glib-0.4           	       0       24        0        0       24
22909 gir1.2-dbusmenu-gtk-0.4            	       0        5        0        0        5
22910 gir1.2-dbusmenu-gtk3-0.4           	       0        8        0        0        8
22911 gir1.2-dee-1.0                     	       0        1        1        0        0
22912 gir1.2-ebackend-1.2                	       0        4        0        0        4
22913 gir1.2-ebook-1.2                   	       0        6        0        0        6
22914 gir1.2-ebookcontacts-1.2           	       0       16        1        0       15
22915 gir1.2-ecal-2.0                    	       0      223        0        0      223
22916 gir1.2-edatabook-1.2               	       0        4        0        0        4
22917 gir1.2-edataserver-1.2             	       0      240        1        0      239
22918 gir1.2-edataserverui-1.2           	       0        1        0        0        1
22919 gir1.2-entangle-0.1                	       0        7        7        0        0
22920 gir1.2-evince-3.0                  	       0      495        0        0      495
22921 gir1.2-fcitx-1.0                   	       0        1        0        0        1
22922 gir1.2-flatpak-1.0                 	       0       18        0        0       18
22923 gir1.2-folks-0.6                   	       0        5        3        0        2
22924 gir1.2-folks-0.7                   	       0        3        0        0        3
22925 gir1.2-freedesktop-dev             	       0       53        0        0       53
22926 gir1.2-fwupd-2.0                   	       0        6        0        0        6
22927 gir1.2-ganv-1.0                    	       0        1        0        0        1
22928 gir1.2-garcon-1.0                  	       0        8        0        0        8
22929 gir1.2-garcongtk-1.0               	       0        5        0        0        5
22930 gir1.2-gcab-1.0                    	       0        2        0        0        2
22931 gir1.2-gck-2                       	       0       12        4        1        7
22932 gir1.2-gcr-4                       	       0       11        4        1        6
22933 gir1.2-gda-5.0                     	       0        4        1        0        3
22934 gir1.2-gdata-0.0                   	       0       35        0        0       35
22935 gir1.2-gdl-3                       	       0        1        0        0        1
22936 gir1.2-gdm3                        	       0        1        1        0        0
22937 gir1.2-gee-0.8                     	       0       24        0        0       24
22938 gir1.2-gee-1.0                     	       0        4        1        0        3
22939 gir1.2-gegl-0.4                    	       0       78        0        0       78
22940 gir1.2-geocodeglib-1.0             	       0       36        1        0       35
22941 gir1.2-geocodeglib-2.0             	       0      117        0        0      117
22942 gir1.2-gepub-0.4                   	       0        4        0        0        4
22943 gir1.2-gepub-0.6                   	       0        5        0        0        5
22944 gir1.2-ges-1.0                     	       0       36        1        0       35
22945 gir1.2-gfbgraph-0.2                	       0       13        0        0       13
22946 gir1.2-gimp-3.0                    	       0       56        0        0       56
22947 gir1.2-girepository-2.0-dev        	       0       10        0        0       10
22948 gir1.2-girepository-3.0            	       0        1        0        0        1
22949 gir1.2-girepository-3.0-dev        	       0        1        0        0        1
22950 gir1.2-git2-glib-1.0               	       0        9        9        0        0
22951 gir1.2-gkbd-3.0                    	       0      307        0        0      307
22952 gir1.2-gladeui-2.0                 	       0        3        0        0        3
22953 gir1.2-glib-2.0-dev                	       0       67        0        0       67
22954 gir1.2-gmenu-3.0                   	       0      247        9        0      238
22955 gir1.2-gmime-2.6                   	       0       11        0        0       11
22956 gir1.2-gmime-3.0                   	       0        6        0        0        6
22957 gir1.2-gnomeautoar-0.1             	       0        2        2        0        0
22958 gir1.2-gnomebg-4.0                 	       0       12        4        1        7
22959 gir1.2-gnomebluetooth-1.0          	       0       57        4        0       53
22960 gir1.2-gnomedesktop-4.0            	       0      308        4        1      303
22961 gir1.2-goa-1.0                     	       0      425        0        0      425
22962 gir1.2-goffice-0.10                	       0        2        0        0        2
22963 gir1.2-goocanvas-2.0               	       0       87        1        0       86
22964 gir1.2-gpaste-1.0                  	       0        1        0        0        1
22965 gir1.2-gpaste-2                    	       0        2        2        0        0
22966 gir1.2-granite-1.0                 	       0        4        0        0        4
22967 gir1.2-grilo-0.3                   	       0      123        0        0      123
22968 gir1.2-gsf-1                       	       0       17        0        0       17
22969 gir1.2-gssdp-1.0                   	       0        1        0        0        1
22970 gir1.2-gssdp-1.6                   	       0        5        0        0        5
22971 gir1.2-gst-plugins-bad-1.0         	       0      425        1        0      424
22972 gir1.2-gst-plugins-base-0.10       	       0        7        7        0        0
22973 gir1.2-gst-rtsp-server-1.0         	       0        2        0        0        2
22974 gir1.2-gstreamer-0.10              	       0       11       11        0        0
22975 gir1.2-gtkchamplain-0.12           	       0       19        0        0       19
22976 gir1.2-gtkclutter-1.0              	       0      227        0        0      227
22977 gir1.2-gtklayershell-0.1           	       0        4        0        0        4
22978 gir1.2-gtksource-300               	       0       34        0        0       34
22979 gir1.2-gucharmap-2.90              	       0      135        0        0      135
22980 gir1.2-gupnp-1.0                   	       0        1        0        0        1
22981 gir1.2-gupnp-1.6                   	       0        5        0        0        5
22982 gir1.2-gupnp-av-1.0                	       0        1        0        0        1
22983 gir1.2-gupnpdlna-2.0               	       0        1        0        0        1
22984 gir1.2-gupnpigd-1.6                	       0        3        0        0        3
22985 gir1.2-gusb-1.0                    	       0        3        2        0        1
22986 gir1.2-gweather-3.0                	       0       48        4        0       44
22987 gir1.2-gxps-0.1                    	       0        1        0        0        1
22988 gir1.2-handy-0.0                   	       0       30        0        0       30
22989 gir1.2-ical-3.0                    	       0      240        0        0      240
22990 gir1.2-inputpad-1.1                	       0        1        0        0        1
22991 gir1.2-javascriptcoregtk-3.0       	       0       18        0        0       18
22992 gir1.2-javascriptcoregtk-6.0       	       0       14        0        0       14
22993 gir1.2-jcat-1.0                    	       0        3        0        0        3
22994 gir1.2-jsonrpc-1.0                 	       0        4        0        0        4
22995 gir1.2-keybinder-0.0               	       0        1        0        0        1
22996 gir1.2-langtag-0.6                 	       0        2        0        0        2
22997 gir1.2-libinsane-1.0               	       0       14        0        0       14
22998 gir1.2-libvirt-sandbox-1.0         	       0        1        0        0        1
22999 gir1.2-libxfce4panel-2.0           	       0       17        0        0       17
23000 gir1.2-libxfce4ui-2.0              	       0       49        0        0       49
23001 gir1.2-libxfce4util-1.0            	       0       64        0        0       64
23002 gir1.2-lokdocview-0.1              	       0       65        0        0       65
23003 gir1.2-malcontentui-1              	       0        1        0        0        1
23004 gir1.2-manette-0.2                 	       0        1        0        0        1
23005 gir1.2-mate-desktop                	       0        1        0        0        1
23006 gir1.2-mate-panel                  	       0       23        0        0       23
23007 gir1.2-matekbd-1.0                 	       0        2        0        0        2
23008 gir1.2-mediaart-1.0                	       0        1        0        0        1
23009 gir1.2-mediaart-2.0                	       0      122        0        0      122
23010 gir1.2-messagingmenu-1.0           	       0        2        0        0        2
23011 gir1.2-modemmanager-1.0            	       0        2        0        0        2
23012 gir1.2-msg-1                       	       0        1        0        0        1
23013 gir1.2-mutter-12                   	       0        1        0        0        1
23014 gir1.2-mutter-14                   	       0        3        3        0        0
23015 gir1.2-mutter-15                   	       0        3        0        0        3
23016 gir1.2-mutter-16                   	       0        4        0        1        3
23017 gir1.2-mutter-3                    	       0        4        1        0        3
23018 gir1.2-mutter-3.0                  	       0        3        0        0        3
23019 gir1.2-mutter-7                    	       0       30        3        0       27
23020 gir1.2-mutter-9                    	       0        1        0        0        1
23021 gir1.2-mypaint-1.5                 	       0        1        0        0        1
23022 gir1.2-nautilus-3.0                	       0       19        0        0       19
23023 gir1.2-nautilus-4.0                	       0       37        1        0       36
23024 gir1.2-networkmanager-1.0          	       0       12        0        0       12
23025 gir1.2-nice-0.1                    	       0        4        0        0        4
23026 gir1.2-nma4-1.0                    	       0       14        4        1        9
23027 gir1.2-nmgtk-1.0                   	       0        9        0        0        9
23028 gir1.2-ostree-1.0                  	       0        6        0        0        6
23029 gir1.2-panel-1                     	       0        1        0        0        1
23030 gir1.2-panelapplet-4.0             	       0        4        1        0        3
23031 gir1.2-panelapplet-5.0             	       0        3        0        0        3
23032 gir1.2-playerctl-2.0               	       0        2        0        0        2
23033 gir1.2-poppler-0.18                	       0      176        0        0      176
23034 gir1.2-rda-1.0                     	       0        1        0        0        1
23035 gir1.2-rest-0.7                    	       0       30       29        1        0
23036 gir1.2-rest-1.0                    	       0      114        1        0      113
23037 gir1.2-restextras-1.0              	       0        1        0        0        1
23038 gir1.2-retro-1                     	       0        3        0        0        3
23039 gir1.2-shumate-1.0                 	       0      113        0        0      113
23040 gir1.2-signon-2.0                  	       0        2        2        0        0
23041 gir1.2-snapd-1                     	       0        2        0        0        2
23042 gir1.2-snapd-2                     	       0        1        0        0        1
23043 gir1.2-spelling-1                  	       0        4        0        0        4
23044 gir1.2-sugarext-1.0                	       0      299        0        0      299
23045 gir1.2-template-1.0                	       0        3        0        0        3
23046 gir1.2-tepl-6                      	       0       89        1        0       88
23047 gir1.2-thunarx-3.0                 	       0        4        0        0        4
23048 gir1.2-totemplparser-1.0           	       0      396        1        0      395
23049 gir1.2-tracker-0.14                	       0        2        2        0        0
23050 gir1.2-tracker-1.0                 	       0        8        0        0        8
23051 gir1.2-tracker-2.0                 	       0       29        0        0       29
23052 gir1.2-tracker-3.0                 	       0      114        0        0      114
23053 gir1.2-udisks-2.0                  	       0       46        0        0       46
23054 gir1.2-umockdev-1.0                	       0        2        0        0        2
23055 gir1.2-urfkill-0.5                 	       0        2        0        0        2
23056 gir1.2-urfkill-glib0               	       0        1        0        0        1
23057 gir1.2-vips-8.0                    	       0        5        4        0        1
23058 gir1.2-vte-2.90                    	       0       17       17        0        0
23059 gir1.2-vte-3.91                    	       0        1        0        0        1
23060 gir1.2-webkit-3.0                  	       0        9        0        0        9
23061 gir1.2-webkit-6.0                  	       0       14        0        0       14
23062 gir1.2-wnck-1.0                    	       0        9        0        0        9
23063 gir1.2-wp-0.4                      	       0        2        0        0        2
23064 gir1.2-wp-0.5                      	       0        2        0        0        2
23065 gir1.2-xdp-1.0                     	       0       11        0        0       11
23066 gir1.2-xdpgtk3-1.0                 	       0        3        0        0        3
23067 gir1.2-xdpgtk4-1.0                 	       0        4        0        0        4
23068 gir1.2-xfconf-0                    	       0       94        0        0       94
23069 gir1.2-xkl-1.0                     	       0      320        0        0      320
23070 gir1.2-xmlb-2.0                    	       0       25        0        0       25
23071 gir1.2-zbar-1.0                    	       0        8        0        0        8
23072 gir1.2-zeitgeist-2.0               	       0       14        0        0       14
23073 gir1.2-zpj-0.0                     	       0       28        0        0       28
23074 gis-data                           	       0        1        0        0        1
23075 gis-devel                          	       0        1        0        0        1
23076 gis-gps                            	       0        3        0        0        3
23077 gis-osm                            	       0        2        0        0        2
23078 gis-remotesensing                  	       0        3        0        0        3
23079 gis-statistics                     	       0        3        0        0        3
23080 gis-tasks                          	       0        9        0        0        9
23081 gis-web                            	       0        2        0        0        2
23082 gis-workstation                    	       0        4        0        0        4
23083 git-absorb                         	       0        2        1        1        0
23084 git-all                            	       0       29        0        0       29
23085 git-annex                          	       0       12       11        1        0
23086 git-annex-remote-rclone            	       0        5        5        0        0
23087 git-annex-standalone               	       0        1        1        0        0
23088 git-arch                           	       0        1        1        0        0
23089 git-autofixup                      	       0        2        2        0        0
23090 git-big-picture-build-deps         	       0        1        0        0        1
23091 git-buildpackage-rpm               	       0        2        2        0        0
23092 git-bump                           	       0        1        1        0        0
23093 git-cinnabar                       	       0        1        0        1        0
23094 git-core                           	       0       40        0        0       40
23095 git-crecord                        	       0        3        3        0        0
23096 git-credential-oauth               	       0        2        1        1        0
23097 git-daemon-run                     	       0        7        0        0        7
23098 git-daemon-sysvinit                	       0        6        0        0        6
23099 git-debpush                        	       0        1        0        1        0
23100 git-debrebase                      	       0        2        1        1        0
23101 git-delete-merged-branches         	       0        2        0        2        0
23102 git-delta                          	       0        1        1        0        0
23103 git-doc                            	       0      121        0        0      121
23104 git-dpm                            	       0        3        3        0        0
23105 git-filter-repo                    	       0       18       18        0        0
23106 git-hub                            	       0        8        8        0        0
23107 git-imerge                         	       0        4        4        0        0
23108 git-load-dirs                      	       0        1        1        0        0
23109 git-man                            	       0     2180        0        0     2180
23110 git-mediate                        	       0        2        2        0        0
23111 git-merge-changelog                	       0        2        1        1        0
23112 git-merge-changelog-dbgsym         	       0        1        1        0        0
23113 git-notifier                       	       0        1        1        0        0
23114 git-quick-stats                    	       0        3        3        0        0
23115 git-reintegrate                    	       0        2        2        0        0
23116 git-remote-gcrypt                  	       0       13       13        0        0
23117 git-remote-hg                      	       0        2        2        0        0
23118 git-repair                         	       0        6        6        0        0
23119 git-restore-mtime                  	       0        2        2        0        0
23120 git-review                         	       0        6        5        1        0
23121 git-revise                         	       0        1        1        0        0
23122 git-secret                         	       0        2        2        0        0
23123 git-secrets                        	       0        3        3        0        0
23124 git-sh                             	       0        1        1        0        0
23125 git-sizer                          	       0        2        2        0        0
23126 git-stuff                          	       0        1        1        0        0
23127 git-subrepo                        	       0        2        2        0        0
23128 git-tui                            	       0        1        1        0        0
23129 git2cl                             	       0        2        1        1        0
23130 gita                               	       0        4        4        0        0
23131 gitbatch                           	       0        2        1        1        0
23132 gitbrute                           	       0        2        2        0        0
23133 gitea                              	       0        1        1        0        0
23134 github-desktop                     	       0        2        2        0        0
23135 gitit                              	       0        2        2        0        0
23136 gitkraken                          	       0        3        3        0        0
23137 gitlab-ci-local                    	       0        1        0        1        0
23138 gitlab-cli                         	       0        5        5        0        0
23139 gitlab-ee                          	       0        3        3        0        0
23140 gitlab-runner-helper-images        	       0        2        0        0        2
23141 gitlab-shell                       	       0        1        1        0        0
23142 gitleaks                           	       0        1        0        1        0
23143 gitless                            	       0        5        5        0        0
23144 gitlint                            	       0        4        4        0        0
23145 gitmagic                           	       0       23        0        0       23
23146 gitolite                           	       0        1        1        0        0
23147 gitolite3                          	       0       11       11        0        0
23148 gitso                              	       0        1        1        0        0
23149 gitsome                            	       0        2        1        1        0
23150 gitstats                           	       0        1        1        0        0
23151 gittown                            	       0        1        1        0        0
23152 gittuf                             	       0        1        1        0        0
23153 giza-dev                           	       0        6        6        0        0
23154 gjacktransport                     	       0       10       10        0        0
23155 gjdoc                              	       0        1        1        0        0
23156 gjs-tests                          	       0        1        0        0        1
23157 gkrellm-gkrellmpc                  	       0        5        5        0        0
23158 gkrellm-mldonkey                   	       0        3        3        0        0
23159 gkrellmitime                       	       0        6        6        0        0
23160 gkrelltopd                         	       0        9        9        0        0
23161 gkrellxmms2                        	       0        6        6        0        0
23162 gl-117                             	       0        6        6        0        0
23163 gl-117-data                        	       0        6        0        0        6
23164 glab                               	       0        2        1        1        0
23165 glabels-data                       	       0       31        0        0       31
23166 glade                              	       0       37       37        0        0
23167 glade-common                       	       0        1        1        0        0
23168 glade-gtk2                         	       0        1        1        0        0
23169 glade2script                       	       0        3        0        0        3
23170 glade2script-python3               	       0        3        3        0        0
23171 gladish                            	       0        1        1        0        0
23172 gladtex                            	       0        1        1        0        0
23173 glam2                              	       0        1        1        0        0
23174 glance                             	       0        1        0        0        1
23175 glance-api                         	       0        1        1        0        0
23176 glance-common                      	       0        1        1        0        0
23177 glance-registry                    	       0        1        1        0        0
23178 glance-store-common                	       0        1        0        0        1
23179 glances-doc                        	       0        6        0        0        6
23180 glasscoder                         	       0        1        1        0        0
23181 glassfish-javaee                   	       0        3        0        0        3
23182 glassfish-jmac-api                 	       0        1        0        0        1
23183 glassgui                           	       0        1        1        0        0
23184 glaurung                           	       0        7        7        0        0
23185 glava                              	       0        1        1        0        0
23186 glbsp                              	       0        3        3        0        0
23187 glchess                            	       0        2        0        0        2
23188 gle-graphics                       	       0        3        3        0        0
23189 gle-graphics-manual                	       0        3        0        0        3
23190 glee-dev                           	       0        1        1        0        0
23191 glew-utils                         	       0       30       30        0        0
23192 glewlwyd                           	       0        1        1        0        0
23193 glewlwyd-common                    	       0        1        0        0        1
23194 glfer                              	       0        3        3        0        0
23195 glgrib-data                        	       0        1        0        0        1
23196 glgrib-egl                         	       0        1        1        0        0
23197 glgrib-shaders                     	       0        1        0        0        1
23198 glhack                             	       0        8        8        0        0
23199 glibc-doc                          	       0       59        0        0       59
23200 glibc-doc-reference                	       0       26        0        0       26
23201 glibc-source                       	       0       21        0        0       21
23202 gliese                             	       0        4        0        0        4
23203 glimpse                            	       0       15       15        0        0
23204 glines                             	       0        2        0        0        2
23205 gliv                               	       0        3        3        0        0
23206 glmark2-data                       	       0       20        0        0       20
23207 glmark2-drm                        	       0        1        1        0        0
23208 glmark2-es2-drm                    	       0        1        1        0        0
23209 glob2                              	       0        8        8        0        0
23210 glob2-data                         	       0        8        0        0        8
23211 global                             	       0       13       13        0        0
23212 globalprotect                      	       0        2        1        1        0
23213 globalprotect-openconnect          	       0        1        1        0        0
23214 globs                              	       0        2        2        0        0
23215 globus-gass-copy-progs             	       0        2        2        0        0
23216 globus-gridftp-server-progs        	       0        1        1        0        0
23217 glogg                              	       0        8        8        0        0
23218 glogic                             	       0       12       12        0        0
23219 glom-doc                           	       0        2        0        0        2
23220 glosstex                           	       0        3        3        0        0
23221 glosung                            	       0        1        1        0        0
23222 glotski                            	       0        1        1        0        0
23223 glow                               	       0        3        2        1        0
23224 glpeces-data                       	       0       15        0        0       15
23225 glpi                               	       0        1        1        0        0
23226 glpi-agent                         	       0        2        2        0        0
23227 glpk-doc                           	       0        1        0        0        1
23228 glpk-utils                         	       0       13       13        0        0
23229 glslang-dev                        	       0       15       14        1        0
23230 glslang-tools                      	       0       25       24        1        0
23231 glslc                              	       0        4        4        0        0
23232 gltfpack                           	       0        3        3        0        0
23233 glulxe                             	       0        4        4        0        0
23234 glunarclock                        	       0        1        1        0        0
23235 gluqlo                             	       0        2        2        0        0
23236 glurp                              	       0        5        4        1        0
23237 glusterfs-cli                      	       0        1        0        0        1
23238 glusterfs-client                   	       0        2        2        0        0
23239 glusterfs-common                   	       0        9        9        0        0
23240 glusterfs-server                   	       0        1        1        0        0
23241 glutg3                             	       0        1        0        0        1
23242 glx-alternative-mesa               	       0      267        0        0      267
23243 glx-alternative-nvidia             	       0      228        0        0      228
23244 glx-diversions                     	       0      248        0        0      248
23245 glycin-loaders                     	       0        2        0        0        2
23246 glymur-bin                         	       0        1        1        0        0
23247 glyphsinfo                         	       0        3        0        0        3
23248 gm-assistant                       	       0        2        2        0        0
23249 gm2                                	       0        4        3        1        0
23250 gm2-12                             	       0        1        1        0        0
23251 gm2-13                             	       0        1        1        0        0
23252 gm2-13-doc                         	       0        1        0        0        1
23253 gm2-13-x86-64-linux-gnu            	       0        1        1        0        0
23254 gm2-14                             	       0        3        2        1        0
23255 gm2-14-doc                         	       0        1        0        0        1
23256 gm2-14-for-build                   	       0        1        0        0        1
23257 gm2-14-x86-64-linux-gnu            	       0        3        2        1        0
23258 gm2-doc                            	       0        1        0        0        1
23259 gm2-for-build                      	       0        1        0        0        1
23260 gm2-x86-64-linux-gnu               	       0        3        2        1        0
23261 gmameui                            	       0        1        1        0        0
23262 gman                               	       0       11       10        1        0
23263 gmanedit                           	       0        3        3        0        0
23264 gmap                               	       0        1        1        0        0
23265 gmchess                            	       0        1        1        0        0
23266 gmediarender                       	       0        1        1        0        0
23267 gmerlin                            	       0        9        9        0        0
23268 gmerlin-data                       	       0        9        0        0        9
23269 gmerlin-doc                        	       0        1        0        0        1
23270 gmerlin-encoders                   	       0        1        1        0        0
23271 gmerlin-encoders-extra             	       0        3        0        0        3
23272 gmerlin-encoders-ffmpeg            	       0        8        0        0        8
23273 gmerlin-encoders-good              	       0        7        0        0        7
23274 gmerlin-plugins-avdecoder          	       0        7        0        0        7
23275 gmerlin-plugins-base               	       0        9        0        0        9
23276 gmic-zart                          	       0        9        9        0        0
23277 gmidimonitor                       	       0       11       11        0        0
23278 gmime-bin                          	       0        1        1        0        0
23279 gmlive                             	       0        2        2        0        0
23280 gmm                                	       0        1        1        0        0
23281 gmobilemedia                       	       0        1        1        0        0
23282 gmp-doc                            	       0       14        0        0       14
23283 gmp-ecm                            	       0       14       14        0        0
23284 gmpc                               	       0       14       13        1        0
23285 gmpc-data                          	       0       14        0        0       14
23286 gmpc-plugins                       	       0        2        0        0        2
23287 gmsh                               	       0       11       10        1        0
23288 gmsh-doc                           	       0       12       10        1        1
23289 gmsl                               	       0        3        0        0        3
23290 gmt-common                         	       0       10        0        0       10
23291 gmt-dcw                            	       0       10        0        0       10
23292 gmt-examples                       	       0        1        0        0        1
23293 gmt-gshhg                          	       0        1        0        0        1
23294 gmt-gshhg-full                     	       0        2        0        0        2
23295 gmt-gshhg-high                     	       0        9        0        0        9
23296 gmt-gshhg-low                      	       0        2        0        0        2
23297 gmtkbabel                          	       0        1        1        0        0
23298 gmult                              	       0        8        8        0        0
23299 gmusicbrowser                      	       0        2        2        0        0
23300 gnash-cygnal                       	       0        3        3        0        0
23301 gnash-doc                          	       0        1        0        0        1
23302 gnash-tools                        	       0        3        3        0        0
23303 gnat                               	       0       36        0        0       36
23304 gnat-10-doc                        	       0        1        0        0        1
23305 gnat-12-doc                        	       0        5        0        0        5
23306 gnat-13                            	       0        3        3        0        0
23307 gnat-13-doc                        	       0        2        0        0        2
23308 gnat-13-i686-linux-gnu             	       0        1        1        0        0
23309 gnat-13-x86-64-linux-gnu           	       0        2        2        0        0
23310 gnat-14                            	       0        2        1        1        0
23311 gnat-14-x86-64-linux-gnu           	       0        2        1        1        0
23312 gnat-15                            	       0        1        1        0        0
23313 gnat-15-x86-64-linux-gnu           	       0        1        1        0        0
23314 gnat-4.3-base                      	       0        1        0        0        1
23315 gnat-4.6-base                      	       0        1        0        0        1
23316 gnat-6                             	       0        1        1        0        0
23317 gnat-6-doc                         	       0        1        0        0        1
23318 gnat-8                             	       0        1        1        0        0
23319 gnat-9-doc                         	       0        1        0        0        1
23320 gnat-doc                           	       0        4        0        0        4
23321 gnat-gps                           	       0        2        2        0        0
23322 gnat-gps-common                    	       0        3        0        0        3
23323 gnat-gps-doc                       	       0        3        3        0        0
23324 gnats                              	       0        1        1        0        0
23325 gnats-user                         	       0        1        1        0        0
23326 gnaural                            	       0        1        1        0        0
23327 gnect                              	       0        2        0        0        2
23328 gnee                               	       0        1        1        0        0
23329 gngb                               	       0        5        5        0        0
23330 gniall                             	       0        2        2        0        0
23331 gnibbles                           	       0        1        0        0        1
23332 gnobots2                           	       0        1        0        0        1
23333 gnokii                             	       0        5        0        0        5
23334 gnokii-common                      	       0       12        0        0       12
23335 gnokii-smsd                        	       0        1        1        0        0
23336 gnome                              	       0       82        0        0       82
23337 gnome-accessibility-themes         	       0     1432        0        0     1432
23338 gnome-activity-journal             	       0        3        3        0        0
23339 gnome-alsamixer                    	       0       11       11        0        0
23340 gnome-api-docs                     	       0        1        0        0        1
23341 gnome-applets-data                 	       0       71        0        0       71
23342 gnome-audio                        	       0       12        0        0       12
23343 gnome-backgrounds                  	       0      436        0        0      436
23344 gnome-bin                          	       0        1        1        0        0
23345 gnome-bluetooth                    	       0       48       25        0       23
23346 gnome-bluetooth-3-common           	       0      331        0        0      331
23347 gnome-bluetooth-common             	       0       17        0        0       17
23348 gnome-books                        	       0        3        3        0        0
23349 gnome-boxes                        	       0       24       22        2        0
23350 gnome-brave-icon-theme             	       0      169        0        0      169
23351 gnome-breakout                     	       0        7        7        0        0
23352 gnome-btdownload                   	       0        1        1        0        0
23353 gnome-builder                      	       0        2        2        0        0
23354 gnome-calls-doc                    	       0        1        0        0        1
23355 gnome-cards-data                   	       0       40        0        0       40
23356 gnome-classic                      	       0        2        1        1        0
23357 gnome-classic-xsession             	       0        2        0        0        2
23358 gnome-codec-install                	       0        1        1        0        0
23359 gnome-color-chooser                	       0        3        3        0        0
23360 gnome-colors                       	       0      161        0        0      161
23361 gnome-colors-common                	       0      196        0        0      196
23362 gnome-common                       	       0       18       18        0        0
23363 gnome-connection-manager           	       0        1        0        0        1
23364 gnome-connections                  	       0        4        3        1        0
23365 gnome-console                      	       0        3        3        0        0
23366 gnome-control-center-data          	       0      423        8        0      415
23367 gnome-core                         	       0       92        0        0       92
23368 gnome-desktop-data                 	       0        4        0        0        4
23369 gnome-desktop-testing              	       0        7        7        0        0
23370 gnome-devel                        	       0        1        0        0        1
23371 gnome-devel-docs                   	       0        1        0        0        1
23372 gnome-dictionary                   	       0       21       20        1        0
23373 gnome-disk-utility-build-deps      	       0        1        0        0        1
23374 gnome-disk-utility-dbgsym          	       0        1        1        0        0
23375 gnome-do                           	       0        1        1        0        0
23376 gnome-do-plugins                   	       0        1        1        0        0
23377 gnome-doc-tools                    	       0        1        1        0        0
23378 gnome-doc-utils                    	       0        2        2        0        0
23379 gnome-documents                    	       0       24       23        1        0
23380 gnome-dust-icon-theme              	       0      169        0        0      169
23381 gnome-exe-thumbnailer              	       0        3        1        0        2
23382 gnome-extra-icons                  	       0     2959        0        0     2959
23383 gnome-feeds                        	       0        3        3        0        0
23384 gnome-flashback-common             	       0       67       53       11        3
23385 gnome-games                        	       0      352        0        0      352
23386 gnome-games-app                    	       0        3        3        0        0
23387 gnome-games-data                   	       0        3        0        0        3
23388 gnome-games-extra-data             	       0        5        0        0        5
23389 gnome-getting-started-docs         	       0       26        0        0       26
23390 gnome-gmail                        	       0        2        0        0        2
23391 gnome-gpg                          	       0        1        1        0        0
23392 gnome-hearts                       	       0        1        1        0        0
23393 gnome-human-icon-theme             	       0      183        0        0      183
23394 gnome-icon-theme                   	       0     3144        0        0     3144
23395 gnome-icon-theme-blankon           	       0        1        0        0        1
23396 gnome-icon-theme-dlg-neu           	       0        1        0        0        1
23397 gnome-icon-theme-extras            	       0       96        0        0       96
23398 gnome-icon-theme-gartoon           	       0       20        0        0       20
23399 gnome-icon-theme-nuovo             	       0       28        0        0       28
23400 gnome-icon-theme-suede             	       0       22        0        0       22
23401 gnome-icon-theme-symbolic          	       0       44        0        0       44
23402 gnome-icon-theme-yasis             	       0       15        0        0       15
23403 gnome-illustrious-icon-theme       	       0      169        0        0      169
23404 gnome-js-common                    	       0       10        2        0        8
23405 gnome-keyring-manager              	       0        1        1        0        0
23406 gnome-keyring-pkcs11               	       0     2636        0        0     2636
23407 gnome-keysign                      	       0        1        1        0        0
23408 gnome-libs-data                    	       0        1        0        0        1
23409 gnome-mag                          	       0        1        1        0        0
23410 gnome-mastermind                   	       0        2        2        0        0
23411 gnome-media                        	       0        2        2        0        0
23412 gnome-media-common                 	       0        1        0        0        1
23413 gnome-metronome                    	       0        5        5        0        0
23414 gnome-mime-data                    	       0      182        0        0      182
23415 gnome-mplayer                      	       0       19       19        0        0
23416 gnome-mpv                          	       0        6        0        0        6
23417 gnome-mud                          	       0        1        1        0        0
23418 gnome-multi-writer                 	       0       17       17        0        0
23419 gnome-noble-icon-theme             	       0      169        0        0      169
23420 gnome-office                       	       0        1        0        0        1
23421 gnome-online-accounts-gtk          	       0       21       18        3        0
23422 gnome-online-miners                	       0       45        0        0       45
23423 gnome-packagekit                   	       0       18       18        0        0
23424 gnome-packagekit-common            	       0       32        0        0       32
23425 gnome-packagekit-data              	       0       16        0        0       16
23426 gnome-paint                        	       0       13       12        1        0
23427 gnome-panel-control                	       0        1        1        0        0
23428 gnome-panel-data                   	       0       72        4        0       68
23429 gnome-peercast                     	       0        1        1        0        0
23430 gnome-phone-manager                	       0        1        1        0        0
23431 gnome-photo-printer                	       0        1        1        0        0
23432 gnome-photos                       	       0       14       14        0        0
23433 gnome-pie                          	       0        2        2        0        0
23434 gnome-pkg-tools                    	       0       31       29        2        0
23435 gnome-pkgview                      	       0        1        1        0        0
23436 gnome-platform-devel               	       0        1        0        0        1
23437 gnome-rdp                          	       0        1        1        0        0
23438 gnome-recipes                      	       0        2        2        0        0
23439 gnome-recipes-data                 	       0        2        0        0        2
23440 gnome-scan-common                  	       0        1        0        0        1
23441 gnome-screensaver-flags            	       0        3        0        0        3
23442 gnome-search-tool                  	       0        6        6        0        0
23443 gnome-session-flashback            	       0       55        2        0       53
23444 gnome-session-xsession             	       0        6        0        0        6
23445 gnome-shell-extension-appindicator 	       0        7        0        0        7
23446 gnome-shell-extension-apps-menu    	       0        2        0        0        2
23447 gnome-shell-extension-arc-menu     	       0        1        0        0        1
23448 gnome-shell-extension-auto-move-windows	       0        1        0        0        1
23449 gnome-shell-extension-bluetooth-quick-connect	       0        1        0        0        1
23450 gnome-shell-extension-caffeine     	       0        3        0        0        3
23451 gnome-shell-extension-dash-to-panel	       0        6        2        0        4
23452 gnome-shell-extension-dashtodock   	       0        4        0        0        4
23453 gnome-shell-extension-desktop-icons	       0        3        0        0        3
23454 gnome-shell-extension-desktop-icons-ng	       0        2        2        0        0
23455 gnome-shell-extension-disconnect-wifi	       0        1        0        0        1
23456 gnome-shell-extension-draw-on-your-screen	       0        1        0        0        1
23457 gnome-shell-extension-drive-menu   	       0        1        0        0        1
23458 gnome-shell-extension-easyscreencast	       0        2        0        0        2
23459 gnome-shell-extension-freon        	       0        2        0        0        2
23460 gnome-shell-extension-gpaste       	       0        2        0        0        2
23461 gnome-shell-extension-gsconnect    	       0        3        3        0        0
23462 gnome-shell-extension-gsconnect-browsers	       0        2        0        0        2
23463 gnome-shell-extension-hamster      	       0        2        0        0        2
23464 gnome-shell-extension-hide-activities	       0        7        0        0        7
23465 gnome-shell-extension-impatience   	       0        3        2        0        1
23466 gnome-shell-extension-launch-new-instance	       0        2        0        0        2
23467 gnome-shell-extension-light-style  	       0        1        0        0        1
23468 gnome-shell-extension-manager      	       0        6        6        0        0
23469 gnome-shell-extension-move-clock   	       0        1        0        0        1
23470 gnome-shell-extension-multi-monitors	       0        1        0        0        1
23471 gnome-shell-extension-native-window-placement	       0        1        0        0        1
23472 gnome-shell-extension-panel-osd    	       0        3        0        0        3
23473 gnome-shell-extension-places-menu  	       0        2        0        0        2
23474 gnome-shell-extension-runcat       	       0        1        0        0        1
23475 gnome-shell-extension-screenshot-window-sizer	       0        1        0        0        1
23476 gnome-shell-extension-shortcuts    	       0        1        0        0        1
23477 gnome-shell-extension-sound-device-chooser	       0        1        0        0        1
23478 gnome-shell-extension-tiling-assistant	       0        1        0        0        1
23479 gnome-shell-extension-top-icons-plus	       0        5        0        0        5
23480 gnome-shell-extension-trash        	       0        1        0        0        1
23481 gnome-shell-extension-user-theme   	       0        1        0        0        1
23482 gnome-shell-extension-vertical-overview	       0        1        0        0        1
23483 gnome-shell-extension-volume-mixer 	       0        1        1        0        0
23484 gnome-shell-extension-weather      	       0        5        2        0        3
23485 gnome-shell-extension-window-list  	       0        2        0        0        2
23486 gnome-shell-extension-windows-navigator	       0        1        0        0        1
23487 gnome-shell-extension-workspace-indicator	       0        1        0        0        1
23488 gnome-shell-extension-workspaces-to-dock	       0        1        0        0        1
23489 gnome-shell-extensions-common      	       0        2        0        0        2
23490 gnome-shell-extensions-extra       	       0        5        0        0        5
23491 gnome-shell-pomodoro               	       0        1        1        0        0
23492 gnome-shell-pomodoro-data          	       0        1        0        0        1
23493 gnome-snapshot                     	       0        3        2        1        0
23494 gnome-software-doc                 	       0        1        0        0        1
23495 gnome-spell                        	       0        1        1        0        0
23496 gnome-split                        	       0        2        2        0        0
23497 gnome-subtitles                    	       0        8        8        0        0
23498 gnome-theme-gilouche               	       0       13        0        0       13
23499 gnome-themes                       	       0       10        0        0       10
23500 gnome-themes-extra-data            	       0     1437        0        0     1437
23501 gnome-themes-extras                	       0        9        0        0        9
23502 gnome-themes-more                  	       0        2        0        0        2
23503 gnome-themes-standard              	       0       74        0        0       74
23504 gnome-themes-standard-data         	       0       26        0        0       26
23505 gnome-todo                         	       0       20        9        0       11
23506 gnome-todo-common                  	       0       10        0        0       10
23507 gnome-tour                         	       0        2        1        1        0
23508 gnome-tweak-tool                   	       0       10        3        0        7
23509 gnome-u2ps                         	       0        1        1        0        0
23510 gnome-usage                        	       0       10       10        0        0
23511 gnome-user-docs                    	       0      424        0        0      424
23512 gnome-user-guide                   	       0       54        0        0       54
23513 gnome-vfs-extfs                    	       0        1        1        0        0
23514 gnome-vfs-obexftp                  	       0        1        0        0        1
23515 gnome-video-arcade                 	       0       10       10        0        0
23516 gnome-video-effects                	       0      506        0        0      506
23517 gnome-video-effects-dev            	       0        1        0        0        1
23518 gnome-video-effects-frei0r         	       0        4        0        0        4
23519 gnome-video-trimmer                	       0        2        2        0        0
23520 gnome-web-photo                    	       0        1        1        0        0
23521 gnome-wine-icon-theme              	       0      171        0        0      171
23522 gnome-wise-icon-theme              	       0      170        0        0      170
23523 gnome-xcf-thumbnailer              	       0        2        2        0        0
23524 gnomecatalog                       	       0        1        1        0        0
23525 gnomekiss                          	       0        3        3        0        0
23526 gnomermind                         	       0        1        1        0        0
23527 gnomine                            	       0        3        0        0        3
23528 gnomp3                             	       0        1        1        0        0
23529 gnotime                            	       0        1        1        0        0
23530 gnotravex                          	       0        2        0        0        2
23531 gnotski                            	       0        3        0        0        3
23532 gnu-efi                            	       0       15       15        0        0
23533 gnu-fdisk                          	       0        1        1        0        0
23534 gnu-smalltalk                      	       0        5        5        0        0
23535 gnu-smalltalk-browser              	       0        1        1        0        0
23536 gnu-smalltalk-common               	       0        5        0        0        5
23537 gnu-smalltalk-doc                  	       0        2        0        0        2
23538 gnu-standards                      	       0       58        0        0       58
23539 gnu-which                          	       0        5        5        0        0
23540 gnuais                             	       0        5        5        0        0
23541 gnuaisgui                          	       0        4        4        0        0
23542 gnuastro                           	       0        4        4        0        0
23543 gnubg-data                         	       0       20        0        1       19
23544 gnubiff                            	       0        1        1        0        0
23545 gnubik                             	       0        6        6        0        0
23546 gnuboy-sdl                         	       0        1        1        0        0
23547 gnuboy-x                           	       0        1        1        0        0
23548 gnucap-default-plugins0            	       0       22        0        0       22
23549 gnucap-python                      	       0        1        0        0        1
23550 gnucash-build-deps                 	       0        1        0        0        1
23551 gnucash-dbgsym                     	       0        1        1        0        0
23552 gnucash-docs                       	       0       87        0        0       87
23553 gnuchess-book                      	       0     1029        0        0     1029
23554 gnucobol                           	       0       11        0        0       11
23555 gnucobol3                          	       0       11       11        0        0
23556 gnucobol4                          	       0        4        4        0        0
23557 gnudatalanguage                    	       0        2        2        0        0
23558 gnudoku                            	       0        1        1        0        0
23559 gnudoq                             	       0        2        2        0        0
23560 gnuift                             	       0        5        5        0        0
23561 gnuift-perl                        	       0        5        5        0        0
23562 gnuinos-keyring                    	       0        1        0        0        1
23563 gnujump                            	       0        4        4        0        0
23564 gnujump-data                       	       0        4        0        0        4
23565 gnulib                             	       0       15       15        0        0
23566 gnulib-build-deps                  	       0        1        0        0        1
23567 gnumach-common                     	       0        1        0        0        1
23568 gnumach-dev                        	       0        2        2        0        0
23569 gnumail.app                        	       0        2        2        0        0
23570 gnumail.app-common                 	       0        2        0        0        2
23571 gnumed-client                      	       0        1        1        0        0
23572 gnumed-common                      	       0        1        0        0        1
23573 gnumeric-doc                       	       0      113        0        0      113
23574 gnuminishogi                       	       0        7        7        0        0
23575 gnunet-dev                         	       0        2        2        0        0
23576 gnunet-fuse                        	       0        4        3        1        0
23577 gnupg-curl                         	       0       17       17        0        0
23578 gnupg-doc                          	       0        7        0        0        7
23579 gnupg-idea                         	       0        1        1        0        0
23580 gnupg-pkcs11-scd                   	       0        6        6        0        0
23581 gnupg-pkcs11-scd-proxy             	       0        1        1        0        0
23582 gnupg-utils-dbgsym                 	       0        1        1        0        0
23583 gnupg1-l10n                        	       0       43        0        0       43
23584 gnuplot                            	       0      213        0        0      213
23585 gnuplot-data                       	       0      376        0        0      376
23586 gnuplot-doc                        	       0       49       48        1        0
23587 gnuplot-mode                       	       0        2        0        0        2
23588 gnuplot-tex                        	       0        4        0        0        4
23589 gnuplot5                           	       0        1        0        0        1
23590 gnuplot5-doc                       	       0        1        1        0        0
23591 gnuplot5-x11                       	       0        2        0        0        2
23592 gnupod-tools                       	       0        5        5        0        0
23593 gnuradio-doc                       	       0        6        0        0        6
23594 gnurobbo                           	       0        8        8        0        0
23595 gnurobbo-data                      	       0        8        0        0        8
23596 gnushogi                           	       0        8        8        0        0
23597 gnusim8085                         	       0        8        8        0        0
23598 gnustep                            	       0        4        0        0        4
23599 gnustep-back0.12                   	       0        1        0        0        1
23600 gnustep-back0.12-art               	       0        1        0        0        1
23601 gnustep-back0.20                   	       0        1        0        0        1
23602 gnustep-back0.20-art               	       0        1        0        0        1
23603 gnustep-back0.24                   	       0        1        0        0        1
23604 gnustep-back0.24-cairo             	       0        1        0        0        1
23605 gnustep-back0.25                   	       0        3        0        0        3
23606 gnustep-back0.25-cairo             	       0        3        0        0        3
23607 gnustep-back0.27                   	       0        1        0        0        1
23608 gnustep-back0.27-cairo             	       0        1        0        0        1
23609 gnustep-back0.28                   	       0        3        0        0        3
23610 gnustep-back0.28-cairo             	       0        3        0        0        3
23611 gnustep-back0.29                   	       0       19        0        0       19
23612 gnustep-back0.29-cairo             	       0       19        0        0       19
23613 gnustep-back0.30                   	       0        1        0        0        1
23614 gnustep-back0.30-cairo             	       0        1        0        0        1
23615 gnustep-base-common                	       0      791        0        0      791
23616 gnustep-base-doc                   	       0        3        2        0        1
23617 gnustep-core-doc                   	       0        1        0        0        1
23618 gnustep-examples                   	       0        1        1        0        0
23619 gnustep-games                      	       0        2        0        0        2
23620 gnustep-gui-common                 	       0       30        0        0       30
23621 gnustep-gui-doc                    	       0        2        0        0        2
23622 gnustep-icons                      	       0        6        0        0        6
23623 gnustep-make-doc                   	       0        3        0        0        3
23624 gnustep-multiarch                  	       0       17        0        0       17
23625 gnutls-doc                         	       0       19       15        4        0
23626 gnutls26-doc                       	       0        1        0        0        1
23627 go-bindata                         	       0        1        1        0        0
23628 go-bluetooth                       	       0        1        1        0        0
23629 go-md2man                          	       0        4        4        0        0
23630 go2                                	       0        1        1        0        0
23631 goattracker                        	       0        9        9        0        0
23632 goban-original-games               	       0        4        0        0        4
23633 goban-ss                           	       0        4        4        0        0
23634 gobby                              	       0        4        4        0        0
23635 gobby-infinote                     	       0        1        0        0        1
23636 gobjc                              	       0       27        0        0       27
23637 gobjc++                            	       0        9        0        0        9
23638 gobjc++-10                         	       0        1        0        0        1
23639 gobjc++-12                         	       0        3        0        0        3
23640 gobjc++-12-arm-linux-gnueabi       	       0        1        0        0        1
23641 gobjc++-12-arm-linux-gnueabihf     	       0        1        0        0        1
23642 gobjc++-12-multilib                	       0        1        0        0        1
23643 gobjc++-13                         	       0        1        0        0        1
23644 gobjc++-14                         	       0        3        0        0        3
23645 gobjc++-14-multilib                	       0        1        0        0        1
23646 gobjc++-14-x86-64-linux-gnu        	       0        3        0        0        3
23647 gobjc++-6                          	       0        1        0        0        1
23648 gobjc++-8                          	       0        1        0        0        1
23649 gobjc++-arm-linux-gnueabi          	       0        1        0        0        1
23650 gobjc++-arm-linux-gnueabihf        	       0        1        0        0        1
23651 gobjc++-multilib                   	       0        1        0        0        1
23652 gobjc++-x86-64-linux-gnu           	       0        2        0        0        2
23653 gobjc-10                           	       0        1        0        0        1
23654 gobjc-12                           	       0       13        0        0       13
23655 gobjc-12-arm-linux-gnueabi         	       0        1        0        0        1
23656 gobjc-12-arm-linux-gnueabihf       	       0        1        0        0        1
23657 gobjc-12-multilib                  	       0        1        0        0        1
23658 gobjc-13                           	       0        1        0        0        1
23659 gobjc-14                           	       0        3        0        0        3
23660 gobjc-14-multilib                  	       0        1        0        0        1
23661 gobjc-14-x86-64-linux-gnu          	       0        3        0        0        3
23662 gobjc-4.9                          	       0        1        0        0        1
23663 gobjc-4.9-multilib                 	       0        1        0        0        1
23664 gobjc-6                            	       0        4        0        0        4
23665 gobjc-6-multilib                   	       0        1        0        0        1
23666 gobjc-8                            	       0        5        0        0        5
23667 gobjc-arm-linux-gnueabi            	       0        1        0        0        1
23668 gobjc-arm-linux-gnueabihf          	       0        1        0        0        1
23669 gobjc-multilib                     	       0        3        0        0        3
23670 gobjc-x86-64-linux-gnu             	       0        3        0        0        3
23671 gobject-introspection-bin          	       0       15        9        6        0
23672 gobliiins-demo-en-data             	       0        1        0        0        1
23673 gobuster                           	       0        6        6        0        0
23674 goby                               	       0        1        1        0        0
23675 gocode                             	       0        1        1        0        0
23676 gocr-doc                           	       0        2        0        0        2
23677 godot3                             	       0       12       12        0        0
23678 godot3-dbgsym                      	       0        1        1        0        0
23679 godot3-runner                      	       0        2        2        0        0
23680 gojq                               	       0        3        3        0        0
23681 golang                             	       0      120        0        0      120
23682 golang-1.10                        	       0        1        0        0        1
23683 golang-1.10-doc                    	       0        1        1        0        0
23684 golang-1.10-go                     	       0        2        2        0        0
23685 golang-1.10-src                    	       0        2        2        0        0
23686 golang-1.11                        	       0        8        0        0        8
23687 golang-1.11-doc                    	       0        9        9        0        0
23688 golang-1.11-go                     	       0        9        9        0        0
23689 golang-1.11-src                    	       0        9        9        0        0
23690 golang-1.14                        	       0        1        0        0        1
23691 golang-1.14-doc                    	       0        1        1        0        0
23692 golang-1.14-go                     	       0        1        1        0        0
23693 golang-1.14-src                    	       0        1        1        0        0
23694 golang-1.15                        	       0       12        0        0       12
23695 golang-1.15-doc                    	       0       15       15        0        0
23696 golang-1.15-go                     	       0       20       20        0        0
23697 golang-1.15-src                    	       0       20       20        0        0
23698 golang-1.16                        	       0        1        0        0        1
23699 golang-1.16-doc                    	       0        1        1        0        0
23700 golang-1.16-go                     	       0        1        1        0        0
23701 golang-1.16-src                    	       0        1        1        0        0
23702 golang-1.17                        	       0        1        0        0        1
23703 golang-1.17-doc                    	       0        1        0        0        1
23704 golang-1.17-go                     	       0        1        1        0        0
23705 golang-1.17-src                    	       0        1        1        0        0
23706 golang-1.18                        	       0        3        0        0        3
23707 golang-1.18-doc                    	       0        4        0        0        4
23708 golang-1.18-go                     	       0        3        3        0        0
23709 golang-1.18-src                    	       0        3        3        0        0
23710 golang-1.19                        	       0       87        0        0       87
23711 golang-1.19-doc                    	       0       91        0        0       91
23712 golang-1.20                        	       0        2        0        0        2
23713 golang-1.20-doc                    	       0        2        0        0        2
23714 golang-1.20-go                     	       0        2        2        0        0
23715 golang-1.20-src                    	       0        2        2        0        0
23716 golang-1.21                        	       0        4        0        0        4
23717 golang-1.21-doc                    	       0        5        0        0        5
23718 golang-1.21-src                    	       0        6        6        0        0
23719 golang-1.22                        	       0       13        0        0       13
23720 golang-1.22-doc                    	       0       13        0        0       13
23721 golang-1.22-go                     	       0       14       14        0        0
23722 golang-1.22-src                    	       0       14       14        0        0
23723 golang-1.23                        	       0       11        0        0       11
23724 golang-1.23-doc                    	       0       11        0        0       11
23725 golang-1.23-go                     	       0       16       14        2        0
23726 golang-1.23-src                    	       0       16       14        2        0
23727 golang-1.24                        	       0       12        0        0       12
23728 golang-1.24-doc                    	       0       14        0        0       14
23729 golang-1.24-go                     	       0       14        1       13        0
23730 golang-1.24-src                    	       0       14        1       13        0
23731 golang-1.6                         	       0        1        0        0        1
23732 golang-1.6-doc                     	       0        1        1        0        0
23733 golang-1.6-go                      	       0        2        2        0        0
23734 golang-1.6-src                     	       0        2        2        0        0
23735 golang-1.7                         	       0        4        0        0        4
23736 golang-1.7-doc                     	       0        5        5        0        0
23737 golang-1.7-go                      	       0        8        8        0        0
23738 golang-1.7-src                     	       0        8        8        0        0
23739 golang-1.8                         	       0        4        0        0        4
23740 golang-1.8-doc                     	       0        4        4        0        0
23741 golang-1.8-go                      	       0        4        4        0        0
23742 golang-1.8-src                     	       0        4        4        0        0
23743 golang-any                         	       0       25        0        0       25
23744 golang-barcode-dev                 	       0        1        0        0        1
23745 golang-chroma                      	       0        2        2        0        0
23746 golang-doc                         	       0      132        0        0      132
23747 golang-docker-credential-helpers   	       0        4        4        0        0
23748 golang-ed25519-dev                 	       0        1        0        0        1
23749 golang-filippo-edwards25519-dev    	       0        1        0        0        1
23750 golang-gir-gio-2.0-dev             	       0        1        1        0        0
23751 golang-gir-glib-2.0-dev            	       0        2        2        0        0
23752 golang-github-0xax-notificator-dev 	       0        1        0        0        1
23753 golang-github-14rcole-gopopulate-dev	       0        2        0        0        2
23754 golang-github-aead-poly1305-dev    	       0        1        0        0        1
23755 golang-github-alecthomas-assert-dev	       0        1        0        0        1
23756 golang-github-alecthomas-chroma-dev	       0        1        0        0        1
23757 golang-github-alecthomas-kong-dev  	       0        1        0        0        1
23758 golang-github-alecthomas-kong-hcl-dev	       0        1        0        0        1
23759 golang-github-alecthomas-repr-dev  	       0        1        0        0        1
23760 golang-github-alecthomas-units-dev 	       0        1        0        0        1
23761 golang-github-allegro-bigcache-dev 	       0        1        0        0        1
23762 golang-github-anacrolix-envpprof-dev	       0        1        0        0        1
23763 golang-github-anacrolix-ffprobe-dev	       0        1        0        0        1
23764 golang-github-anacrolix-log-dev    	       0        1        0        0        1
23765 golang-github-anacrolix-missinggo-dev	       0        1        0        0        1
23766 golang-github-anacrolix-tagflag-dev	       0        1        0        0        1
23767 golang-github-andybalholm-cascadia-dev	       0        1        0        0        1
23768 golang-github-apptainer-container-key-client-dev	       0        1        0        0        1
23769 golang-github-asaskevich-govalidator-dev	       0        1        0        0        1
23770 golang-github-aws-aws-sdk-go-dev   	       0        2        0        0        2
23771 golang-github-aymanbagabas-go-osc52-dev	       0        1        0        0        1
23772 golang-github-aymerick-douceur-dev 	       0        1        0        0        1
23773 golang-github-beorn7-perks-dev     	       0        1        0        0        1
23774 golang-github-bits-and-blooms-bitset-dev	       0        1        0        0        1
23775 golang-github-bradfitz-iter-dev    	       0        1        0        0        1
23776 golang-github-btcsuite-fastsha256-dev	       0        1        0        0        1
23777 golang-github-cespare-xxhash-dev   	       0        3        0        0        3
23778 golang-github-charmbracelet-glamour-dev	       0        1        0        0        1
23779 golang-github-cilium-ebpf-dev      	       0        1        1        0        0
23780 golang-github-cli-browser-dev      	       0        1        0        0        1
23781 golang-github-cli-go-gh-dev        	       0        1        0        0        1
23782 golang-github-cli-safeexec-dev     	       0        1        0        0        1
23783 golang-github-cli-shurcool-graphql-dev	       0        1        0        0        1
23784 golang-github-containernetworking-plugin-dnsname	       0        4        2        0        2
23785 golang-github-containers-common    	       0       63        0        0       63
23786 golang-github-containers-image     	       0       63        0        0       63
23787 golang-github-creack-pty-dev       	       0        2        0        0        2
23788 golang-github-d2r2-go-i2c-dev      	       0        1        0        0        1
23789 golang-github-danwakefield-fnmatch-dev	       0        1        0        0        1
23790 golang-github-davecgh-go-spew-dev  	       0        5        0        0        5
23791 golang-github-dcso-bloom-cli       	       0        1        1        0        0
23792 golang-github-dgrijalva-jwt-go-dev 	       0        1        0        0        1
23793 golang-github-dlclark-regexp2-dev  	       0        1        0        0        1
23794 golang-github-docopt-docopt-go-dev 	       0        1        0        0        1
23795 golang-github-dustin-go-humanize-dev	       0        1        0        0        1
23796 golang-github-form3tech-oss-jwt-go-dev	       0        1        0        0        1
23797 golang-github-getlantern-hex-dev   	       0        1        0        0        1
23798 golang-github-glycerine-go-unsnap-stream-dev	       0        1        0        0        1
23799 golang-github-go-kit-log-dev       	       0        1        0        0        1
23800 golang-github-go-logfmt-logfmt-dev 	       0        1        0        0        1
23801 golang-github-go-macaron-inject-dev	       0        1        0        0        1
23802 golang-github-go-macaron-toolbox-dev	       0        1        0        0        1
23803 golang-github-go-openapi-analysis-dev	       0        1        0        0        1
23804 golang-github-go-openapi-errors-dev	       0        1        0        0        1
23805 golang-github-go-openapi-jsonpointer-dev	       0        1        0        0        1
23806 golang-github-go-openapi-jsonreference-dev	       0        1        0        0        1
23807 golang-github-go-openapi-loads-dev 	       0        1        0        0        1
23808 golang-github-go-openapi-spec-dev  	       0        1        0        0        1
23809 golang-github-go-openapi-strfmt-dev	       0        1        0        0        1
23810 golang-github-go-openapi-swag-dev  	       0        1        0        0        1
23811 golang-github-go-openapi-validate-dev	       0        1        0        0        1
23812 golang-github-go-sql-driver-mysql-dev	       0        1        0        0        1
23813 golang-github-go-stack-stack-dev   	       0        2        0        0        2
23814 golang-github-gofrs-flock-dev      	       0        1        0        0        1
23815 golang-github-golang-groupcache-dev	       0        1        0        0        1
23816 golang-github-golang-protobuf-1-3-dev	       0        2        0        0        2
23817 golang-github-golang-snappy-dev    	       0        3        0        0        3
23818 golang-github-google-btree-dev     	       0        1        0        0        1
23819 golang-github-google-go-cmp-dev    	       0        6        0        0        6
23820 golang-github-google-shlex-dev     	       0        1        0        0        1
23821 golang-github-google-uuid-dev      	       0        1        0        0        1
23822 golang-github-gorilla-csrf-dev     	       0        1        0        0        1
23823 golang-github-gorilla-css-dev      	       0        1        0        0        1
23824 golang-github-gorilla-handlers-dev 	       0        1        0        0        1
23825 golang-github-gorilla-mux-dev      	       0        2        0        0        2
23826 golang-github-gorilla-securecookie-dev	       0        1        0        0        1
23827 golang-github-h2non-parth-dev      	       0        1        0        0        1
23828 golang-github-hashicorp-hcl-dev    	       0        1        0        0        1
23829 golang-github-henvic-httpretty-dev 	       0        1        0        0        1
23830 golang-github-hexops-gotextdiff-dev	       0        1        0        0        1
23831 golang-github-huandu-xstrings-dev  	       0        1        0        0        1
23832 golang-github-insomniacslk-dhcp-dev	       0        1        0        0        1
23833 golang-github-itchyny-gojq-dev     	       0        1        0        0        1
23834 golang-github-itchyny-timefmt-go-dev	       0        1        0        0        1
23835 golang-github-jacobsa-oglematchers-dev	       0        1        0        0        1
23836 golang-github-jkeiser-iter-dev     	       0        1        0        0        1
23837 golang-github-jmespath-go-jmespath-dev	       0        2        0        0        2
23838 golang-github-jochenvg-go-udev-dev 	       0        1        0        0        1
23839 golang-github-josharian-intern-dev 	       0        1        0        0        1
23840 golang-github-josharian-native-dev 	       0        1        0        0        1
23841 golang-github-jpillora-backoff-dev 	       0        1        0        0        1
23842 golang-github-jsimonetti-rtnetlink-dev	       0        1        0        0        1
23843 golang-github-json-iterator-go-dev 	       0        1        0        0        1
23844 golang-github-jtolds-gls-dev       	       0        1        0        0        1
23845 golang-github-julienschmidt-httprouter-dev	       0        1        0        0        1
23846 golang-github-klauspost-compress-dev	       0        2        0        0        2
23847 golang-github-kr-fs-dev            	       0        1        0        0        1
23848 golang-github-kr-pretty-dev        	       0        2        0        0        2
23849 golang-github-kr-text-dev          	       0        2        0        0        2
23850 golang-github-labstack-echo-dev    	       0        1        0        0        1
23851 golang-github-labstack-gommon-dev  	       0        1        0        0        1
23852 golang-github-lucasb-eyer-go-colorful-dev	       0        1        0        0        1
23853 golang-github-mailru-easyjson-dev  	       0        1        0        0        1
23854 golang-github-makenowjust-heredoc-dev	       0        1        0        0        1
23855 golang-github-mattn-go-colorable-dev	       0        2        0        0        2
23856 golang-github-mattn-go-isatty-dev  	       0        2        0        0        2
23857 golang-github-mattn-go-runewidth-dev	       0        1        0        0        1
23858 golang-github-mdlayher-ethernet-dev	       0        1        0        0        1
23859 golang-github-mdlayher-netlink-dev 	       0        1        0        0        1
23860 golang-github-mdlayher-packet-dev  	       0        1        0        0        1
23861 golang-github-mdlayher-raw-dev     	       0        1        0        0        1
23862 golang-github-mdlayher-socket-dev  	       0        1        0        0        1
23863 golang-github-mgutz-ansi-dev       	       0        1        0        0        1
23864 golang-github-microcosm-cc-bluemonday-dev	       0        1        0        0        1
23865 golang-github-minio-sha256-simd-dev	       0        1        0        0        1
23866 golang-github-mitchellh-go-homedir-dev	       0        1        0        0        1
23867 golang-github-mitchellh-mapstructure-dev	       0        1        0        0        1
23868 golang-github-moby-sys-dev         	       0        1        0        0        1
23869 golang-github-modern-go-concurrent-dev	       0        1        0        0        1
23870 golang-github-modern-go-reflect2-dev	       0        1        0        0        1
23871 golang-github-montanaflynn-stats-dev	       0        2        0        0        2
23872 golang-github-muesli-reflow-dev    	       0        1        0        0        1
23873 golang-github-muesli-sasquatch-dev 	       0        1        0        0        1
23874 golang-github-muesli-termenv-dev   	       0        1        0        0        1
23875 golang-github-mwitkow-go-conntrack-dev	       0        1        0        0        1
23876 golang-github-nfnt-resize-dev      	       0        1        0        0        1
23877 golang-github-oklog-ulid-dev       	       0        1        0        0        1
23878 golang-github-olekukonko-tablewriter-dev	       0        1        0        0        1
23879 golang-github-opennota-urlesc-dev  	       0        1        0        0        1
23880 golang-github-paulrosania-go-charset-dev	       0        1        0        0        1
23881 golang-github-philhofer-fwd-dev    	       0        1        0        0        1
23882 golang-github-pkg-diff-dev         	       0        1        1        0        0
23883 golang-github-pkg-errors-dev       	       0        5        0        0        5
23884 golang-github-pmezard-go-difflib-dev	       0        5        0        0        5
23885 golang-github-proglottis-gpgme-dev 	       0        1        1        0        0
23886 golang-github-prometheus-client-golang-dev	       0        1        0        0        1
23887 golang-github-prometheus-client-model-dev	       0        1        0        0        1
23888 golang-github-prometheus-common-dev	       0        1        0        0        1
23889 golang-github-prometheus-procfs-dev	       0        1        0        0        1
23890 golang-github-puerkitobio-goquery-dev	       0        1        0        0        1
23891 golang-github-puerkitobio-purell-dev	       0        1        0        0        1
23892 golang-github-rivo-uniseg-dev      	       0        1        0        0        1
23893 golang-github-roaringbitmap-roaring-dev	       0        1        0        0        1
23894 golang-github-rogpeppe-go-internal-dev	       0        2        0        0        2
23895 golang-github-rootless-containers-rootlesskit-dev	       0        1        0        0        1
23896 golang-github-ryszard-goskiplist-dev	       0        1        0        0        1
23897 golang-github-sirupsen-logrus-dev  	       0        1        0        0        1
23898 golang-github-sjoerdsimons-ostree-go-dev	       0        2        2        0        0
23899 golang-github-smartystreets-assertions-dev	       0        1        0        0        1
23900 golang-github-smartystreets-goconvey-dev	       0        1        0        0        1
23901 golang-github-snapcore-snapd-dev   	       0        1        1        0        0
23902 golang-github-stretchr-objx-dev    	       0        5        0        0        5
23903 golang-github-stretchr-testify-dev 	       0        4        0        0        4
23904 golang-github-sylabs-json-resp-dev 	       0        1        0        0        1
23905 golang-github-thlib-go-timezone-local-dev	       0        1        0        0        1
23906 golang-github-tidwall-pretty-dev   	       0        2        0        0        2
23907 golang-github-tinylib-msgp-dev     	       0        1        0        0        1
23908 golang-github-u-root-uio-dev       	       0        1        0        0        1
23909 golang-github-unknwon-com-dev      	       0        1        0        0        1
23910 golang-github-valyala-bytebufferpool-dev	       0        1        0        0        1
23911 golang-github-valyala-fasttemplate-dev	       0        1        0        0        1
23912 golang-github-voxelbrain-goptions-dev	       0        1        0        0        1
23913 golang-github-xdg-go-pbkdf2-dev    	       0        2        0        0        2
23914 golang-github-xdg-go-scram-dev     	       0        2        0        0        2
23915 golang-github-xdg-go-stringprep-dev	       0        2        0        0        2
23916 golang-github-youmark-pkcs8-dev    	       0        2        0        0        2
23917 golang-github-yuin-goldmark-dev    	       0        6        0        0        6
23918 golang-github-yuin-goldmark-emoji-dev	       0        1        0        0        1
23919 golang-glog-dev                    	       0        2        0        0        2
23920 golang-go.opencensus-dev           	       0        1        0        0        1
23921 golang-go.tools                    	       0        1        0        0        1
23922 golang-gocapability-dev            	       0        1        0        0        1
23923 golang-golang-x-crypto-dev         	       0        7        0        0        7
23924 golang-golang-x-mod-dev            	       0        4        0        0        4
23925 golang-golang-x-net-dev            	       0       10        0        0       10
23926 golang-golang-x-oauth2-dev         	       0        2        0        0        2
23927 golang-golang-x-oauth2-google-dev  	       0        2        0        0        2
23928 golang-golang-x-sync-dev           	       0        5        0        0        5
23929 golang-golang-x-sys-dev            	       0       13        0        0       13
23930 golang-golang-x-term-dev           	       0        8        0        0        8
23931 golang-golang-x-text-dev           	       0       12        0        0       12
23932 golang-golang-x-time-dev           	       0        1        0        0        1
23933 golang-golang-x-tools-dev          	       0        4        4        0        0
23934 golang-google-cloud-compute-metadata-dev	       0        2        0        0        2
23935 golang-google-genproto-dev         	       0        2        0        0        2
23936 golang-google-grpc-dev             	       0        2        0        0        2
23937 golang-google-protobuf-dev         	       0        2        0        0        2
23938 golang-gopkg-alecthomas-kingpin.v2-dev	       0        1        0        0        1
23939 golang-gopkg-h2non-gock.v1-dev     	       0        1        0        0        1
23940 golang-gopkg-ini.v1-dev            	       0        1        0        0        1
23941 golang-gopkg-macaron.v1-dev        	       0        1        0        0        1
23942 golang-gopkg-yaml.v2-dev           	       0        3        0        0        3
23943 golang-gopkg-yaml.v3-dev           	       0        4        0        0        4
23944 golang-goptlib-dev                 	       0        1        0        0        1
23945 golang-grpc-gateway                	       0        1        1        0        0
23946 golang-mode                        	       0        4        0        0        4
23947 golang-mongodb-mongo-driver-dev    	       0        2        2        0        0
23948 golang-notabug-themusicgod1-cp-dev 	       0        1        0        0        1
23949 golang-pault-go-macchanger-dev     	       0        2        0        0        2
23950 golang-protobuf-extensions-dev     	       0        1        0        0        1
23951 golang-snappy-go-dev               	       0        1        0        0        1
23952 golang-src                         	       0      168        0        0      168
23953 goldendict-ng                      	       0        2        2        0        0
23954 goldendict-wordnet                 	       0        9        0        0        9
23955 goldeneye                          	       0        3        3        0        0
23956 golint                             	       0        2        2        0        0
23957 golly                              	       0       11       11        0        0
23958 gom                                	       0        1        1        0        0
23959 gomoku.app                         	       0        3        3        0        0
23960 goobook                            	       0        3        3        0        0
23961 goobox                             	       0        5        5        0        0
23962 google-android-build-tools-17-installer	       0        1        0        0        1
23963 google-android-build-tools-23-installer	       0        1        0        0        1
23964 google-android-build-tools-35.0.0-installer	       0        1        0        0        1
23965 google-android-emulator-installer  	       0        3        3        0        0
23966 google-android-licenses            	       0       11       11        0        0
23967 google-android-ndk-installer       	       0        1        0        0        1
23968 google-android-ndk-r25c-installer  	       0        1        1        0        0
23969 google-android-platform-23-installer	       0        2        0        0        2
23970 google-android-platform-33-installer	       0        1        0        0        1
23971 google-android-platform-35-installer	       0        1        0        0        1
23972 google-android-platform-tools-installer	       0        7        7        0        0
23973 google-android-sdk-docs-installer  	       0        1        0        0        1
23974 google-android-sources-35-installer	       0        1        0        0        1
23975 google-android-tools-installer     	       0        1        1        0        0
23976 google-cloud-cli                   	       0        4        2        2        0
23977 google-cloud-cli-anthoscli         	       0        3        1        2        0
23978 google-cloud-cli-config-connector  	       0        1        0        1        0
23979 google-cloud-print-connector       	       0        1        1        0        0
23980 google-cloud-sdk                   	       0        1        0        0        1
23981 google-cloud-sdk-config-connector  	       0        1        0        0        1
23982 google-drive-ocamlfuse             	       0        1        1        0        0
23983 google-earth-ec-stable             	       0        1        1        0        0
23984 google-earth-stable                	       0        1        1        0        0
23985 google-gadgets-common              	       0        1        0        0        1
23986 google-gadgets-gst                 	       0        1        0        0        1
23987 google-gadgets-qt                  	       0        1        1        0        0
23988 google-mock                        	       0        4        0        0        4
23989 google-perftools                   	       0        7        7        0        0
23990 google-talkplugin                  	       0        5        4        0        1
23991 google-wire                        	       0        1        1        0        0
23992 googleearth-package                	       0        5        5        0        0
23993 googler                            	       0        5        5        0        0
23994 googletest                         	       0       37       35        1        1
23995 googletest-tools                   	       0        3        3        0        0
23996 gopass                             	       0        1        1        0        0
23997 gopass-archive-keyring             	       0        1        0        0        1
23998 gopass-jsonapi                     	       0        1        1        0        0
23999 gopchop                            	       0        8        8        0        0
24000 gopher                             	       0       24       24        0        0
24001 gophernicus                        	       0        1        1        0        0
24002 goplay                             	       0        2        2        0        0
24003 gopls                              	       0        3        3        0        0
24004 goreleaser                         	       0        1        1        0        0
24005 gorm.app                           	       0        1        1        0        0
24006 gosigndesktop                      	       0        2        1        1        0
24007 gosmore                            	       0        2        2        0        0
24008 gossip                             	       0        2        2        0        0
24009 got                                	       0        2        2        0        0
24010 gource                             	       0       13       13        0        0
24011 gourmand                           	       0        2        2        0        0
24012 gourmet                            	       0        3        2        0        1
24013 goverlay                           	       0       11       11        0        0
24014 goxel                              	       0        4        4        0        0
24015 gozer                              	       0        3        3        0        0
24016 gp-saml-gui                        	       0        3        3        0        0
24017 gpac-modules-base                  	       0       30        0        0       30
24018 gpaint                             	       0        6        6        0        0
24019 gparted-common                     	       0      825        5        1      819
24020 gpass                              	       0        1        1        0        0
24021 gpaste                             	       0        1        1        0        0
24022 gpaste-2                           	       0        4        4        0        0
24023 gpaw-data                          	       0        1        0        0        1
24024 gpdf                               	       0        1        1        0        0
24025 gpdftext                           	       0        3        3        0        0
24026 gpe-icons                          	       0        1        0        0        1
24027 gperf-ace                          	       0        1        0        0        1
24028 gpg-agent-dbgsym                   	       0        1        1        0        0
24029 gpg-dbgsym                         	       0        1        1        0        0
24030 gpg-remailer                       	       0        1        1        0        0
24031 gpg-wks-client-dbgsym              	       0        1        1        0        0
24032 gpg-wks-server-dbgsym              	       0        1        1        0        0
24033 gpgconf-dbgsym                     	       0        1        1        0        0
24034 gpgkeys                            	       0        1        1        0        0
24035 gpgmngr                            	       0        1        1        0        0
24036 gpgp                               	       0        1        1        0        0
24037 gpgrt-tools                        	       0        4        4        0        0
24038 gpgrt-tools-dbgsym                 	       0        1        1        0        0
24039 gpgsm-dbgsym                       	       0        1        1        0        0
24040 gpgv-dbgsym                        	       0        1        1        0        0
24041 gpgv-static                        	       0        3        2        1        0
24042 gpgv-win32                         	       0        3        0        0        3
24043 gpib-modules-source                	       0        1        0        0        1
24044 gping                              	       0        3        3        0        0
24045 gpiod                              	       0        4        4        0        0
24046 gplanarity                         	       0       12       12        0        0
24047 gplcver                            	       0        4        4        0        0
24048 gplhost-archive-keyring            	       0        1        0        0        1
24049 gpodder                            	       0       18       18        0        0
24050 gpointing-device-settings          	       0        1        1        0        0
24051 gpomme                             	       0        2        2        0        0
24052 gpr                                	       0        6        6        0        0
24053 gpr-build-deps                     	       0        1        0        0        1
24054 gprbuild                           	       0        8        8        0        0
24055 gprbuild-doc                       	       0        1        1        0        0
24056 gprconfig-kb                       	       0        6        0        0        6
24057 gpredict-doc                       	       0        5        0        0        5
24058 gpro                               	       0        1        0        0        1
24059 gprolog                            	       0        9        9        0        0
24060 gprolog-doc                        	       0       10        0        0       10
24061 gpsbabel-doc                       	       0       68        0        0       68
24062 gpscorrelate                       	       0        6        6        0        0
24063 gpscorrelate-gui                   	       0        5        5        0        0
24064 gpsd-dbg                           	       0        1        1        0        0
24065 gpsdrive                           	       0        1        1        0        0
24066 gpsdrive-data                      	       0        1        0        0        1
24067 gpsdrive-scripts                   	       0        1        1        0        0
24068 gpsim-doc                          	       0        3        0        0        3
24069 gpsk31                             	       0        1        1        0        0
24070 gpsman                             	       0        9        9        0        0
24071 gpsmanshp                          	       0        6        6        0        0
24072 gpsshogi                           	       0        2        2        0        0
24073 gpsshogi-data                      	       0        2        0        0        2
24074 gpsshogi-viewer                    	       0        1        1        0        0
24075 gpstrans                           	       0       13       13        0        0
24076 gptsync                            	       0        1        1        0        0
24077 gpu-burn                           	       0        1        1        0        0
24078 gpustat                            	       0        5        5        0        0
24079 gputils-common                     	       0       55        0        0       55
24080 gputils-doc                        	       0       49        0        0       49
24081 gpx                                	       0        4        4        0        0
24082 gpx2shp                            	       0        3        3        0        0
24083 gpxinfo                            	       0        6        6        0        0
24084 gpxsee                             	       0        3        3        0        0
24085 gqcam                              	       0        1        1        0        0
24086 gqview                             	       0        4        4        0        0
24087 gr-air-modes                       	       0        5        5        0        0
24088 gr-dab                             	       0        1        1        0        0
24089 gr-fcdproplus                      	       0        4        4        0        0
24090 gr-framework-plugin-qt6            	       0        1        0        0        1
24091 gr-gsm                             	       0        3        3        0        0
24092 gr-hpsdr                           	       0        5        5        0        0
24093 gr-limesdr                         	       0        3        3        0        0
24094 gr-rds                             	       0        2        2        0        0
24095 gr-satellites                      	       0        4        4        0        0
24096 grabc                              	       0        5        5        0        0
24097 grabserial                         	       0        2        2        0        0
24098 gradio                             	       0        1        1        0        0
24099 gradle-7.4.2                       	       0        1        1        0        0
24100 gradle-apt-plugin                  	       0        1        0        0        1
24101 gradle-completion                  	       0        2        0        0        2
24102 gradle-debian-helper               	       0        3        3        0        0
24103 gradle-doc                         	       0        4        0        0        4
24104 gradle-ice-builder-plugin          	       0        1        0        0        1
24105 gradle-plugin-protobuf             	       0        1        0        0        1
24106 gradle-propdeps-plugin             	       0        1        0        0        1
24107 gradm2                             	       0        1        1        0        0
24108 grads                              	       0        5        5        0        0
24109 grafana-enterprise                 	       0        2        2        0        0
24110 grafx2                             	       0        7        7        0        0
24111 gramadoir                          	       0        1        1        0        0
24112 gramofile                          	       0        4        4        0        0
24113 granatier                          	       0       57       56        1        0
24114 granule                            	       0        2        2        0        0
24115 grap                               	       0       12       12        0        0
24116 grapejuice                         	       0        2        2        0        0
24117 graphdb-desktop                    	       0        1        1        0        0
24118 graphicsmagick-dbg                 	       0        3        3        0        0
24119 graphicsmagick-imagemagick-compat  	       0       40       39        1        0
24120 graphicsmagick-libmagick-dev-compat	       0        4        4        0        0
24121 graphlan                           	       0        1        1        0        0
24122 graphmonkey                        	       0        7        7        0        0
24123 graphql-playground-electron        	       0        1        0        0        1
24124 graphthing                         	       0        1        1        0        0
24125 graphviz-dev                       	       0        5        0        0        5
24126 graphviz-doc                       	       0       36       10        1       25
24127 grass                              	       0       22        2        0       20
24128 grass-dev                          	       0       10       10        0        0
24129 grass-dev-doc                      	       0        6        0        0        6
24130 grass-doc                          	       0       58        0        0       58
24131 grass-gui                          	       0       22       22        0        0
24132 gravit                             	       0        4        4        0        0
24133 gravit-data                        	       0        4        0        0        4
24134 gravitation                        	       0        2        2        0        0
24135 gravitywars                        	       0        4        4        0        0
24136 graywolf                           	       0        6        6        0        0
24137 grcompiler                         	       0        4        4        0        0
24138 grdesktop                          	       0       10       10        0        0
24139 greaseweazle-firmware-build-deps   	       0        1        0        0        1
24140 greenbone-security-assistant       	       0        2        1        0        1
24141 greenbone-security-assistant-common	       0        1        0        0        1
24142 greenfoot                          	       0        1        1        0        0
24143 greenwich                          	       0        1        1        0        0
24144 grepcidr                           	       0        5        5        0        0
24145 grepmail                           	       0        7        6        1        0
24146 gretl-common                       	       0        7        0        0        7
24147 gretl-data                         	       0        5        0        0        5
24148 gretl-doc                          	       0        6        0        0        6
24149 grfcodec                           	       0        3        3        0        0
24150 grhino                             	       0       10       10        0        0
24151 grhino-data                        	       0       10        0        0       10
24152 gridcoinresearch-qt                	       0        3        2        1        0
24153 gridcoinresearchd                  	       0        1        0        1        0
24154 gridengine-client                  	       0        1        1        0        0
24155 gridengine-common                  	       0        2        2        0        0
24156 gridengine-drmaa1.0                	       0        1        1        0        0
24157 gridengine-master                  	       0        1        1        0        0
24158 gridengine-qmon                    	       0        1        1        0        0
24159 gridlock.app                       	       0        2        2        0        0
24160 gridtracker                        	       0        1        1        0        0
24161 grilo-plugins-0.2                  	       0        1        0        0        1
24162 grilo-plugins-0.3                  	       0      425        2        0      423
24163 grimrock                           	       0        1        1        0        0
24164 grimshot                           	       0        9        9        0        0
24165 gringo                             	       0        8        8        0        0
24166 gringotts                          	       0        4        4        0        0
24167 grip                               	       0        6        6        0        0
24168 grisbi                             	       0        9        9        0        0
24169 grisbi-common                      	       0        9        0        0        9
24170 grml-debian-keyring                	       0        1        0        0        1
24171 grml-debootstrap                   	       0        5        4        1        0
24172 grml-etc-core                      	       0        2        0        0        2
24173 grml-hwinfo                        	       0        2        0        2        0
24174 grml-keyring                       	       0        2        0        0        2
24175 grml-live                          	       0        1        1        0        0
24176 grml-live-addons                   	       0        1        0        0        1
24177 grml-network                       	       0        1        1        0        0
24178 grml-rescueboot                    	       0       11       10        1        0
24179 grml-scripts                       	       0        2        2        0        0
24180 grml2usb                           	       0        5        5        0        0
24181 groff-base-dbgsym                  	       0        1        1        0        0
24182 groff-dbgsym                       	       0        1        1        0        0
24183 grok                               	       0        2        2        0        0
24184 grokj2k-tools                      	       0        2        2        0        0
24185 grokking-the-gimp                  	       0        1        0        0        1
24186 gromacs                            	       0        1        1        0        0
24187 gromacs-data                       	       0        1        0        0        1
24188 gromacs-openmpi                    	       0        1        1        0        0
24189 gromit                             	       0        1        1        0        0
24190 gron                               	       0        8        8        0        0
24191 groovy-doc                         	       0        7        0        0        7
24192 grop                               	       0        2        2        0        0
24193 groundhog                          	       0        8        8        0        0
24194 groupoffice-mailserver             	       0        1        1        0        0
24195 growl-for-linux                    	       0        1        1        0        0
24196 grpc-proto                         	       0        1        0        0        1
24197 grr.app                            	       0        2        2        0        0
24198 grub                               	       0        8        0        0        8
24199 grub-cloud-amd64                   	       0        1        0        0        1
24200 grub-coreboot                      	       0        1        0        0        1
24201 grub-coreboot-bin                  	       0        4        4        0        0
24202 grub-disk                          	       0        1        0        0        1
24203 grub-doc                           	       0        5        0        0        5
24204 grub-efi                           	       0       80        0        0       80
24205 grub-efi-amd64                     	       0     1506        0        0     1506
24206 grub-efi-amd64-bin-dummy           	       0        1        0        0        1
24207 grub-efi-amd64-dbg                 	       0        1        1        0        0
24208 grub-efi-amd64-signed              	       0     1519        0        0     1519
24209 grub-efi-amd64-signed-template     	       0        4        0        0        4
24210 grub-efi-arm64                     	       0        4        0        0        4
24211 grub-efi-arm64-bin                 	       0        4        3        1        0
24212 grub-efi-arm64-signed              	       0        3        0        0        3
24213 grub-efi-ia32                      	       0        3        0        0        3
24214 grub-efi-ia32-signed               	       0        2        0        0        2
24215 grub-efi-ia32-unsigned             	       0       20       19        1        0
24216 grub-emu                           	       0        8        8        0        0
24217 grub-firmware-qemu                 	       0       10        0        0       10
24218 grub-ieee1275                      	       0        4        0        0        4
24219 grub-ieee1275-bin                  	       0        5        5        0        0
24220 grub-imageboot                     	       0       13        0        0       13
24221 grub-invaders                      	       0        4        0        0        4
24222 grub-ipxe                          	       0        2        0        0        2
24223 grub-legacy                        	       0        5        5        0        0
24224 grub-legacy-doc                    	       0        8        0        0        8
24225 grub-reboot-poweroff               	       0        1        0        0        1
24226 grub-splashimages                  	       0        9        0        0        9
24227 grub-theme-breeze                  	       0       12        0        0       12
24228 grub-theme-starfield               	       0       12        0        0       12
24229 grub-themes-vimix                  	       0        1        0        0        1
24230 grub-xen                           	       0        1        0        0        1
24231 grub-xen-bin                       	       0       16       16        0        0
24232 grub-xen-host                      	       0       14       14        0        0
24233 grub2                              	       0       38        0        0       38
24234 grub2-splashimages                 	       0       14        0        0       14
24235 grun                               	       0       15       15        0        0
24236 grunt                              	       0        2        2        0        0
24237 gruvbox-gtk                        	       0        1        1        0        0
24238 gs                                 	       0        2        0        0        2
24239 gs-common                          	       0        6        0        0        6
24240 gs-esp                             	       0        3        0        0        3
24241 gs-gpl                             	       0        1        0        0        1
24242 gsad                               	       0        1        1        0        0
24243 gsalliere                          	       0        9        9        0        0
24244 gsasl                              	       0        4        4        0        0
24245 gsasl-common                       	       0     1717        0        0     1717
24246 gsasl-dbgsym                       	       0        1        1        0        0
24247 gsasl-doc                          	       0        3        0        0        3
24248 gscanbus                           	       0        3        3        0        0
24249 gsender                            	       0        1        1        0        0
24250 gsequencer                         	       0        2        2        0        0
24251 gsequencer-data                    	       0        3        0        0        3
24252 gsetroot                           	       0        3        3        0        0
24253 gsettings-desktop-schemas-dev      	       0        9        9        0        0
24254 gsfonts-other                      	       0       17        0        0       17
24255 gsfonts-wadalab-common             	       0        1        1        0        0
24256 gsfonts-wadalab-gothic             	       0        1        0        0        1
24257 gsfonts-wadalab-mincho             	       0        1        0        0        1
24258 gsfonts-x11                        	       0      400        0        0      400
24259 gskcrypt64                         	       0        1        0        0        1
24260 gskssl64                           	       0        1        1        0        0
24261 gsl-bin                            	       0       14       14        0        0
24262 gsl-doc-info                       	       0        4        0        0        4
24263 gsl-doc-pdf                        	       0        5        0        0        5
24264 gsl-ref-html                       	       0        5        0        0        5
24265 gsl-ref-psdoc                      	       0        7        0        0        7
24266 gsmc                               	       0        4        4        0        0
24267 gsoap                              	       0        8        8        0        0
24268 gsound-tools                       	       0        5        5        0        0
24269 gspca-source                       	       0        1        0        0        1
24270 gspiceui                           	       0        3        3        0        0
24271 gsplus                             	       0        1        1        0        0
24272 gss-ntlmssp                        	       0        1        0        0        1
24273 gssdp-tools                        	       0        1        1        0        0
24274 gst-omx-amdgpu                     	       0        8        0        0        8
24275 gst123                             	       0       16       16        0        0
24276 gstreamer-qapt                     	       0       11        0        0       11
24277 gstreamer0.10-alsa                 	       0       31        3        0       28
24278 gstreamer0.10-chromaprint          	       0        1        1        0        0
24279 gstreamer0.10-doc                  	       0        1        0        0        1
24280 gstreamer0.10-esd                  	       0        2        2        0        0
24281 gstreamer0.10-gnomevfs             	       0        2        0        0        2
24282 gstreamer0.10-gnonlin              	       0        4        4        0        0
24283 gstreamer0.10-gnonlin-doc          	       0        1        0        0        1
24284 gstreamer0.10-nice                 	       0       10        0        0       10
24285 gstreamer0.10-plugins-bad-doc      	       0        1        0        0        1
24286 gstreamer0.10-plugins-base-apps    	       0        1        1        0        0
24287 gstreamer0.10-plugins-base-doc     	       0        1        0        0        1
24288 gstreamer0.10-plugins-gl-doc       	       0        1        0        0        1
24289 gstreamer0.10-plugins-good-doc     	       0        1        0        0        1
24290 gstreamer0.10-plugins-really-bad   	       0        1        0        0        1
24291 gstreamer0.10-plugins-ugly-doc     	       0        1        0        0        1
24292 gstreamer0.10-qapt                 	       0        1        0        0        1
24293 gstreamer0.10-sdl                  	       0        1        1        0        0
24294 gstreamer0.8-swfdec                	       0        1        1        0        0
24295 gstreamer1.0-clutter               	       0        8        0        0        8
24296 gstreamer1.0-clutter-3.0           	       0      556        0        0      556
24297 gstreamer1.0-crystalhd             	       0        4        0        0        4
24298 gstreamer1.0-doc                   	       0        4        0        0        4
24299 gstreamer1.0-espeak                	       0      281        0        0      281
24300 gstreamer1.0-fdkaac                	       0        8        0        0        8
24301 gstreamer1.0-fluendo-mp3           	       0        4        0        0        4
24302 gstreamer1.0-gnonlin               	       0        3        0        0        3
24303 gstreamer1.0-gtk4                  	       0        4        0        0        4
24304 gstreamer1.0-libcamera             	       0       11        1        0       10
24305 gstreamer1.0-nice                  	       0      468        0        0      468
24306 gstreamer1.0-omx-bellagio-config   	       0        1        0        0        1
24307 gstreamer1.0-omx-generic           	       0        2        0        0        2
24308 gstreamer1.0-omx-generic-config    	       0        1        0        0        1
24309 gstreamer1.0-opencv                	       0        5        0        0        5
24310 gstreamer1.0-packagekit            	       0      128        2        0      126
24311 gstreamer1.0-packagekit-dbgsym     	       0        3        3        0        0
24312 gstreamer1.0-plugins-bad-apps      	       0        7        7        0        0
24313 gstreamer1.0-plugins-bad-apps-dbgsym	       0        1        1        0        0
24314 gstreamer1.0-plugins-bad-dbgsym    	       0        1        1        0        0
24315 gstreamer1.0-plugins-bad-doc       	       0        3        0        0        3
24316 gstreamer1.0-plugins-base-apps     	       0       15       14        1        0
24317 gstreamer1.0-plugins-base-doc      	       0        3        0        0        3
24318 gstreamer1.0-plugins-good-dbgsym   	       0        1        1        0        0
24319 gstreamer1.0-plugins-good-doc      	       0        2        0        0        2
24320 gstreamer1.0-plugins-rtp           	       0       10        0        0       10
24321 gstreamer1.0-plugins-rtp-dbgsym    	       0        1        1        0        0
24322 gstreamer1.0-plugins-ugly-dbgsym   	       0        1        1        0        0
24323 gstreamer1.0-plugins-ugly-doc      	       0        3        0        0        3
24324 gstreamer1.0-pocketsphinx          	       0        5        0        0        5
24325 gstreamer1.0-python3-plugin-loader 	       0        1        0        0        1
24326 gstreamer1.0-qt5                   	       0       26        0        0       26
24327 gstreamer1.0-qt6                   	       0        6        1        0        5
24328 gstreamer1.0-rtsp                  	       0       12        0        0       12
24329 gstreamer1.0-vaapi                 	       0       36        1        0       35
24330 gstreamer1.0-vaapi-doc             	       0        1        0        0        1
24331 gstreamer1.0-wpe                   	       0        2        0        0        2
24332 gt5                                	       0        5        5        0        0
24333 gtali                              	       0        3        0        0        3
24334 gtetrinet                          	       0       13       13        0        0
24335 gthumb-data                        	       0      141        1        0      140
24336 gtick                              	       0       20       18        2        0
24337 gtimelog                           	       0        1        1        0        0
24338 gtimer                             	       0        6        6        0        0
24339 gtk-3-examples                     	       0       11       11        0        0
24340 gtk-4-tests                        	       0        1        0        0        1
24341 gtk-engines-begtk                  	       0        1        1        0        0
24342 gtk-engines-eazel                  	       0        1        1        0        0
24343 gtk-engines-geramik                	       0        1        0        0        1
24344 gtk-engines-geramik-data           	       0        1        0        0        1
24345 gtk-engines-lighthouseblue         	       0        1        1        0        0
24346 gtk-engines-metal                  	       0        1        1        0        0
24347 gtk-engines-notif                  	       0        1        1        0        0
24348 gtk-engines-pixmap                 	       0        1        1        0        0
24349 gtk-engines-plastig                	       0        1        0        0        1
24350 gtk-engines-qtpixmap               	       0        1        1        0        0
24351 gtk-engines-raleigh                	       0        1        1        0        0
24352 gtk-engines-redmond95              	       0        1        1        0        0
24353 gtk-engines-thingeramik            	       0        1        0        0        1
24354 gtk-engines-thingeramik-data       	       0        1        0        0        1
24355 gtk-engines-thinice                	       0        1        1        0        0
24356 gtk-engines-xenophilia             	       0        1        1        0        0
24357 gtk-gnutella                       	       0        3        3        0        0
24358 gtk-gnutella-utils                 	       0        1        1        0        0
24359 gtk-imonc                          	       0        1        1        0        0
24360 gtk-qt-engine                      	       0        1        1        0        0
24361 gtk-qt-engine-trinity              	       0        5        5        0        0
24362 gtk-recordmydesktop                	       0        6        6        0        0
24363 gtk-redshift                       	       0        2        0        0        2
24364 gtk-sharp2                         	       0        9        0        0        9
24365 gtk-sharp2-examples                	       0        9        9        0        0
24366 gtk-sharp2-gapi                    	       0        9        9        0        0
24367 gtk-sharp3                         	       0        9        0        0        9
24368 gtk-sharp3-examples                	       0        9        0        0        9
24369 gtk-sharp3-gapi                    	       0        9        9        0        0
24370 gtk-smooth-themes                  	       0        3        0        0        3
24371 gtk-theme-config                   	       0        3        3        0        0
24372 gtk2-engines-aurora                	       0       20        0        0       20
24373 gtk2-engines-cleanice              	       0       23       22        1        0
24374 gtk2-engines-geramik               	       0        1        0        0        1
24375 gtk2-engines-industrial            	       0        1        0        0        1
24376 gtk2-engines-magicchicken          	       0        5        5        0        0
24377 gtk2-engines-moblin                	       0        8        8        0        0
24378 gtk2-engines-nodoka                	       0       17       17        0        0
24379 gtk2-engines-oxygen                	       0       30        0        0       30
24380 gtk2-engines-plastig               	       0        1        0        0        1
24381 gtk2-engines-qtcurve               	       0       47        0        0       47
24382 gtk2-engines-sapwood               	       0        1        1        0        0
24383 gtk2-engines-smooth                	       0        4        4        0        0
24384 gtk2-engines-sugar                 	       0       11        0        0       11
24385 gtk2-engines-thingeramik           	       0        1        0        0        1
24386 gtk2-engines-ubuntulooks           	       0        2        2        0        0
24387 gtk2-engines-wonderland            	       0        5        5        0        0
24388 gtk2-engines-xfce                  	       0      142        0        0      142
24389 gtk2.0-examples                    	       0        8        8        0        0
24390 gtk2hs-buildtools                  	       0        1        1        0        0
24391 gtk3-automnemonics-dbgsym          	       0        2        2        0        0
24392 gtk3-classic-module                	       0        1        1        0        0
24393 gtk3-engines-breeze                	       0       34        0        0       34
24394 gtk3-engines-oxygen                	       0        1        0        0        1
24395 gtk3-engines-unico                 	       0        3        0        0        3
24396 gtk3-engines-xfce                  	       0       27        0        0       27
24397 gtk3-im-libthai                    	       0        2        0        0        2
24398 gtk3-nooverlayscrollbar            	       0     2841        0        0     2841
24399 gtk3-tqt-engine-trinity            	       0        5        0        0        5
24400 gtkam                              	       0       32       32        0        0
24401 gtkam-gimp                         	       0       18       18        0        0
24402 gtkatlantic                        	       0        7        7        0        0
24403 gtkboard                           	       0        9        9        0        0
24404 gtkcookie                          	       0        2        2        0        0
24405 gtkcrypto                          	       0        1        1        0        0
24406 gtkdbfeditor                       	       0        2        2        0        0
24407 gtkdialog                          	       0        3        3        0        0
24408 gtkdiskfree                        	       0        1        1        0        0
24409 gtkfontsel                         	       0        1        1        0        0
24410 gtkguitune                         	       0        6        5        1        0
24411 gtkhash-common                     	       0        2        0        0        2
24412 gtkmm-documentation                	       0        8        0        0        8
24413 gtkmorph                           	       0        5        5        0        0
24414 gtkmorph-example                   	       0        2        0        0        2
24415 gtkorphan                          	       0        1        1        0        0
24416 gtkparasite                        	       0        1        1        0        0
24417 gtkperf                            	       0        2        2        0        0
24418 gtkpod                             	       0       10       10        0        0
24419 gtkpod-data                        	       0       10        0        0       10
24420 gtkpool                            	       0        4        4        0        0
24421 gtkrsync                           	       0        1        1        0        0
24422 gtkterm                            	       0       21       21        0        0
24423 gtkwave                            	       0       26       26        0        0
24424 gtm                                	       0        1        1        0        0
24425 gtml                               	       0        1        1        0        0
24426 gtranscribe                        	       0        4        4        0        0
24427 gtranslator                        	       0        1        1        0        0
24428 gtweakui                           	       0        1        1        0        0
24429 guam                               	       0        1        1        0        0
24430 guarda                             	       0        1        0        0        1
24431 gubbins                            	       0        1        1        0        0
24432 guess-concurrency                  	       0        1        0        1        0
24433 guessnet                           	       0        2        2        0        0
24434 guestfsd                           	       0        2        2        0        0
24435 guetzli                            	       0        4        3        1        0
24436 gui-apt-key                        	       0        1        1        0        0
24437 guidance-backends-trinity          	       0        2        0        0        2
24438 guidus                             	       0        2        2        0        0
24439 guile-1.6                          	       0        3        3        0        0
24440 guile-1.6-libs                     	       0        5        0        0        5
24441 guile-1.6-slib                     	       0        1        0        0        1
24442 guile-1.8                          	       0        7        7        0        0
24443 guile-1.8-dev                      	       0        1        1        0        0
24444 guile-1.8-doc                      	       0        1        0        0        1
24445 guile-1.8-doc-non-dfsg             	       0        1        0        0        1
24446 guile-1.8-libs                     	       0       13       13        0        0
24447 guile-2.0                          	       0       11       11        0        0
24448 guile-2.0-dev                      	       0        2        2        0        0
24449 guile-2.0-doc                      	       0        4        0        0        4
24450 guile-2.0-libs                     	       0       89        0        0       89
24451 guile-2.2-dev                      	       0        6        6        0        0
24452 guile-2.2-doc                      	       0        4        0        0        4
24453 guile-3.0-dev                      	       0       18       17        1        0
24454 guile-3.0-doc                      	       0       10        0        0       10
24455 guile-avahi                        	       0        1        0        0        1
24456 guile-bytestructures               	       0       13        0        0       13
24457 guile-cairo                        	       0        7        0        0        7
24458 guile-cairo-dev                    	       0        1        1        0        0
24459 guile-g-wrap                       	       0        6        1        0        5
24460 guile-gcrypt                       	       0       12        0        0       12
24461 guile-git                          	       0       12        0        0       12
24462 guile-gnome2-glib                  	       0        6        6        0        0
24463 guile-gnome2-gtk                   	       0        6        0        0        6
24464 guile-gnutls                       	       0       14        1        0       13
24465 guile-json                         	       0       14        0        0       14
24466 guile-library                      	       0        9        0        0        9
24467 guile-lzlib                        	       0       11        0        0       11
24468 guile-sqlite3                      	       0       13        0        0       13
24469 guile-zlib                         	       0       12        0        0       12
24470 guile-zstd                         	       0        1        0        0        1
24471 guilt                              	       0        3        3        0        0
24472 guitarix                           	       0       23       22        1        0
24473 guitarix-common                    	       0       23        0        0       23
24474 guitarix-doc                       	       0        2        0        0        2
24475 guitarix-ladspa                    	       0       28       27        1        0
24476 gulp                               	       0        1        1        0        0
24477 gummi                              	       0       17       17        0        0
24478 gunicorn                           	       0        3        2        1        0
24479 gunicorn-examples                  	       0        1        0        0        1
24480 gunroar                            	       0        3        3        0        0
24481 gunroar-data                       	       0        5        0        0        5
24482 gupnp-dlna-tools                   	       0        1        1        0        0
24483 gupnp-tools                        	       0        4        4        0        0
24484 gutenbrowser                       	       0        1        1        0        0
24485 gutenprint-doc                     	       0       41        0        0       41
24486 gutenprint-locales                 	       0      116        0        0      116
24487 gvfs-backends-dbgsym               	       0        1        1        0        0
24488 gvfs-build-deps                    	       0        1        0        0        1
24489 gvfs-daemons-dbgsym                	       0        1        1        0        0
24490 gvfs-dbgsym                        	       0        2        2        0        0
24491 gvfs-libs-dbgsym                   	       0        2        2        0        0
24492 gvidm                              	       0        2        2        0        0
24493 gvpe                               	       0        1        1        0        0
24494 gwakeonlan                         	       0        5        5        0        0
24495 gwaterfall                         	       0       14       14        0        0
24496 gwave                              	       0        6        6        0        0
24497 gwc                                	       0        8        8        0        0
24498 gweled                             	       0       16       16        0        0
24499 gwenhywfar-tools                   	       0        2        2        0        0
24500 gwenview-doc                       	       0       21        0        0       21
24501 gwenview-i18n-trinity              	       0        1        0        0        1
24502 gwget                              	       0        1        1        0        0
24503 gwhere                             	       0        1        1        0        0
24504 gwhois                             	       0        8        8        0        0
24505 gworkspace-apps-wrappers           	       0        3        0        0        3
24506 gworkspace-common                  	       0        6        0        0        6
24507 gwyddion-common                    	       0        6        0        0        6
24508 gxemul                             	       0        3        3        0        0
24509 gxemul-doc                         	       0        3        0        0        3
24510 gxine                              	       0        2        2        0        0
24511 gxineplugin                        	       0        1        1        0        0
24512 gxkb                               	       0        3        3        0        0
24513 gxplugins                          	       0        1        1        0        0
24514 gxset                              	       0        1        1        0        0
24515 gxtuner                            	       0        5        5        0        0
24516 gxvoxtonebender                    	       0        1        1        0        0
24517 gxw-glade                          	       0        1        0        0        1
24518 gyoto-bin                          	       0        2        2        0        0
24519 gzdoom                             	       0       19       19        0        0
24520 gzdoom-build-deps                  	       0        1        0        0        1
24521 gzip-win32                         	       0        1        0        0        1
24522 gztool                             	       0        3        3        0        0
24523 h264enc                            	       0        3        3        0        0
24524 h5utils                            	       0       14       14        0        0
24525 ha                                 	       0        3        3        0        0
24526 hachoir                            	       0        3        3        0        0
24527 hachu                              	       0        4        4        0        0
24528 hackrf-doc                         	       0        1        0        0        1
24529 hackrf-firmware                    	       0        2        0        0        2
24530 hacktv                             	       0        2        2        0        0
24531 hadori                             	       0        3        3        0        0
24532 hakuneko-desktop                   	       0        6        6        0        0
24533 hal-doc                            	       0        1        0        0        1
24534 hal-info                           	       0        8        0        0        8
24535 half                               	       0        2        0        0        2
24536 halibut                            	       0        2        2        0        0
24537 hamexam                            	       0        4        4        0        0
24538 haml-elisp                         	       0        1        1        0        0
24539 hamradio-all                       	       0        2        0        0        2
24540 hamradio-antenna                   	       0        3        0        0        3
24541 hamradio-datamodes                 	       0        2        0        0        2
24542 hamradio-digitalvoice              	       0        2        0        0        2
24543 hamradio-files                     	       0       18        0        0       18
24544 hamradio-logging                   	       0        3        0        0        3
24545 hamradio-maintguide                	       0        2        0        0        2
24546 hamradio-morse                     	       0        4        0        0        4
24547 hamradio-nonamateur                	       0        2        0        0        2
24548 hamradio-packetmodes               	       0        2        0        0        2
24549 hamradio-rigcontrol                	       0        2        0        0        2
24550 hamradio-satellite                 	       0        2        0        0        2
24551 hamradio-sdr                       	       0        5        0        0        5
24552 hamradio-tasks                     	       0        8        0        0        8
24553 hamradio-tools                     	       0        3        0        0        3
24554 hamradio-training                  	       0        3        0        0        3
24555 hamradiomenus                      	       0        1        0        0        1
24556 hamster-applet                     	       0        9        8        0        1
24557 hannah                             	       0       10       10        0        0
24558 hannah-data                        	       0       10        0        0       10
24559 hannah-foo2zjs                     	       0        4        4        0        0
24560 haproxy-doc                        	       0        1        0        0        1
24561 haproxyctl                         	       0        1        1        0        0
24562 harden-doc                         	       0        9        0        0        9
24563 harden-environment                 	       0        1        0        0        1
24564 harden-servers                     	       0        1        0        0        1
24565 harden-tools                       	       0        1        0        0        1
24566 hardening-runtime                  	       0        7        6        0        1
24567 haruna                             	       0        8        7        1        0
24568 harvid                             	       0       66       66        0        0
24569 hashalot                           	       0        2        2        0        0
24570 hashcat-data                       	       0       51       51        0        0
24571 hashcat-nvidia                     	       0        6        0        0        6
24572 hashcheck                          	       0        2        2        0        0
24573 haskell-debian-utils               	       0        1        1        0        0
24574 haskell-devscripts-minimal         	       0        2        2        0        0
24575 haskell-doc                        	       0        6        0        0        6
24576 haskell-mode                       	       0        5        0        0        5
24577 haskell-platform                   	       0        1        0        0        1
24578 haskell-platform-doc               	       0        1        0        0        1
24579 haskell-stack                      	       0       11       11        0        0
24580 haskell-status-notifier-item-utils 	       0        1        1        0        0
24581 haskell-utils                      	       0        1        1        0        0
24582 haskell98-report                   	       0        9        0        0        9
24583 haskell98-tutorial                 	       0        8        0        0        8
24584 hasktags                           	       0        2        2        0        0
24585 hatop                              	       0        2        2        0        0
24586 haveno                             	       0        1        1        0        0
24587 haxe                               	       0        3        3        0        0
24588 hcloud-cli                         	       0        1        1        0        0
24589 hdate                              	       0        2        2        0        0
24590 hdate-applet                       	       0        2        2        0        0
24591 hdd-cleaner                        	       0        1        1        0        0
24592 hdf-compass                        	       0        1        1        0        0
24593 hdf-compass-doc                    	       0        1        0        0        1
24594 hdf4-tools                         	       0       17       17        0        0
24595 hdf5-filter-plugin                 	       0        1        0        0        1
24596 hdf5-filter-plugin-blosc-serial    	       0        1        1        0        0
24597 hdf5-filter-plugin-zfp-serial      	       0        1        0        0        1
24598 hdf5-plugin-lzf                    	       0        1        0        0        1
24599 hdhomerun-config                   	       0        1        1        0        0
24600 hdmi2usb-fx2-firmware              	       0        9        8        0        1
24601 hdmi2usb-mode-switch               	       0        5        5        0        0
24602 hdmi2usb-udev                      	       0        5        5        0        0
24603 hdrecover                          	       0        1        1        0        0
24604 hdrmerge                           	       0        3        3        0        0
24605 hdup                               	       0        3        3        0        0
24606 headache                           	       0        1        1        0        0
24607 headsetcontrol                     	       0        1        1        0        0
24608 healpy-data                        	       0        4        0        0        4
24609 health-check                       	       0        1        1        0        0
24610 heaptrack                          	       0       11        9        2        0
24611 heaptrack-gui                      	       0        6        6        0        0
24612 hebcal                             	       0        4        4        0        0
24613 hedgewars                          	       0       25       25        0        0
24614 hedgewars-data                     	       0       25        0        0       25
24615 heimdal-clients                    	       0       16       15        1        0
24616 heimdal-docs                       	       0        6        0        0        6
24617 heimdal-kdc                        	       0        1        1        0        0
24618 heimdal-multidev                   	       0        6        6        0        0
24619 heimdal-servers                    	       0        1        0        1        0
24620 heimdall-frontend                  	       0        1        1        0        0
24621 heimer                             	       0        1        1        0        0
24622 helio-workstation                  	       0        1        1        0        0
24623 helix-cli                          	       0        1        0        0        1
24624 helix-cli-base                     	       0        1        1        0        0
24625 helix-git-connector                	       0        1        1        0        0
24626 hello-debhelper                    	       0        1        0        0        1
24627 hellodemo                          	       0        1        0        0        1
24628 helm                               	       0        9        9        0        0
24629 helpdeco                           	       0        1        1        0        0
24630 helpdelete                         	       0        1        1        0        0
24631 helpman                            	       0        6        5        1        0
24632 helpviewer.app                     	       0        2        2        0        0
24633 helvum                             	       0        3        3        0        0
24634 hercules                           	       0        8        8        0        0
24635 herculesstudio                     	       0        5        5        0        0
24636 heretic-shareware-wad              	       0        1        0        0        1
24637 heretic-wad                        	       0        1        0        0        1
24638 hermes1                            	       0        1        0        0        1
24639 hermes1-dev                        	       0        1        1        0        0
24640 heroes                             	       0        3        3        0        0
24641 heroes-common                      	       0        1        0        0        1
24642 heroes-data                        	       0        4        0        0        4
24643 heroes-ggi                         	       0        1        1        0        0
24644 heroes-sdl                         	       0        1        1        0        0
24645 heroes-sound-effects               	       0        4        0        0        4
24646 heroes-sound-tracks                	       0        4        0        0        4
24647 heroku                             	       0        1        1        0        0
24648 hershey-font-gnuplot               	       0        3        3        0        0
24649 hershey-fonts-data                 	       0        7        0        0        7
24650 hesiod                             	       0        1        1        0        0
24651 heudiconv                          	       0        1        1        0        0
24652 hevea-doc                          	       0        5        0        0        5
24653 hex-a-hop                          	       0       11       11        0        0
24654 hex-a-hop-data                     	       0       10        0        0       10
24655 hexalate                           	       0        9        9        0        0
24656 hexcat                             	       0        1        1        0        0
24657 hexchat-dev                        	       0        2        2        0        0
24658 hexchat-otr                        	       0       12        2        0       10
24659 hexec                              	       0        2        2        0        0
24660 hexen-deathkings-wad               	       0        1        0        0        1
24661 hexen-demo-wad                     	       0        1        0        0        1
24662 hexen-wad                          	       0        1        0        0        1
24663 hexen2-data                        	       0        1        0        0        1
24664 hexen2-hexenworld-data             	       0        1        0        0        1
24665 hexen2-portals-data                	       0        1        0        0        1
24666 hexen2-reg-data                    	       0        1        0        0        1
24667 hexter                             	       0        7        7        0        0
24668 hexter-syx-dx7-banks               	       0        1        0        0        1
24669 hextype                            	       0        1        1        0        0
24670 hexwalk                            	       0        4        4        0        0
24671 hexxagon-text                      	       0        1        1        0        0
24672 hexyl                              	       0        6        6        0        0
24673 hfst                               	       0        3        3        0        0
24674 hfst-ospell                        	       0        1        1        0        0
24675 hfsutils-tcltk                     	       0       15       15        0        0
24676 hhsuite                            	       0        2        2        0        0
24677 hhsuite-data                       	       0        2        2        0        0
24678 hibiscus                           	       0        4        3        0        1
24679 hibiscus-doc                       	       0        2        0        0        2
24680 hicolor-icon-theme                 	       0     3845        0        0     3845
24681 hidrd                              	       0        1        1        0        0
24682 highlight-common                   	       0       23        0        0       23
24683 highlight-pointer                  	       0        1        1        0        0
24684 highlight.js-doc                   	       0        1        0        0        1
24685 hiki                               	       0        1        1        0        0
24686 hilive                             	       0        1        1        0        0
24687 hime                               	       0        2        2        0        0
24688 hime-data                          	       0        2        0        0        2
24689 hime-gtk2-immodule                 	       0        2        0        0        2
24690 hime-gtk3-immodule                 	       0        2        0        0        2
24691 hime-tables                        	       0        2        0        0        2
24692 hindent                            	       0        2        2        0        0
24693 hintview                           	       0        1        1        0        0
24694 hip-base                           	       0        1        0        0        1
24695 hip-dev                            	       0        4        4        0        0
24696 hip-doc                            	       0        4        0        0        4
24697 hip-runtime-amd                    	       0        7        3        0        4
24698 hip-samples                        	       0        4        3        0        1
24699 hipblas                            	       0        4        0        0        4
24700 hipblas-asan                       	       0        1        1        0        0
24701 hipblas-common-dev                 	       0        1        1        0        0
24702 hipblas-dev                        	       0        4        4        0        0
24703 hipblaslt                          	       0        3        1        0        2
24704 hipblaslt-dev                      	       0        3        3        0        0
24705 hipcc                              	       0        7        7        0        0
24706 hipcub-dev                         	       0        3        3        0        0
24707 hipfft                             	       0        3        0        0        3
24708 hipfft-dev                         	       0        3        3        0        0
24709 hipfort-dev                        	       0        3        3        0        0
24710 hipify-clang                       	       0        3        3        0        0
24711 hipify-perl                        	       0        1        1        0        0
24712 hiprand                            	       0        2        0        0        2
24713 hiprand-dev                        	       0        2        2        0        0
24714 hipsolver                          	       0        3        0        0        3
24715 hipsolver-dev                      	       0        3        3        0        0
24716 hipsparse                          	       0        3        0        0        3
24717 hipsparse-dev                      	       0        3        3        0        0
24718 hipsparselt                        	       0        1        1        0        0
24719 hipsparselt-dev                    	       0        1        1        0        0
24720 hiptensor                          	       0        2        0        0        2
24721 hiptensor-dev                      	       0        2        2        0        0
24722 hisat2                             	       0        1        1        0        0
24723 hivelytracker                      	       0        2        2        0        0
24724 hjson-go                           	       0        1        1        0        0
24725 hl1110cupswrapper                  	       0        1        0        0        1
24726 hl1110lpr                          	       0        1        0        0        1
24727 hl1200cupswrapper                  	       0        1        0        0        1
24728 hl1200lpr                          	       0        1        0        0        1
24729 hl1210wcupswrapper                 	       0        2        0        0        2
24730 hl1210wlpr                         	       0        2        0        0        2
24731 hl2240lpr                          	       0        1        0        0        1
24732 hl2270dwlpr                        	       0        3        0        0        3
24733 hl3040cncupswrapper                	       0        2        0        0        2
24734 hl3040cnlpr                        	       0        3        3        0        0
24735 hl3170cdwcupswrapper               	       0        2        0        0        2
24736 hl3170cdwlpr                       	       0        3        3        0        0
24737 hl4040cncupswrapper                	       0        1        0        0        1
24738 hl4040cnlpr                        	       0        1        1        0        0
24739 hl4140cncupswrapper                	       0        1        0        0        1
24740 hl4140cnlpr                        	       0        1        1        0        0
24741 hl6050dlpr                         	       0        1        1        0        0
24742 hledger                            	       0        7        7        0        0
24743 hledger-interest                   	       0        1        1        0        0
24744 hledger-ui                         	       0        4        4        0        0
24745 hledger-web                        	       0        2        2        0        0
24746 hlins                              	       0        2        2        0        0
24747 hlint                              	       0        3        3        0        0
24748 hll2310dpdrv                       	       0        1        0        0        1
24749 hll2320dcupswrapper                	       0        1        0        0        1
24750 hll2320dlpr                        	       0        1        0        0        1
24751 hll2340dcupswrapper                	       0        1        0        0        1
24752 hll2340dlpr                        	       0        1        0        0        1
24753 hll2350dwpdrv                      	       0        1        0        0        1
24754 hll2360dcupswrapper                	       0        1        0        0        1
24755 hll2360dlpr                        	       0        1        0        0        1
24756 hll2375dwpdrv                      	       0        3        0        0        3
24757 hll2380dwcupswrapper               	       0        4        0        0        4
24758 hll2380dwlpr                       	       0        4        0        0        4
24759 hll2390dwpdrv                      	       0        2        0        0        2
24760 hll2395dwpdrv                      	       0        2        0        0        2
24761 hll2460dwpdrv                      	       0        1        0        0        1
24762 hll3230cdwpdrv                     	       0        1        1        0        0
24763 hll3270cdwpdrv                     	       0        1        1        0        0
24764 hll3280cdwpdrv                     	       0        1        0        0        1
24765 hll5000dcupswrapper                	       0        1        0        0        1
24766 hll5000dlpr                        	       0        1        0        0        1
24767 hll5100dncupswrapper               	       0        1        0        0        1
24768 hll5100dnlpr                       	       0        1        0        0        1
24769 hll5200dwcupswrapper               	       0        1        0        0        1
24770 hll5200dwlpr                       	       0        1        0        0        1
24771 hll5210dnpdrv                      	       0        1        0        0        1
24772 hll6200dwcupswrapper               	       0        1        0        0        1
24773 hll6200dwlpr                       	       0        1        0        0        1
24774 hll8250cdncupswrapper              	       0        4        0        0        4
24775 hll8360cdwcupswrapper              	       0        2        0        0        2
24776 hll8360cdwlpr                      	       0        2        0        0        2
24777 hm                                 	       0        2        2        0        0
24778 hm-config                          	       0        2        0        0        2
24779 hm-doc                             	       0        2        0        0        2
24780 hm-highbitdepth                    	       0        2        2        0        0
24781 hmmer                              	       0        3        3        0        0
24782 hnb                                	       0        5        5        0        0
24783 hodie                              	       0        2        2        0        0
24784 hol88                              	       0        2        2        0        0
24785 hol88-help                         	       0        1        0        0        1
24786 holap                              	       0        1        1        0        0
24787 holdingnuts                        	       0        1        1        0        0
24788 holdingnuts-server                 	       0        1        1        0        0
24789 holes                              	       0        2        2        0        0
24790 holotz-castle                      	       0        7        7        0        0
24791 holotz-castle-data                 	       0        7        0        0        7
24792 holotz-castle-editor               	       0        1        1        0        0
24793 homebank-data                      	       0       16        0        0       16
24794 homesick                           	       0        2        2        0        0
24795 homm3-demo-data                    	       0        1        0        0        1
24796 hopenpgp-tools                     	       0        5        5        0        0
24797 horgand                            	       0        3        3        0        0
24798 horgand-data                       	       0        3        0        0        3
24799 horizon-eda                        	       0       12       12        0        0
24800 host                               	       0      300        0        0      300
24801 host-api                           	       0        1        1        0        0
24802 hostap-utils                       	       0        1        1        0        0
24803 hostfiles                          	       0        1        1        0        0
24804 hostminder                         	       0        1        1        0        0
24805 hostmot2-firmware-3x20-1           	       0        1        1        0        0
24806 hostmot2-firmware-4i65             	       0        1        1        0        0
24807 hostmot2-firmware-4i68             	       0        1        1        0        0
24808 hostmot2-firmware-5i20             	       0        1        1        0        0
24809 hostmot2-firmware-5i22-1           	       0        1        1        0        0
24810 hostmot2-firmware-5i22-1.5         	       0        1        1        0        0
24811 hostmot2-firmware-5i23             	       0        1        1        0        0
24812 hostmot2-firmware-7i43-2           	       0        1        1        0        0
24813 hostmot2-firmware-7i43-4           	       0        1        1        0        0
24814 hostmot2-firmware-all              	       0        1        0        0        1
24815 hoteldruid                         	       0        1        1        0        0
24816 hotkey-setup                       	       0        1        1        0        0
24817 hotkeys                            	       0        1        1        0        0
24818 hotspot                            	       0        5        5        0        0
24819 hotswap                            	       0        2        0        0        2
24820 hovercraft                         	       0        4        4        0        0
24821 howdoi                             	       0        3        3        0        0
24822 howdy                              	       0        1        1        0        0
24823 howm                               	       0        3        3        0        0
24824 hoz                                	       0        1        1        0        0
24825 hoz-gui                            	       0        1        1        0        0
24826 hp-ams                             	       0        2        2        0        0
24827 hp-ppd                             	       0      199        0        0      199
24828 hp-scripting-tools                 	       0        1        1        0        0
24829 hp-smh-templates                   	       0        2        2        0        0
24830 hp2xx                              	       0       11       11        0        0
24831 hp48cc                             	       0        1        1        0        0
24832 hpack                              	       0        1        1        0        0
24833 hpacucli                           	       0        1        1        0        0
24834 hpanel                             	       0        2        2        0        0
24835 hpijs                              	       0       11        0        0       11
24836 hping2                             	       0        2        2        0        0
24837 hplip-cups                         	       0        2        0        0        2
24838 hplip-doc                          	       0       38        0        0       38
24839 hponcfg                            	       0        3        3        0        0
24840 hprof-conv                         	       0       82       18        0       64
24841 hpsa-dkms                          	       0        1        1        0        0
24842 hpsdrconnector                     	       0        1        1        0        0
24843 hpsmh                              	       0        3        3        0        0
24844 hpssacli                           	       0        1        1        0        0
24845 hqx                                	       0        1        1        0        0
24846 hrd                                	       0        1        1        0        0
24847 hsa-amd-aqlprofile                 	       0        4        0        0        4
24848 hsa-ext-rocr-dev                   	       0        1        0        0        1
24849 hsa-rocr                           	       0       15        5        0       10
24850 hsa-rocr-dev                       	       0        9        8        0        1
24851 hsakmt-roct                        	       0        1        0        0        1
24852 hsakmt-roct-dev                    	       0        8        7        0        1
24853 hscolour                           	       0        4        4        0        0
24854 hsftp                              	       0        1        1        0        0
24855 hsmwiz                             	       0        1        1        0        0
24856 hspell                             	       0       16       16        0        0
24857 hspell-gui                         	       0        2        2        0        0
24858 hstr                               	       0        2        2        0        0
24859 hsx2hs                             	       0        2        2        0        0
24860 ht                                 	       0       14       14        0        0
24861 htcheck                            	       0        1        1        0        0
24862 htcondor                           	       0        1        0        0        1
24863 htdig                              	       0       51       47        4        0
24864 htdig-doc                          	       0        6        2        0        4
24865 html-helper-mode                   	       0        1        1        0        0
24866 html2text-dbgsym                   	       0        1        1        0        0
24867 htmldoc-common                     	       0       24        0        0       24
24868 htmlmin                            	       0        1        1        0        0
24869 htop-build-deps                    	       0        1        0        0        1
24870 htop-dbgsym                        	       0        1        1        0        0
24871 htp                                	       0        1        1        0        0
24872 hts-tvheadend                      	       0        1        0        0        1
24873 hts-voice-nitech-jp-atr503-m001    	       0        3        0        0        3
24874 htsengine                          	       0        1        1        0        0
24875 httest                             	       0        1        1        0        0
24876 http-icons                         	       0        5        0        0        5
24877 httpcode                           	       0        2        2        0        0
24878 httpdirfs                          	       0        2        2        0        0
24879 httperf                            	       0        3        3        0        0
24880 httpfs2                            	       0        3        3        0        0
24881 httpry                             	       0        3        3        0        0
24882 https-keyscript                    	       0        2        2        0        0
24883 httptoolkit                        	       0        2        2        0        0
24884 httrack                            	       0       52       51        1        0
24885 httrack-doc                        	       0       17        0        0       17
24886 httraqt                            	       0       11       11        0        0
24887 hub                                	       0       10        9        1        0
24888 hugin-data                         	       0       55        0        0       55
24889 hugs                               	       0       11       11        0        0
24890 huiontablet                        	       0        2        2        0        0
24891 huludesktop                        	       0        1        1        0        0
24892 human-icon-theme                   	       0        7        0        0        7
24893 human-theme                        	       0        1        0        0        1
24894 humanfriendly                      	       0        1        1        0        0
24895 humanity-colors                    	       0        2        0        0        2
24896 humanity-icon-theme                	       0        3        0        0        3
24897 hunspell-af                        	       0        6        0        0        6
24898 hunspell-an                        	       0        5        2        0        3
24899 hunspell-ar                        	       0        7        0        0        7
24900 hunspell-be                        	       0        9        9        0        0
24901 hunspell-bg                        	       0       11        0        0       11
24902 hunspell-bn                        	       0        3        0        0        3
24903 hunspell-bo                        	       0        3        3        0        0
24904 hunspell-br                        	       0        6        6        0        0
24905 hunspell-bs                        	       0        6        0        0        6
24906 hunspell-ca                        	       0       15       15        0        0
24907 hunspell-cs                        	       0       36        0        0       36
24908 hunspell-da                        	       0       14        0        0       14
24909 hunspell-de-at-frami               	       0        8        0        0        8
24910 hunspell-de-ch-frami               	       0        5        0        0        5
24911 hunspell-de-de-frami               	       0       13        0        0       13
24912 hunspell-de-med                    	       0       11       11        0        0
24913 hunspell-dz                        	       0        2        2        0        0
24914 hunspell-el                        	       0       25        0        0       25
24915 hunspell-en-au                     	       0       12       11        1        0
24916 hunspell-en-ca                     	       0       14       13        0        1
24917 hunspell-en-gb                     	       0      258        0        0      258
24918 hunspell-en-med                    	       0       18       17        1        0
24919 hunspell-en-za                     	       0        6        0        0        6
24920 hunspell-eo                        	       0        1        0        0        1
24921 hunspell-es                        	       0       57        0        0       57
24922 hunspell-eu                        	       0        8        8        0        0
24923 hunspell-fr                        	       0      116        0        0      116
24924 hunspell-fr-classical              	       0      116        0        0      116
24925 hunspell-fr-comprehensive          	       0        6        0        0        6
24926 hunspell-fr-modern                 	       0        1        0        0        1
24927 hunspell-fr-revised                	       0        6        0        0        6
24928 hunspell-gd                        	       0        8        0        0        8
24929 hunspell-gl                        	       0        7        0        0        7
24930 hunspell-gl-es                     	       0        3        0        0        3
24931 hunspell-gu                        	       0        6        0        0        6
24932 hunspell-gug                       	       0        2        0        0        2
24933 hunspell-he                        	       0        7        0        0        7
24934 hunspell-hi                        	       0        6        0        0        6
24935 hunspell-hr                        	       0        9        0        0        9
24936 hunspell-hu                        	       0       32        0        0       32
24937 hunspell-id                        	       0        5        0        0        5
24938 hunspell-is                        	       0        8        0        0        8
24939 hunspell-it                        	       0      117        0        0      117
24940 hunspell-kk                        	       0        7        0        0        7
24941 hunspell-kmr                       	       0        4        0        0        4
24942 hunspell-ko                        	       0       14       14        0        0
24943 hunspell-lo                        	       0        3        0        0        3
24944 hunspell-lt                        	       0        7        0        0        7
24945 hunspell-lv                        	       0        8        8        0        0
24946 hunspell-ml                        	       0        2        0        0        2
24947 hunspell-mn                        	       0        2        0        0        2
24948 hunspell-ne                        	       0        6        0        0        6
24949 hunspell-nl                        	       0       30        0        0       30
24950 hunspell-no                        	       0       12        0        0       12
24951 hunspell-oc                        	       0        5        0        0        5
24952 hunspell-pl                        	       0       70        0        0       70
24953 hunspell-pt-br                     	       0       46        0        0       46
24954 hunspell-pt-pt                     	       0       11        0        0       11
24955 hunspell-ro                        	       0       24        0        0       24
24956 hunspell-ru                        	       0      170        0        0      170
24957 hunspell-se                        	       0        1        0        0        1
24958 hunspell-si                        	       0        6        0        0        6
24959 hunspell-sk                        	       0        7        0        0        7
24960 hunspell-sl                        	       0        7        0        0        7
24961 hunspell-sr                        	       0        7        0        0        7
24962 hunspell-sv                        	       0       28        0        0       28
24963 hunspell-sv-se                     	       0       12        0        0       12
24964 hunspell-sw                        	       0        3        0        0        3
24965 hunspell-te                        	       0        6        0        0        6
24966 hunspell-th                        	       0        8        0        0        8
24967 hunspell-tools                     	       0        5        5        0        0
24968 hunspell-tr                        	       0        9        0        0        9
24969 hunspell-uk                        	       0       16        0        0       16
24970 hunspell-uz                        	       0        7        0        0        7
24971 hunspell-vi                        	       0        7        0        0        7
24972 hurd-doc                           	       0        5        0        0        5
24973 hv3                                	       0       16       16        0        0
24974 hwb                                	       0        7        0        0        7
24975 hwdata                             	       0     1032        0        0     1032
24976 hwtools                            	       0        2        2        0        0
24977 hx                                 	       0        1        0        1        0
24978 hy                                 	       0        2        0        0        2
24979 hydrapaper                         	       0        2        2        0        0
24980 hydrogen-data                      	       0       39        0        0       39
24981 hydrogen-doc                       	       0       38        0        0       38
24982 hydrogen-drumkits                  	       0       41        0        0       41
24983 hydrogen-drumkits-effects          	       0       15        0        0       15
24984 hydrogen-patterns                  	       0        1        0        0        1
24985 hyfetch                            	       0        2        2        0        0
24986 hyfetch-git-dummy-builddeps        	       0        1        0        0        1
24987 hyperestraier                      	       0        2        2        0        0
24988 hyperfine                          	       0        3        3        0        0
24989 hyperfine-musl                     	       0        1        1        0        0
24990 hyperion                           	       0        1        1        0        0
24991 hyperrogue                         	       0        8        8        0        0
24992 hyperrogue-music                   	       0        8        0        0        8
24993 hyperspec                          	       0       11        0        0       11
24994 hyphen-af                          	       0        1        0        0        1
24995 hyphen-as                          	       0        1        0        0        1
24996 hyphen-bg                          	       0        4        0        0        4
24997 hyphen-bn                          	       0        1        0        0        1
24998 hyphen-cs                          	       0        5        0        0        5
24999 hyphen-da                          	       0        1        0        0        1
25000 hyphen-de                          	       0      285        0        0      285
25001 hyphen-el                          	       0        1        0        0        1
25002 hyphen-en-gb                       	       0       34        0        0       34
25003 hyphen-en-us                       	       0     2469        0        0     2469
25004 hyphen-es                          	       0       32        0        0       32
25005 hyphen-fr                          	       0       29        0        0       29
25006 hyphen-hr                          	       0        3        0        0        3
25007 hyphen-hu                          	       0       14        0        0       14
25008 hyphen-is                          	       0        1        0        0        1
25009 hyphen-it                          	       0       25        0        0       25
25010 hyphen-kn                          	       0        1        0        0        1
25011 hyphen-lt                          	       0        3        0        0        3
25012 hyphen-lv                          	       0        3        0        0        3
25013 hyphen-mr                          	       0        1        0        0        1
25014 hyphen-nl                          	       0        7        0        0        7
25015 hyphen-pl                          	       0        6        0        0        6
25016 hyphen-pt-br                       	       0        1        0        0        1
25017 hyphen-pt-pt                       	       0        4        0        0        4
25018 hyphen-ro                          	       0        8        0        0        8
25019 hyphen-ru                          	       0       46        0        0       46
25020 hyphen-sh                          	       0        4        0        0        4
25021 hyphen-show                        	       0        3        3        0        0
25022 hyphen-sv                          	       0        2        0        0        2
25023 hyphen-ta                          	       0        1        0        0        1
25024 hyphen-uk                          	       0       15        0        0       15
25025 hyphen-zu                          	       0       15        0        0       15
25026 hyphy-common                       	       0        4        4        0        0
25027 hyphy-mpi                          	       0        4        4        0        0
25028 hypnotix                           	       0        6        6        0        0
25029 hyprcursor-util                    	       0        1        1        0        0
25030 hyprland                           	       0        3        3        0        0
25031 hyprland-protocols                 	       0        1        0        0        1
25032 hyprpaper                          	       0        2        2        0        0
25033 hyprwayland-scanner                	       0        1        1        0        0
25034 hyx                                	       0        2        2        0        0
25035 i18nspector                        	       0        3        3        0        0
25036 i2p                                	       0        8        8        0        0
25037 i2p-keyring                        	       0        6        0        0        6
25038 i2p-router                         	       0        8        8        0        0
25039 i2util-tools                       	       0        2        2        0        0
25040 i3                                 	       0      130        0        0      130
25041 i3-next-workspace                  	       0        1        1        0        0
25042 i3-swap-focus                      	       0        1        1        0        0
25043 i3ipc-glib                         	       0        1        1        0        0
25044 i3lock-fancy                       	       0        6        6        0        0
25045 i3pystatus                         	       0        5        5        0        0
25046 i3xrocks                           	       0        1        1        0        0
25047 i3xrocks-cpu-usage                 	       0        1        0        0        1
25048 i3xrocks-net-traffic               	       0        1        0        0        1
25049 i3xrocks-time                      	       0        1        0        0        1
25050 i965-va-driver-shaders             	       0       27        3        0       24
25051 iaito                              	       0        1        1        0        0
25052 iamerican-huge                     	       0        8        8        0        0
25053 iamerican-insane                   	       0        7        7        0        0
25054 iamerican-large                    	       0        7        7        0        0
25055 iamerican-small                    	       0        5        5        0        0
25056 iasl                               	       0        2        0        0        2
25057 ibackup                            	       0        1        1        0        0
25058 ibm-iaccess                        	       0        3        3        0        0
25059 ibod                               	       0        1        1        0        0
25060 ibrazilian                         	       0       50       48        2        0
25061 ibritish-huge                      	       0        8        8        0        0
25062 ibritish-insane                    	       0       17       17        0        0
25063 ibritish-large                     	       0        8        8        0        0
25064 ibritish-small                     	       0        6        6        0        0
25065 ibulgarian                         	       0        8        7        1        0
25066 ibus-array                         	       0        1        0        0        1
25067 ibus-clutter                       	       0       62        0        0       62
25068 ibus-doc                           	       0        6        0        0        6
25069 ibus-hangul                        	       0        8        8        0        0
25070 ibus-input-pad                     	       0        1        0        0        1
25071 ibus-keyman                        	       0        1        0        0        1
25072 ibus-kkc                           	       0        4        1        0        3
25073 ibus-kmfl                          	       0        1        0        0        1
25074 ibus-libpinyin                     	       0        5        2        0        3
25075 ibus-m17n                          	       0       12        3        0        9
25076 ibus-pinyin                        	       0        1        1        0        0
25077 ibus-qt4                           	       0        3        0        0        3
25078 ibus-rime                          	       0        3        3        0        0
25079 ibus-sunpinyin                     	       0        4        1        0        3
25080 ibus-table-array30                 	       0        2        0        0        2
25081 ibus-table-cangjie                 	       0        2        0        0        2
25082 ibus-table-cangjie-big             	       0        2        0        0        2
25083 ibus-table-cangjie3                	       0        2        0        0        2
25084 ibus-table-cangjie5                	       0        2        0        0        2
25085 ibus-table-cantonese               	       0        2        0        0        2
25086 ibus-table-cantonhk                	       0        2        0        0        2
25087 ibus-table-cns11643                	       0        2        0        0        2
25088 ibus-table-compose                 	       0        3        0        0        3
25089 ibus-table-easy                    	       0        2        0        0        2
25090 ibus-table-easy-big                	       0        2        0        0        2
25091 ibus-table-emoji                   	       0        6        0        0        6
25092 ibus-table-erbi                    	       0        2        0        0        2
25093 ibus-table-erbi-qs                 	       0        2        0        0        2
25094 ibus-table-extraphrase             	       0        2        0        0        2
25095 ibus-table-ipa-x-sampa             	       0        2        0        0        2
25096 ibus-table-jyutping                	       0        2        0        0        2
25097 ibus-table-latex                   	       0        3        0        0        3
25098 ibus-table-old-hungarian-rovas     	       0        2        0        0        2
25099 ibus-table-quick                   	       0        3        0        0        3
25100 ibus-table-quick-classic           	       0        3        0        0        3
25101 ibus-table-quick3                  	       0        3        0        0        3
25102 ibus-table-quick5                  	       0        3        0        0        3
25103 ibus-table-rustrad                 	       0        2        0        0        2
25104 ibus-table-scj6                    	       0        2        0        0        2
25105 ibus-table-stroke5                 	       0        2        0        0        2
25106 ibus-table-telex                   	       0        1        0        0        1
25107 ibus-table-thai                    	       0        2        0        0        2
25108 ibus-table-translit                	       0        2        0        0        2
25109 ibus-table-translit-ua             	       0        2        0        0        2
25110 ibus-table-viqr                    	       0        2        0        0        2
25111 ibus-table-vni                     	       0        1        0        0        1
25112 ibus-table-wu                      	       0        2        0        0        2
25113 ibus-table-wubi                    	       0        2        0        0        2
25114 ibus-table-yawerty                 	       0        2        0        0        2
25115 ibus-table-yong                    	       0        2        0        0        2
25116 ibus-tegaki                        	       0        1        1        0        0
25117 ibus-typing-booster                	       0        3        3        0        0
25118 ibus-unikey                        	       0        1        1        0        0
25119 ibus-wayland                       	       0        5        0        0        5
25120 ibutils                            	       0        3        3        0        0
25121 ibverbs-providers                  	       0      981        0        0      981
25122 ibverbs-utils                      	       0        1        1        0        0
25123 ical2html                          	       0        1        1        0        0
25124 icatalan                           	       0        7        7        0        0
25125 icc-profiles                       	       0       40        0        0       40
25126 icc-profiles-free                  	       0      310        0        0      310
25127 icc-utils                          	       0        1        0        0        1
25128 icdiff                             	       0        4        3        1        0
25129 ice                                	       0        1        1        0        0
25130 iceape                             	       0        3        3        0        0
25131 iceape-browser                     	       0        3        0        0        3
25132 iceape-chatzilla                   	       0        2        2        0        0
25133 iceape-dom-inspector               	       0        1        0        0        1
25134 icebreaker                         	       0        5        5        0        0
25135 icecat-l10n-de                     	       0        1        1        0        0
25136 icecat-l10n-es-es                  	       0        1        1        0        0
25137 icecc-monitor                      	       0        3        3        0        0
25138 icecream                           	       0        1        1        0        0
25139 icecream-sundae                    	       0        1        1        0        0
25140 icedove-l10n-de                    	       0        4        0        0        4
25141 icedove-l10n-en-gb                 	       0        1        0        0        1
25142 icedtea-7-plugin                   	       0        1        0        0        1
25143 icedtea-8-plugin                   	       0       13        0        0       13
25144 icedtea-netx-common                	       0       32        0        0       32
25145 iceowl-l10n-de                     	       0        1        0        0        1
25146 ices2                              	       0        6        6        0        0
25147 iceweasel-l10n-cs                  	       0        1        0        0        1
25148 iceweasel-l10n-de                  	       0        5        0        0        5
25149 iceweasel-l10n-en-gb               	       0        3        0        0        3
25150 iceweasel-l10n-es-ar               	       0        1        0        0        1
25151 iceweasel-l10n-es-cl               	       0        1        0        0        1
25152 iceweasel-l10n-es-es               	       0        2        0        0        2
25153 iceweasel-l10n-es-mx               	       0        1        0        0        1
25154 iceweasel-l10n-fr                  	       0        3        0        0        3
25155 iceweasel-l10n-it                  	       0        1        0        0        1
25156 iceweasel-l10n-ja                  	       0        1        0        0        1
25157 iceweasel-l10n-pl                  	       0        1        0        0        1
25158 iceweasel-l10n-ru                  	       0        2        0        0        2
25159 iceweasel-l10n-sk                  	       0        1        0        0        1
25160 iceweasel-l10n-tr                  	       0        1        0        0        1
25161 iceweasel-uxp                      	       0        2        2        0        0
25162 icewm-themes                       	       0        3        0        0        3
25163 icheck                             	       0        2        2        0        0
25164 icinga                             	       0        4        0        0        4
25165 icinga-archive-keyring             	       0       15        0        0       15
25166 icinga-cgi                         	       0        5        0        0        5
25167 icinga-common                      	       0        5        0        0        5
25168 icinga-cube                        	       0        1        0        0        1
25169 icinga-doc                         	       0        5        0        0        5
25170 icinga-graphite                    	       0        1        0        0        1
25171 icinga-l10n                        	       0        1        0        0        1
25172 icinga-web-pnp                     	       0        1        0        0        1
25173 icinga-x509                        	       0        1        0        0        1
25174 icinga-x509-web                    	       0        1        0        0        1
25175 icinga2                            	       0       47        0        0       47
25176 icinga2-doc                        	       0       28        0        0       28
25177 icinga2-studio                     	       0        1        1        0        0
25178 icingaweb2-common                  	       0        6        5        0        1
25179 icingaweb2-module-boxydash         	       0        2        2        0        0
25180 icingaweb2-module-cube             	       0        2        2        0        0
25181 icingaweb2-module-director         	       0        1        1        0        0
25182 icingaweb2-module-doc              	       0        5        5        0        0
25183 icingaweb2-module-idoreports       	       0        2        2        0        0
25184 icingaweb2-module-incubator        	       0        1        1        0        0
25185 icingaweb2-module-map              	       0        2        2        0        0
25186 icingaweb2-module-monitoring       	       0        5        5        0        0
25187 icingaweb2-module-pdfexport        	       0        2        2        0        0
25188 icingaweb2-module-pnp              	       0        1        1        0        0
25189 icingaweb2-module-reactbundle      	       0        1        1        0        0
25190 icingaweb2-module-recommended      	       0        2        0        0        2
25191 icingaweb2-module-reporting        	       0        2        2        0        0
25192 icingaweb2-module-statusmap        	       0        2        2        0        0
25193 icmake                             	       0        1        1        0        0
25194 icmptx                             	       0        1        1        0        0
25195 icoextract                         	       0        5        4        1        0
25196 icoextract-thumbnailer             	       0       14       13        1        0
25197 icom                               	       0        6        6        0        0
25198 icon-ipl                           	       0        1        1        0        0
25199 icon-naming-utils                  	       0        4        0        0        4
25200 icon-slicer                        	       0        1        1        0        0
25201 icont                              	       0        1        1        0        0
25202 icu-doc                            	       0       14        0        0       14
25203 id-utils                           	       0        5        5        0        0
25204 id3ed                              	       0        1        1        0        0
25205 id3ren                             	       0        8        8        0        0
25206 idanish                            	       0        7        7        0        0
25207 ideviceactivation                  	       0        1        1        0        0
25208 ideviceinstaller                   	       0       15       15        0        0
25209 idevicerestore                     	       0        7        7        0        0
25210 idjc                               	       0        1        1        0        0
25211 idle-python2.7                     	       0        5        5        0        0
25212 idle-python3.10                    	       0        1        1        0        0
25213 idle-python3.12                    	       0        4        4        0        0
25214 idle-python3.13                    	       0        3        0        3        0
25215 idle-python3.5                     	       0        2        2        0        0
25216 idle-python3.9                     	       0        9        9        0        0
25217 idle3                              	       0       13        1        0       12
25218 idle3-tools                        	       0       12       12        0        0
25219 idlestat                           	       0        3        3        0        0
25220 idn2                               	       0       11       11        0        0
25221 idutch                             	       0       28       28        0        0
25222 idzebra-2.0                        	       0        2        0        0        2
25223 idzebra-2.0-common                 	       0        2        0        0        2
25224 idzebra-2.0-doc                    	       0        2        0        0        2
25225 idzebra-2.0-utils                  	       0        2        2        0        0
25226 iem-plugin-suite-standalone        	       0        2        2        0        0
25227 iem-plugin-suite-vst               	       0        2        0        0        2
25228 iesperanto                         	       0        3        3        0        0
25229 iestonian                          	       0        2        2        0        0
25230 ietf2bibtex                        	       0        4        4        0        0
25231 ifcopenshell                       	       0        1        1        0        0
25232 ifcplugin                          	       0        1        1        0        0
25233 ifcplusplus                        	       0        2        2        0        0
25234 ifenslave-2.6                      	       0        3        0        0        3
25235 ifhp                               	       0        3        3        0        0
25236 ifp-line-libifp                    	       0        2        2        0        0
25237 ifrench                            	       0        3        3        0        0
25238 ifscheme                           	       0        1        1        0        0
25239 ifstat                             	       0       26       26        0        0
25240 ifupdown-multi                     	       0        2        0        0        2
25241 ifupdown-ng                        	       0        7        7        0        0
25242 igaelic                            	       0        2        2        0        0
25243 igal2                              	       0        2        2        0        0
25244 igalician-minimos                  	       0        1        1        0        0
25245 igf-vracip                         	       0        1        1        0        0
25246 ignore-me                          	       0        1        1        0        0
25247 ihungarian                         	       0       18       18        0        0
25248 ii                                 	       0        2        2        0        0
25249 ii-esu                             	       0        1        1        0        0
25250 iii                                	       0        1        1        0        0
25251 iirish                             	       0        6        6        0        0
25252 ijsgutenprint                      	       0       19       19        0        0
25253 ikiwiki                            	       0        5        5        0        0
25254 ilia                               	       0        1        1        0        0
25255 ilisp                              	       0        3        3        0        0
25256 ilisp-doc                          	       0        3        0        0        3
25257 ilithuanian                        	       0        5        5        0        0
25258 ilorest                            	       0        1        1        0        0
25259 im                                 	       0        1        1        0        0
25260 im-switch                          	       0        1        1        0        0
25261 ima-adpcm                          	       0        1        1        0        0
25262 image-factory                      	       0        1        1        0        0
25263 image-transport-tools              	       0        1        1        0        0
25264 imagej                             	       0        8        8        0        0
25265 imagemagick                        	       0     2233       13        0     2220
25266 imagemagick-6-common               	       0     3140        0        0     3140
25267 imagemagick-6-doc                  	       0       59        0        0       59
25268 imagemagick-6.q16hdri              	       0        6        6        0        0
25269 imagemagick-7                      	       0        1        0        1        0
25270 imagemagick-7-common               	       0      172        0        0      172
25271 imagemagick-7-doc                  	       0        3        0        0        3
25272 imagemagick-7.q16hdri              	       0        1        1        0        0
25273 imagemagick-common                 	       0       66        0        0       66
25274 imagemagick-doc                    	       0       40        0        0       40
25275 imagescan                          	       0        3        3        0        0
25276 imagescan-plugin-gt-s650           	       0        3        0        0        3
25277 imagescan-plugin-networkscan       	       0        3        3        0        0
25278 imagescan-plugin-ocr-engine        	       0        3        0        0        3
25279 imagevis3d                         	       0        1        1        0        0
25280 imageworsener                      	       0        1        1        0        0
25281 imagewriter                        	       0        1        1        0        0
25282 imagination-common                 	       0       11        0        0       11
25283 imanx                              	       0        1        1        0        0
25284 imapcopy                           	       0        4        3        1        0
25285 imapfilter                         	       0        4        4        0        0
25286 imapsync                           	       0        2        2        0        0
25287 imediff                            	       0        7        7        0        0
25288 imediff2                           	       0        1        1        0        0
25289 img-pvr-rogue                      	       0        1        1        0        0
25290 imgp                               	       0        3        3        0        0
25291 imgsizer                           	       0        1        1        0        0
25292 imgtxtenh                          	       0        1        1        0        0
25293 imgui-build-deps                   	       0        1        0        0        1
25294 imhangul-common                    	       0        1        0        0        1
25295 imhangul-gtk3                      	       0        1        0        0        1
25296 imhex                              	       0        1        1        0        0
25297 imlib-base                         	       0       28       26        2        0
25298 imlib11                            	       0       27        0        0       27
25299 imlib11-dev                        	       0        1        1        0        0
25300 imlib2                             	       0        1        0        0        1
25301 immagini                           	       0        1        0        0        1
25302 impass                             	       0        2        2        0        0
25303 impose+                            	       0        7        7        0        0
25304 impressive                         	       0        9        9        0        0
25305 impressive-display                 	       0        2        2        0        0
25306 imthreshold                        	       0        1        1        0        0
25307 imview-doc                         	       0        1        0        0        1
25308 imwheel                            	       0       21       21        0        0
25309 imx-usb-loader                     	       0        2        2        0        0
25310 in-toto                            	       0        1        1        0        0
25311 inadyn                             	       0        6        6        0        0
25312 inav-configurator                  	       0        1        0        0        1
25313 include-gardener-build-deps        	       0        1        0        0        1
25314 incus-agent                        	       0        6        5        1        0
25315 incus-extra                        	       0        2        1        1        0
25316 incus-tools                        	       0        1        1        0        0
25317 incus-ui-canonical                 	       0        1        1        0        0
25318 indent                             	       0       31       31        0        0
25319 indent-doc                         	       0        7        0        0        7
25320 indi-trinity                       	       0       24       22        2        0
25321 indicator-application              	       0        2        2        0        0
25322 indicator-cpufreq                  	       0        1        1        0        0
25323 indicator-keylock                  	       0        1        1        0        0
25324 indicator-sensors                  	       0       11       10        1        0
25325 indicator-sensors-build-deps       	       0        1        0        0        1
25326 indicator-sensors-dbgsym           	       0        1        1        0        0
25327 indicator-updatemanager            	       0        1        1        0        0
25328 indigo                             	       0        1        1        0        0
25329 indigo-control-panel               	       0        1        1        0        0
25330 industrial-cursor-theme            	       0        2        0        0        2
25331 industrial-icon-theme              	       0        1        0        0        1
25332 inetsim                            	       0        1        1        0        0
25333 inetutils-ftpd                     	       0        4        4        0        0
25334 inetutils-talkd                    	       0        2        2        0        0
25335 infamous-plugins                   	       0        1        1        0        0
25336 infernal                           	       0        4        4        0        0
25337 infiniband-diags                   	       0        4        4        0        0
25338 infinit                            	       0        1        1        0        0
25339 influxdb-client                    	       0        6        6        0        0
25340 influxdb2                          	       0        1        1        0        0
25341 influxdb2-cli                      	       0        1        1        0        0
25342 info2man                           	       0        6        6        0        0
25343 info2www                           	       0       24       23        1        0
25344 infonotary-client-software         	       0        1        1        0        0
25345 inform                             	       0        2        2        0        0
25346 inform-docs                        	       0        1        0        0        1
25347 inform-mode                        	       0        3        1        0        2
25348 inform6-compiler                   	       0        3        3        0        0
25349 inform6-library                    	       0        2        2        0        0
25350 inform7-ide                        	       0        2        2        0        0
25351 init                               	       0     4159        0        0     4159
25352 init-compat                        	       0        1        0        0        1
25353 initng                             	       0        1        1        0        0
25354 ink                                	       0       21       21        0        0
25355 ink-generator                      	       0        8        0        0        8
25356 inkscape-build-deps                	       0        1        0        0        1
25357 inkscape-open-symbols              	       0       47        0        0       47
25358 inkscape-speleo                    	       0        9        0        0        9
25359 inkscape-survex-export             	       0        5        0        0        5
25360 inkscape-textext                   	       0       19        0        0       19
25361 inkscape-textext-doc               	       0        5        0        0        5
25362 inkscape-tutorials                 	       0       57        0        0       57
25363 inkstitch                          	       0        2        2        0        0
25364 inn                                	       0        2        2        0        0
25365 inn2-dev                           	       0        3        3        0        0
25366 ino-headers                        	       0        2        2        0        0
25367 ino-headers-doc                    	       0        1        0        0        1
25368 inorwegian                         	       0       46       41        5        0
25369 inotail                            	       0        2        2        0        0
25370 inotify-hookable                   	       0        3        3        0        0
25371 input-remapper                     	       0        3        1        0        2
25372 inputplug                          	       0        3        3        0        0
25373 insomnium                          	       0        1        1        0        0
25374 inspectrum                         	       0        5        5        0        0
25375 install-doc                        	       0        1        0        0        1
25376 install-mimic                      	       0        1        1        0        0
25377 installation-birthday              	       0        2        2        0        0
25378 installation-guide-amd64           	       0       10        0        0       10
25379 installation-guide-arm64           	       0        1        0        0        1
25380 installation-guide-armel           	       0        1        0        0        1
25381 installation-guide-armhf           	       0        1        0        0        1
25382 installation-guide-i386            	       0        3        0        0        3
25383 installation-guide-kfreebsd-amd64  	       0        1        0        0        1
25384 installation-guide-mips            	       0        1        0        0        1
25385 installation-guide-mips64el        	       0        1        0        0        1
25386 installation-guide-mipsel          	       0        2        0        0        2
25387 installation-guide-ppc64el         	       0        1        0        0        1
25388 installation-guide-s390x           	       0        1        0        0        1
25389 installwatch                       	       0        1        1        0        0
25390 instead                            	       0        7        7        0        0
25391 instead-data                       	       0        7        0        0        7
25392 insync                             	       0        2        2        0        0
25393 insync-dolphin                     	       0        1        0        0        1
25394 insync-nemo                        	       0        1        0        0        1
25395 int-fiction                        	       0        1        1        0        0
25396 int-fiction-installer              	       0        1        1        0        0
25397 intef-exe-appimage                 	       0        4        4        0        0
25398 intel-acm                          	       0        2        0        0        2
25399 intel-basekit                      	       0        2        0        0        2
25400 intel-basekit-env-2024.0           	       0        1        0        0        1
25401 intel-basekit-env-2024.1           	       0        1        0        0        1
25402 intel-basekit-getting-started-2024.0	       0        1        0        0        1
25403 intel-basekit-getting-started-2024.1	       0        1        0        0        1
25404 intel-cmt-cat                      	       0       11       11        0        0
25405 intel-comp-l-all-vars-19.1.0-166   	       0        1        1        0        0
25406 intel-comp-nomcu-vars-19.1.0-166   	       0        1        1        0        0
25407 intel-conda-index-tool-19.1.0-166  	       0        1        1        0        0
25408 intel-conda-intel-openmp-linux-64-shadow-package-19.1.0-166	       0        1        0        0        1
25409 intel-conda-mkl-devel-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
25410 intel-conda-mkl-include-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
25411 intel-conda-mkl-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
25412 intel-conda-mkl-static-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
25413 intel-conda-tbb-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
25414 intel-gmmlib                       	       0        1        0        0        1
25415 intel-hdcp                         	       0        4        4        0        0
25416 intel-hpckit                       	       0        1        0        0        1
25417 intel-hpckit-env-2024.0            	       0        1        0        0        1
25418 intel-hpckit-env-2024.1            	       0        1        0        0        1
25419 intel-hpckit-getting-started-2024.0	       0        1        0        0        1
25420 intel-hpckit-getting-started-2024.1	       0        1        0        0        1
25421 intel-igc-core                     	       0        1        1        0        0
25422 intel-igc-core-2                   	       0        1        1        0        0
25423 intel-igc-opencl                   	       0        1        0        0        1
25424 intel-igc-opencl-2                 	       0        1        0        0        1
25425 intel-level-zero-gpu               	       0        2        0        0        2
25426 intel-mkl                          	       0        3        0        0        3
25427 intel-mkl-64bit-2020.0-088         	       0        1        0        0        1
25428 intel-mkl-cluster-2020.0-166       	       0        1        1        0        0
25429 intel-mkl-cluster-c-2020.0-166     	       0        1        0        0        1
25430 intel-mkl-cluster-f-2020.0-166     	       0        1        0        0        1
25431 intel-mkl-cluster-rt-2020.0-166    	       0        1        1        0        0
25432 intel-mkl-common-2020.0-166        	       0        1        1        0        0
25433 intel-mkl-common-c-2020.0-166      	       0        1        1        0        0
25434 intel-mkl-common-c-ps-2020.0-166   	       0        1        1        0        0
25435 intel-mkl-common-f-2020.0-166      	       0        1        0        0        1
25436 intel-mkl-common-ps-2020.0-166     	       0        1        1        0        0
25437 intel-mkl-core-2020.0-166          	       0        1        1        0        0
25438 intel-mkl-core-c-2020.0-166        	       0        1        0        0        1
25439 intel-mkl-core-f-2020.0-166        	       0        1        0        0        1
25440 intel-mkl-core-ps-2020.0-166       	       0        1        1        0        0
25441 intel-mkl-core-rt-2020.0-166       	       0        1        1        0        0
25442 intel-mkl-doc-2020                 	       0        1        0        0        1
25443 intel-mkl-doc-ps-2020              	       0        1        0        0        1
25444 intel-mkl-f95-2020.0-166           	       0        1        1        0        0
25445 intel-mkl-f95-common-2020.0-166    	       0        1        0        0        1
25446 intel-mkl-gnu-2020.0-166           	       0        1        1        0        0
25447 intel-mkl-gnu-c-2020.0-166         	       0        1        0        0        1
25448 intel-mkl-gnu-f-2020.0-166         	       0        1        1        0        0
25449 intel-mkl-gnu-f-rt-2020.0-166      	       0        1        1        0        0
25450 intel-mkl-gnu-rt-2020.0-166        	       0        1        1        0        0
25451 intel-mkl-pgi-2020.0-166           	       0        1        1        0        0
25452 intel-mkl-pgi-c-2020.0-166         	       0        1        0        0        1
25453 intel-mkl-pgi-rt-2020.0-166        	       0        1        1        0        0
25454 intel-mkl-psxe-2020.0-088          	       0        1        0        0        1
25455 intel-mkl-tbb-2020.0-166           	       0        1        1        0        0
25456 intel-mkl-tbb-rt-2020.0-166        	       0        1        1        0        0
25457 intel-ocloc                        	       0        1        1        0        0
25458 intel-oneapi-advisor               	       0        3        3        0        0
25459 intel-oneapi-base-toolkit          	       0        1        0        0        1
25460 intel-oneapi-base-toolkit-env-2025.0	       0        1        0        0        1
25461 intel-oneapi-base-toolkit-getting-started-2025.0	       0        1        0        0        1
25462 intel-oneapi-ccl-2021.11           	       0        1        1        0        0
25463 intel-oneapi-ccl-2021.12           	       0        1        1        0        0
25464 intel-oneapi-ccl-2021.14           	       0        1        1        0        0
25465 intel-oneapi-ccl-devel             	       0        2        0        0        2
25466 intel-oneapi-ccl-devel-2021.11     	       0        1        1        0        0
25467 intel-oneapi-ccl-devel-2021.12     	       0        1        1        0        0
25468 intel-oneapi-ccl-devel-2021.14     	       0        1        1        0        0
25469 intel-oneapi-common-licensing      	       0        2        0        0        2
25470 intel-oneapi-common-licensing-2023.2.0	       0        1        0        0        1
25471 intel-oneapi-common-licensing-2024.0	       0        1        0        0        1
25472 intel-oneapi-common-licensing-2024.1	       0        1        0        0        1
25473 intel-oneapi-common-licensing-2024.2	       0        1        0        0        1
25474 intel-oneapi-common-licensing-2025.0	       0        3        0        0        3
25475 intel-oneapi-common-oneapi-vars    	       0        2        0        0        2
25476 intel-oneapi-common-oneapi-vars-2024.0	       0        1        0        0        1
25477 intel-oneapi-common-oneapi-vars-2024.1	       0        1        0        0        1
25478 intel-oneapi-common-oneapi-vars-2024.2	       0        1        0        0        1
25479 intel-oneapi-common-oneapi-vars-2025.0	       0        3        0        0        3
25480 intel-oneapi-common-vars           	       0        5        0        0        5
25481 intel-oneapi-compiler-cpp-eclipse-cfg-2024.0	       0        1        0        0        1
25482 intel-oneapi-compiler-cpp-eclipse-cfg-2024.1	       0        1        0        0        1
25483 intel-oneapi-compiler-cpp-eclipse-cfg-2025.0	       0        1        0        0        1
25484 intel-oneapi-compiler-dpcpp-cpp    	       0        2        0        0        2
25485 intel-oneapi-compiler-dpcpp-cpp-2024.0	       0        1        0        0        1
25486 intel-oneapi-compiler-dpcpp-cpp-2024.1	       0        1        0        0        1
25487 intel-oneapi-compiler-dpcpp-cpp-2025.0	       0        1        0        0        1
25488 intel-oneapi-compiler-dpcpp-cpp-common-2024.0	       0        1        1        0        0
25489 intel-oneapi-compiler-dpcpp-cpp-common-2024.1	       0        1        1        0        0
25490 intel-oneapi-compiler-dpcpp-cpp-common-2025.0	       0        1        1        0        0
25491 intel-oneapi-compiler-dpcpp-cpp-runtime-2023.2.0	       0        1        1        0        0
25492 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.0	       0        1        0        0        1
25493 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.1	       0        1        0        0        1
25494 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.2	       0        1        0        0        1
25495 intel-oneapi-compiler-dpcpp-cpp-runtime-2025.0	       0        2        0        0        2
25496 intel-oneapi-compiler-dpcpp-eclipse-cfg-2024.0	       0        1        0        0        1
25497 intel-oneapi-compiler-dpcpp-eclipse-cfg-2024.1	       0        1        0        0        1
25498 intel-oneapi-compiler-dpcpp-eclipse-cfg-2025.0	       0        1        0        0        1
25499 intel-oneapi-compiler-fortran-2024.0	       0        1        1        0        0
25500 intel-oneapi-compiler-fortran-2024.1	       0        1        1        0        0
25501 intel-oneapi-compiler-fortran-common-2024.0	       0        1        1        0        0
25502 intel-oneapi-compiler-fortran-common-2024.1	       0        1        1        0        0
25503 intel-oneapi-compiler-fortran-runtime-2024.0	       0        1        0        0        1
25504 intel-oneapi-compiler-fortran-runtime-2024.1	       0        1        0        0        1
25505 intel-oneapi-compiler-shared-2024.0	       0        1        1        0        0
25506 intel-oneapi-compiler-shared-2024.1	       0        1        1        0        0
25507 intel-oneapi-compiler-shared-2025.0	       0        1        1        0        0
25508 intel-oneapi-compiler-shared-common-2024.0	       0        1        1        0        0
25509 intel-oneapi-compiler-shared-common-2024.1	       0        1        1        0        0
25510 intel-oneapi-compiler-shared-common-2025.0	       0        1        1        0        0
25511 intel-oneapi-compiler-shared-runtime-2023.2.0	       0        1        1        0        0
25512 intel-oneapi-compiler-shared-runtime-2024.0	       0        1        1        0        0
25513 intel-oneapi-compiler-shared-runtime-2024.1	       0        1        1        0        0
25514 intel-oneapi-compiler-shared-runtime-2024.2	       0        1        0        0        1
25515 intel-oneapi-compiler-shared-runtime-2025.0	       0        2        0        0        2
25516 intel-oneapi-condaindex            	       0        1        1        0        0
25517 intel-oneapi-dal-2024.0            	       0        1        0        0        1
25518 intel-oneapi-dal-2024.2            	       0        1        0        0        1
25519 intel-oneapi-dal-2024.6            	       0        1        0        0        1
25520 intel-oneapi-dal-2025.0            	       0        1        0        0        1
25521 intel-oneapi-dal-common-2024.0     	       0        1        0        0        1
25522 intel-oneapi-dal-common-2024.2     	       0        1        0        0        1
25523 intel-oneapi-dal-common-2024.6     	       0        1        0        0        1
25524 intel-oneapi-dal-common-2025.0     	       0        1        0        0        1
25525 intel-oneapi-dal-common-devel-2024.0	       0        1        1        0        0
25526 intel-oneapi-dal-common-devel-2024.2	       0        1        1        0        0
25527 intel-oneapi-dal-common-devel-2024.6	       0        1        1        0        0
25528 intel-oneapi-dal-common-devel-2025.0	       0        1        1        0        0
25529 intel-oneapi-dal-devel             	       0        2        0        0        2
25530 intel-oneapi-dal-devel-2024.0      	       0        1        1        0        0
25531 intel-oneapi-dal-devel-2024.2      	       0        1        1        0        0
25532 intel-oneapi-dal-devel-2024.6      	       0        1        1        0        0
25533 intel-oneapi-dal-devel-2025.0      	       0        1        1        0        0
25534 intel-oneapi-dev-utilities         	       0        2        0        0        2
25535 intel-oneapi-dev-utilities-2024.0  	       0        1        1        0        0
25536 intel-oneapi-dev-utilities-2024.1  	       0        1        1        0        0
25537 intel-oneapi-dev-utilities-2025.0  	       0        1        1        0        0
25538 intel-oneapi-dev-utilities-eclipse-cfg-2024.0	       0        1        0        0        1
25539 intel-oneapi-dev-utilities-eclipse-cfg-2024.1	       0        1        0        0        1
25540 intel-oneapi-dev-utilities-eclipse-cfg-2025.0	       0        1        0        0        1
25541 intel-oneapi-diagnostics-utility   	       0        1        0        0        1
25542 intel-oneapi-diagnostics-utility-2024.0	       0        1        1        0        0
25543 intel-oneapi-diagnostics-utility-2024.1	       0        1        1        0        0
25544 intel-oneapi-dnnl                  	       0        2        0        0        2
25545 intel-oneapi-dnnl-2024.0           	       0        1        0        0        1
25546 intel-oneapi-dnnl-2024.1           	       0        1        0        0        1
25547 intel-oneapi-dnnl-2025.0           	       0        1        0        0        1
25548 intel-oneapi-dnnl-devel            	       0        2        0        0        2
25549 intel-oneapi-dnnl-devel-2024.0     	       0        1        1        0        0
25550 intel-oneapi-dnnl-devel-2024.1     	       0        1        1        0        0
25551 intel-oneapi-dnnl-devel-2025.0     	       0        1        1        0        0
25552 intel-oneapi-dpcpp-cpp-2024.0      	       0        1        1        0        0
25553 intel-oneapi-dpcpp-cpp-2024.1      	       0        1        1        0        0
25554 intel-oneapi-dpcpp-cpp-2025.0      	       0        1        1        0        0
25555 intel-oneapi-dpcpp-ct              	       0        2        0        0        2
25556 intel-oneapi-dpcpp-ct-2024.0       	       0        1        1        0        0
25557 intel-oneapi-dpcpp-ct-2024.1       	       0        1        1        0        0
25558 intel-oneapi-dpcpp-ct-2025.0       	       0        1        1        0        0
25559 intel-oneapi-dpcpp-ct-eclipse-cfg-2024.0	       0        1        0        0        1
25560 intel-oneapi-dpcpp-ct-eclipse-cfg-2024.1	       0        1        0        0        1
25561 intel-oneapi-dpcpp-ct-eclipse-cfg-2025.0	       0        1        0        0        1
25562 intel-oneapi-dpcpp-debugger-2024.0 	       0        1        1        0        0
25563 intel-oneapi-dpcpp-debugger-2024.1 	       0        1        1        0        0
25564 intel-oneapi-dpcpp-debugger-2025.0 	       0        1        1        0        0
25565 intel-oneapi-icc-eclipse-plugin-cpp-2024.0	       0        1        0        0        1
25566 intel-oneapi-icc-eclipse-plugin-cpp-2024.1	       0        1        0        0        1
25567 intel-oneapi-icc-eclipse-plugin-cpp-2025.0	       0        1        0        0        1
25568 intel-oneapi-inspector             	       0        1        1        0        0
25569 intel-oneapi-ipp-2021.10           	       0        1        0        0        1
25570 intel-oneapi-ipp-2021.11           	       0        1        0        0        1
25571 intel-oneapi-ipp-2022.0            	       0        1        0        0        1
25572 intel-oneapi-ipp-common-2021.10    	       0        1        0        0        1
25573 intel-oneapi-ipp-common-2021.11    	       0        1        0        0        1
25574 intel-oneapi-ipp-common-devel-2021.10	       0        1        1        0        0
25575 intel-oneapi-ipp-common-devel-2021.11	       0        1        1        0        0
25576 intel-oneapi-ipp-devel             	       0        2        0        0        2
25577 intel-oneapi-ipp-devel-2021.10     	       0        1        1        0        0
25578 intel-oneapi-ipp-devel-2021.11     	       0        1        1        0        0
25579 intel-oneapi-ipp-devel-2022.0      	       0        1        1        0        0
25580 intel-oneapi-ippcp-2021.11         	       0        1        0        0        1
25581 intel-oneapi-ippcp-2021.9          	       0        1        0        0        1
25582 intel-oneapi-ippcp-2025.0          	       0        1        0        0        1
25583 intel-oneapi-ippcp-common-2021.11  	       0        1        0        0        1
25584 intel-oneapi-ippcp-common-2021.9   	       0        1        0        0        1
25585 intel-oneapi-ippcp-common-devel-2021.11	       0        1        1        0        0
25586 intel-oneapi-ippcp-common-devel-2021.9	       0        1        1        0        0
25587 intel-oneapi-ippcp-devel           	       0        2        0        0        2
25588 intel-oneapi-ippcp-devel-2021.11   	       0        1        1        0        0
25589 intel-oneapi-ippcp-devel-2021.9    	       0        1        1        0        0
25590 intel-oneapi-ippcp-devel-2025.0    	       0        1        1        0        0
25591 intel-oneapi-itac                  	       0        1        0        0        1
25592 intel-oneapi-itac-2022.0           	       0        1        1        0        0
25593 intel-oneapi-itac-2022.1           	       0        1        1        0        0
25594 intel-oneapi-libdpstd-devel-2022.3 	       0        1        1        0        0
25595 intel-oneapi-libdpstd-devel-2022.5 	       0        1        1        0        0
25596 intel-oneapi-libdpstd-devel-2022.7 	       0        1        1        0        0
25597 intel-oneapi-mkl                   	       0        2        0        0        2
25598 intel-oneapi-mkl-2023.2.0          	       0        1        1        0        0
25599 intel-oneapi-mkl-2024.0            	       0        1        0        0        1
25600 intel-oneapi-mkl-2025.0            	       0        1        0        0        1
25601 intel-oneapi-mkl-classic-2025.0    	       0        1        0        0        1
25602 intel-oneapi-mkl-classic-devel-2024.1	       0        1        0        0        1
25603 intel-oneapi-mkl-classic-devel-2025.0	       0        2        0        0        2
25604 intel-oneapi-mkl-classic-include-2024.1	       0        1        0        0        1
25605 intel-oneapi-mkl-classic-include-2025.0	       0        2        2        0        0
25606 intel-oneapi-mkl-classic-include-common-2024.1	       0        1        1        0        0
25607 intel-oneapi-mkl-cluster-2024.1    	       0        1        0        0        1
25608 intel-oneapi-mkl-cluster-2025.0    	       0        2        0        0        2
25609 intel-oneapi-mkl-cluster-devel-2024.1	       0        1        1        0        0
25610 intel-oneapi-mkl-cluster-devel-2025.0	       0        2        2        0        0
25611 intel-oneapi-mkl-cluster-devel-common-2024.1	       0        1        0        0        1
25612 intel-oneapi-mkl-common-2023.2.0   	       0        1        0        0        1
25613 intel-oneapi-mkl-common-2024.0     	       0        1        0        0        1
25614 intel-oneapi-mkl-common-devel-2023.2.0	       0        1        1        0        0
25615 intel-oneapi-mkl-common-devel-2024.0	       0        1        1        0        0
25616 intel-oneapi-mkl-core-2024.1       	       0        1        0        0        1
25617 intel-oneapi-mkl-core-2025.0       	       0        2        0        0        2
25618 intel-oneapi-mkl-core-common-2024.1	       0        1        0        0        1
25619 intel-oneapi-mkl-core-devel-2024.1 	       0        1        1        0        0
25620 intel-oneapi-mkl-core-devel-2025.0 	       0        2        2        0        0
25621 intel-oneapi-mkl-core-devel-common-2024.1	       0        1        1        0        0
25622 intel-oneapi-mkl-devel             	       0        4        0        0        4
25623 intel-oneapi-mkl-devel-2023.2.0    	       0        1        1        0        0
25624 intel-oneapi-mkl-devel-2024.0      	       0        1        1        0        0
25625 intel-oneapi-mkl-devel-2024.1      	       0        1        0        0        1
25626 intel-oneapi-mkl-devel-2025.0      	       0        2        0        0        2
25627 intel-oneapi-mkl-sycl-2024.1       	       0        1        0        0        1
25628 intel-oneapi-mkl-sycl-2025.0       	       0        2        0        0        2
25629 intel-oneapi-mkl-sycl-blas-2024.1  	       0        1        0        0        1
25630 intel-oneapi-mkl-sycl-blas-2025.0  	       0        2        0        0        2
25631 intel-oneapi-mkl-sycl-data-fitting-2024.1	       0        1        0        0        1
25632 intel-oneapi-mkl-sycl-data-fitting-2025.0	       0        2        0        0        2
25633 intel-oneapi-mkl-sycl-devel-2024.1 	       0        1        1        0        0
25634 intel-oneapi-mkl-sycl-devel-2025.0 	       0        2        2        0        0
25635 intel-oneapi-mkl-sycl-devel-common-2024.1	       0        1        0        0        1
25636 intel-oneapi-mkl-sycl-dft-2024.1   	       0        1        0        0        1
25637 intel-oneapi-mkl-sycl-dft-2025.0   	       0        2        0        0        2
25638 intel-oneapi-mkl-sycl-include-2024.1	       0        1        0        0        1
25639 intel-oneapi-mkl-sycl-include-2025.0	       0        2        0        0        2
25640 intel-oneapi-mkl-sycl-lapack-2024.1	       0        1        0        0        1
25641 intel-oneapi-mkl-sycl-lapack-2025.0	       0        2        0        0        2
25642 intel-oneapi-mkl-sycl-rng-2024.1   	       0        1        0        0        1
25643 intel-oneapi-mkl-sycl-rng-2025.0   	       0        2        0        0        2
25644 intel-oneapi-mkl-sycl-sparse-2024.1	       0        1        0        0        1
25645 intel-oneapi-mkl-sycl-sparse-2025.0	       0        2        0        0        2
25646 intel-oneapi-mkl-sycl-stats-2024.1 	       0        1        0        0        1
25647 intel-oneapi-mkl-sycl-stats-2025.0 	       0        2        0        0        2
25648 intel-oneapi-mkl-sycl-vm-2024.1    	       0        1        0        0        1
25649 intel-oneapi-mkl-sycl-vm-2025.0    	       0        2        0        0        2
25650 intel-oneapi-mpi-2021.11           	       0        1        1        0        0
25651 intel-oneapi-mpi-2021.12           	       0        1        1        0        0
25652 intel-oneapi-mpi-2021.14           	       0        1        1        0        0
25653 intel-oneapi-mpi-devel             	       0        1        0        0        1
25654 intel-oneapi-mpi-devel-2021.11     	       0        1        1        0        0
25655 intel-oneapi-mpi-devel-2021.12     	       0        1        1        0        0
25656 intel-oneapi-mpi-devel-2021.14     	       0        1        1        0        0
25657 intel-oneapi-openmp-2023.2.0       	       0        1        1        0        0
25658 intel-oneapi-openmp-2024.0         	       0        1        1        0        0
25659 intel-oneapi-openmp-2024.1         	       0        1        1        0        0
25660 intel-oneapi-openmp-2024.2         	       0        1        1        0        0
25661 intel-oneapi-openmp-2025.0         	       0        2        2        0        0
25662 intel-oneapi-openmp-common-2023.2.0	       0        1        0        0        1
25663 intel-oneapi-openmp-common-2024.0  	       0        1        0        0        1
25664 intel-oneapi-openmp-common-2024.1  	       0        1        0        0        1
25665 intel-oneapi-openmp-common-2024.2  	       0        1        0        0        1
25666 intel-oneapi-openmp-common-2025.0  	       0        2        0        0        2
25667 intel-oneapi-runtime-compilers     	       0        1        1        0        0
25668 intel-oneapi-runtime-compilers-2024	       0        1        1        0        0
25669 intel-oneapi-runtime-compilers-common	       0        2        2        0        0
25670 intel-oneapi-runtime-compilers-common-2024	       0        1        0        0        1
25671 intel-oneapi-runtime-dpcpp-cpp     	       0        1        1        0        0
25672 intel-oneapi-runtime-dpcpp-cpp-2024	       0        1        0        0        1
25673 intel-oneapi-runtime-dpcpp-cpp-common	       0        1        1        0        0
25674 intel-oneapi-runtime-dpcpp-cpp-common-2024	       0        1        0        0        1
25675 intel-oneapi-runtime-dpcpp-sycl-core	       0        1        0        0        1
25676 intel-oneapi-runtime-dpcpp-sycl-core-2024	       0        1        0        0        1
25677 intel-oneapi-runtime-dpcpp-sycl-cpu-rt	       0        1        0        0        1
25678 intel-oneapi-runtime-dpcpp-sycl-fpga-emul	       0        1        1        0        0
25679 intel-oneapi-runtime-dpcpp-sycl-opencl-cpu	       0        1        1        0        0
25680 intel-oneapi-runtime-dpcpp-sycl-opencl-cpu-2024	       0        1        0        0        1
25681 intel-oneapi-runtime-dpcpp-sycl-rt 	       0        1        0        0        1
25682 intel-oneapi-runtime-mkl           	       0        2        1        0        1
25683 intel-oneapi-runtime-mkl-2024      	       0        1        0        0        1
25684 intel-oneapi-runtime-mkl-common    	       0        1        1        0        0
25685 intel-oneapi-runtime-mkl-common-2024	       0        1        0        0        1
25686 intel-oneapi-runtime-opencl        	       0        1        1        0        0
25687 intel-oneapi-runtime-opencl-2024   	       0        1        1        0        0
25688 intel-oneapi-runtime-openmp        	       0        1        1        0        0
25689 intel-oneapi-runtime-openmp-2024   	       0        1        0        0        1
25690 intel-oneapi-runtime-openmp-opencl-shared-2024	       0        1        0        0        1
25691 intel-oneapi-runtime-tbb           	       0        1        1        0        0
25692 intel-oneapi-runtime-tbb-2021      	       0        1        0        0        1
25693 intel-oneapi-runtime-tbb-common    	       0        1        1        0        0
25694 intel-oneapi-runtime-tbb-common-2021	       0        1        0        0        1
25695 intel-oneapi-runtime-tcm-1         	       0        1        0        0        1
25696 intel-oneapi-tbb-2021.10.0         	       0        1        1        0        0
25697 intel-oneapi-tbb-2021.11           	       0        1        0        0        1
25698 intel-oneapi-tbb-2021.12           	       0        1        0        0        1
25699 intel-oneapi-tbb-2021.13           	       0        1        0        0        1
25700 intel-oneapi-tbb-2022.0            	       0        2        0        0        2
25701 intel-oneapi-tbb-common-2021.10.0  	       0        1        0        0        1
25702 intel-oneapi-tbb-common-2021.11    	       0        1        0        0        1
25703 intel-oneapi-tbb-common-2021.12    	       0        1        0        0        1
25704 intel-oneapi-tbb-common-2021.13    	       0        1        0        0        1
25705 intel-oneapi-tbb-common-devel-2021.11	       0        1        1        0        0
25706 intel-oneapi-tbb-common-devel-2021.12	       0        1        1        0        0
25707 intel-oneapi-tbb-common-devel-2021.13	       0        1        1        0        0
25708 intel-oneapi-tbb-devel             	       0        2        0        0        2
25709 intel-oneapi-tbb-devel-2021.11     	       0        1        1        0        0
25710 intel-oneapi-tbb-devel-2021.12     	       0        1        1        0        0
25711 intel-oneapi-tbb-devel-2021.13     	       0        1        1        0        0
25712 intel-oneapi-tbb-devel-2022.0      	       0        1        1        0        0
25713 intel-oneapi-tcm-1.0               	       0        1        0        0        1
25714 intel-oneapi-tcm-1.1               	       0        1        0        0        1
25715 intel-oneapi-tcm-1.2               	       0        2        0        0        2
25716 intel-oneapi-tlt                   	       0        2        0        0        2
25717 intel-oneapi-tlt-2024.0            	       0        1        0        0        1
25718 intel-oneapi-tlt-2024.1            	       0        1        0        0        1
25719 intel-oneapi-tlt-2025.0            	       0        1        0        0        1
25720 intel-oneapi-umf-0.9               	       0        2        2        0        0
25721 intel-oneapi-vtune                 	       0        3        3        0        0
25722 intel-opencl                       	       0        1        1        0        0
25723 intel-opencl-icd                   	       0       13        9        0        4
25724 intel-openmp-19.1.0-166            	       0        1        1        0        0
25725 intel-psxe-common-2020.0-088       	       0        1        1        0        0
25726 intel-psxe-common-doc-2020         	       0        1        0        0        1
25727 intel-pti-0.10                     	       0        1        0        0        1
25728 intel-pti-dev                      	       0        1        0        0        1
25729 intel-pti-dev-0.10                 	       0        1        0        1        0
25730 intel-tbb-libs-2020.0-166          	       0        1        1        0        0
25731 intel2gas                          	       0        3        3        0        0
25732 interimap                          	       0        1        1        0        0
25733 internet-watchdog                  	       0        1        1        0        0
25734 internetarchive                    	       0        2        1        1        0
25735 intltool-debian                    	       0     1288        0        0     1288
25736 intranet-utils-2pir                	       0        1        1        0        0
25737 invada-studio-plugins-lv2          	       0        8        8        0        0
25738 inventor-clients                   	       0        3        2        1        0
25739 inventor-data                      	       0        2        0        0        2
25740 inventor-demo                      	       0        2        1        1        0
25741 inventor-dev                       	       0        2        2        0        0
25742 inventor-doc                       	       0        2        0        0        2
25743 invesalius                         	       0        3        3        0        0
25744 invesalius-bin                     	       0        5        5        0        0
25745 invesalius-bin-dbgsym              	       0        1        1        0        0
25746 invesalius-build-deps              	       0        1        0        0        1
25747 invesalius-examples                	       0        1        0        0        1
25748 io.solarwallet.app                 	       0        1        1        0        0
25749 iodbc                              	       0        3        3        0        0
25750 iog                                	       0        1        1        0        0
25751 iogerman                           	       0       15       15        0        0
25752 ionit                              	       0        2        2        0        0
25753 ioport                             	       0        1        1        0        0
25754 ipchains                           	       0        1        1        0        0
25755 ipe                                	       0       18       18        0        0
25756 ipe5toxml                          	       0        4        4        0        0
25757 ipfs-cid                           	       0        3        3        0        0
25758 ipfs-desktop                       	       0        3        0        0        3
25759 ipig                               	       0        1        1        0        0
25760 ipip                               	       0        1        1        0        0
25761 ipmiutil                           	       0        9        9        0        0
25762 ipol-tools                         	       0        1        1        0        0
25763 iportuguese                        	       0        6        6        0        0
25764 ipp-linux                          	       0        2        0        0        2
25765 ippl                               	       0        1        1        0        0
25766 ipppd                              	       0        3        3        0        0
25767 ippsample                          	       0        2        2        0        0
25768 ippsample-data                     	       0        2        0        0        2
25769 ippusbxd                           	       0        1        1        0        0
25770 ipqalc                             	       0        3        3        0        0
25771 iproute                            	       0      129        0        0      129
25772 iproute-dev                        	       0        1        1        0        0
25773 iproute-doc                        	       0        4        0        0        4
25774 iproute2-doc                       	       0       22        0        0       22
25775 ips                                	       0        5        5        0        0
25776 ipscan                             	       0       12       12        0        0
25777 ipset-persistent                   	       0        4        3        0        1
25778 iptables-converter                 	       0        4        4        0        0
25779 iptables-converter-doc             	       0        1        0        0        1
25780 iptables-dev                       	       0        3        0        0        3
25781 iptotal                            	       0        3        3        0        0
25782 iptraf                             	       0       61        4        0       57
25783 iptvnator                          	       0        2        0        0        2
25784 ipv6pref                           	       0        1        1        0        0
25785 ipvsadm                            	       0        4        4        0        0
25786 ipx                                	       0        1        1        0        0
25787 ipython                            	       0        4        4        0        0
25788 ipython-doc                        	       0        1        0        0        1
25789 ipython3-qtconsole                 	       0        1        0        0        1
25790 iqtree                             	       0        1        1        0        0
25791 ir.lv2                             	       0        7        7        0        0
25792 iraf                               	       0       10       10        0        0
25793 iraf-dev                           	       0        5        5        0        0
25794 iraf-fitsutil                      	       0        2        2        0        0
25795 iraf-noao                          	       0       10       10        0        0
25796 iraf-noao-dev                      	       0        5        5        0        0
25797 ircd-irc2                          	       0        1        1        0        0
25798 ircii                              	       0       18       18        0        0
25799 irclog2html                        	       0        1        1        0        0
25800 ircp-tray                          	       0        1        1        0        0
25801 irda-utils                         	       0        4        4        0        0
25802 ire                                	       0        1        1        0        0
25803 ire-rotj                           	       0        1        1        0        0
25804 ire-the-flat                       	       0        1        1        0        0
25805 irecovery                          	       0        2        2        0        0
25806 iredis                             	       0        2        1        1        0
25807 irfanview-bin64                    	       0        3        3        0        0
25808 irfanview-common                   	       0        3        3        0        0
25809 iridium-browser                    	       0        1        1        0        0
25810 iron64                             	       0        2        0        0        2
25811 ironseed                           	       0        3        3        0        0
25812 ironseed-data                      	       0        3        0        0        3
25813 irony                              	       0        1        1        0        0
25814 irony-mode                         	       0        1        0        0        1
25815 irony-server                       	       0        2        2        0        0
25816 irpas                              	       0        1        1        0        0
25817 irqtop                             	       0        8        7        1        0
25818 irsim                              	       0        5        5        0        0
25819 irssi-dev                          	       0        2        2        0        0
25820 irssi-plugin-otr                   	       0        8        0        0        8
25821 irssi-plugin-robustirc             	       0        1        0        0        1
25822 irssi-plugin-xmpp                  	       0        9        0        0        9
25823 irssi-text                         	       0        1        0        0        1
25824 irstlm                             	       0        2        2        0        0
25825 irtt                               	       0        8        6        2        0
25826 isa-support                        	       0      303        0        0      303
25827 isapnptools                        	       0        1        1        0        0
25828 isc-dhcp-client-ddns               	       0        6        6        0        0
25829 isc-dhcp-common                    	       0     4046       59        1     3986
25830 isc-dhcp-relay                     	       0        2        2        0        0
25831 isc-dhcp-server-ldap               	       0        2        2        0        0
25832 isc-kea-common                     	       0        1        1        0        0
25833 isc-kea-dhcp4                      	       0        1        1        0        0
25834 isc-kea-dhcp6                      	       0        1        1        0        0
25835 isc-stork-agent                    	       0        1        1        0        0
25836 isc-stork-server                   	       0        1        1        0        0
25837 iscan-data                         	       0       15       15        0        0
25838 iscan-network-nt                   	       0        8        8        0        0
25839 iscan-plugin-gt-f670               	       0        1        1        0        0
25840 iscan-plugin-gt-s600               	       0        1        1        0        0
25841 iscan-plugin-gt-x770               	       0        1        1        0        0
25842 iscan-plugin-perfection-v370       	       0        1        1        0        0
25843 iscan-plugin-perfection-v550       	       0        1        1        0        0
25844 iscsi-scst                         	       0        1        1        0        0
25845 isdnactivecards                    	       0        1        1        0        0
25846 isdnbutton                         	       0        1        1        0        0
25847 isdnlog                            	       0        2        2        0        0
25848 isdnlog-data                       	       0        4        0        0        4
25849 isdnutils-doc                      	       0        1        0        0        1
25850 isdnutils-xtools                   	       0        1        1        0        0
25851 isdnvboxserver                     	       0        1        1        0        0
25852 iselect                            	       0        7        7        0        0
25853 isight-firmware-tools              	       0        1        1        0        0
25854 islamic-menus                      	       0        2        0        0        2
25855 ismrmrd-schema                     	       0        2        0        0        2
25856 ismrmrd-tools                      	       0        2        2        0        0
25857 iso-flag-png                       	       0        1        0        0        1
25858 iso-flags-png-320x240              	       0      299        0        0      299
25859 iso-flags-svg                      	       0        5        0        0        5
25860 isochron                           	       0        2        2        0        0
25861 isoimagewriter                     	       0        2        1        1        0
25862 isort                              	       0        5        5        0        0
25863 isrcsubmit                         	       0        9        9        0        0
25864 istgt                              	       0        2        2        0        0
25865 iswedish                           	       0        6        6        0        0
25866 itamae                             	       0        1        1        0        0
25867 itcl3                              	       0       36        0        0       36
25868 itcl3-dev                          	       0        3        3        0        0
25869 itcl3-doc                          	       0        4        0        0        4
25870 itcl3.1                            	       0        1        1        0        0
25871 itk3                               	       0       20        0        0       20
25872 itk3-dev                           	       0        2        2        0        0
25873 itk3-doc                           	       0        4        0        0        4
25874 itk3.1                             	       0        1        1        0        0
25875 itksnap                            	       0        1        1        0        0
25876 itools                             	       0        4        4        0        0
25877 itrans-fonts                       	       0        2        0        0        2
25878 iukrainian                         	       0        6        6        0        0
25879 iverilog                           	       0       14       14        0        0
25880 ivpn                               	       0        1        1        0        0
25881 ivritex                            	       0        1        0        0        1
25882 ivtools-bin                        	       0        3        3        0        0
25883 ivy                                	       0       77        0        0       77
25884 ivy-debian-helper                  	       0        1        1        0        0
25885 ivy-doc                            	       0        4        0        0        4
25886 iwatch                             	       0        9        9        0        0
25887 iwidgets3.1                        	       0        1        0        0        1
25888 iwidgets4                          	       0       19        0        0       19
25889 iwidgets4-doc                      	       0        4        0        0        4
25890 ixo-usb-jtag                       	       0        6        0        0        6
25891 j2cli                              	       0        1        1        0        0
25892 j4-dmenu-desktop                   	       0        8        8        0        0
25893 jaaa                               	       0       15       15        0        0
25894 jabber-muc                         	       0        1        1        0        0
25895 jabber-querybot                    	       0        1        1        0        0
25896 jabberd2                           	       0        2        2        0        0
25897 jack                               	       0        6        6        0        0
25898 jack-capture                       	       0       31       30        1        0
25899 jack-delay                         	       0        1        1        0        0
25900 jack-keyboard                      	       0        9        9        0        0
25901 jack-midi-clock                    	       0       11       11        0        0
25902 jack-mixer                         	       0        6        6        0        0
25903 jack-stdio                         	       0       12       12        0        0
25904 jack-tools                         	       0       40       40        0        0
25905 jackass                            	       0        1        1        0        0
25906 jackd                              	       0      164        0        0      164
25907 jackd1-firewire                    	       0       12        0        0       12
25908 jackd2-firewire                    	       0      151        1        0      150
25909 jackeq                             	       0        6        6        0        0
25910 jackmeter                          	       0       16       16        0        0
25911 jacktrip                           	       0        8        8        0        0
25912 jacktrip-gui                       	       0        2        2        0        0
25913 jade                               	       0        1        1        0        0
25914 jadetex                            	       0       22        2        0       20
25915 jag                                	       0        6        6        0        0
25916 jag-data                           	       0        1        0        0        1
25917 jailkit                            	       0        8        8        0        0
25918 jalv                               	       0       11       11        0        0
25919 jalview                            	       0        1        1        0        0
25920 jam                                	       0        9        9        0        0
25921 jameica-doc                        	       0        1        0        0        1
25922 jameica-h2database                 	       0        4        0        0        4
25923 jami-all                           	       0        3        3        0        0
25924 jan                                	       0        2        0        0        2
25925 janus                              	       0        2        2        0        0
25926 janus-doc                          	       0        3        0        0        3
25927 janus-tools                        	       0        3        3        0        0
25928 japa                               	       0        6        6        0        0
25929 jaranalyzer                        	       0        1        1        0        0
25930 jargon                             	       0       14       13        1        0
25931 jargon-text                        	       0       11        0        0       11
25932 jasmin-sable                       	       0        1        1        0        0
25933 jaspersoftstudio                   	       0        1        1        0        0
25934 jattach                            	       0        1        1        0        0
25935 java-1.8.0-amazon-corretto-jdk     	       0        1        1        0        0
25936 java-11-amazon-corretto-jdk        	       0        1        1        0        0
25937 java-gcj-compat                    	       0        1        0        0        1
25938 java-gcj-compat-headless           	       0        1        1        0        0
25939 java-package                       	       0       13       13        0        0
25940 java-policy                        	       0        1        0        0        1
25941 java-propose-classpath             	       0        5        5        0        0
25942 java2-common                       	       0        1        1        0        0
25943 java2html                          	       0        1        1        0        0
25944 java3ds-fileloader                 	       0        2        0        0        2
25945 javacc                             	       0       13       13        0        0
25946 javacc-doc                         	       0        5        5        0        0
25947 javacc5                            	       0        1        1        0        0
25948 javahelp2-doc                      	       0        6        0        0        6
25949 javahelper                         	       0       11       11        0        0
25950 javamorph                          	       0        2        2        0        0
25951 javascript-common                  	       0     3285        0        0     3285
25952 jaxb                               	       0        1        1        0        0
25953 jaxe                               	       0        1        1        0        0
25954 jaxws                              	       0        2        2        0        0
25955 jazz2                              	       0        3        3        0        0
25956 jbibtex-base                       	       0        2        0        0        2
25957 jbibtex-bin                        	       0        1        1        0        0
25958 jbig2                              	       0        8        8        0        0
25959 jbig2enc                           	       0        2        2        0        0
25960 jbigkit-bin                        	       0        7        7        0        0
25961 jc                                 	       0        6        6        0        0
25962 jc2rec                             	       0        1        1        0        0
25963 jcat                               	       0        1        0        1        0
25964 jchroot                            	       0        1        1        0        0
25965 jclassinfo                         	       0        3        3        0        0
25966 jconvolver                         	       0        7        7        0        0
25967 jconvolver-config-files            	       0        7        0        0        7
25968 jd-gui                             	       0        3        0        0        3
25969 jdk-10                             	       0        1        1        0        0
25970 jdk-11                             	       0        3        3        0        0
25971 jdk-11.0.6                         	       0        1        1        0        0
25972 jdk-11.0.8                         	       0        1        1        0        0
25973 jdk-13.0.2                         	       0        1        1        0        0
25974 jdk-14                             	       0        1        1        0        0
25975 jdk-14.0.1                         	       0        1        1        0        0
25976 jdk-14.0.2                         	       0        1        1        0        0
25977 jdk-15                             	       0        1        1        0        0
25978 jdk-15.0.1                         	       0        1        1        0        0
25979 jdk-16                             	       0        2        2        0        0
25980 jdk-16.0.1                         	       0        1        1        0        0
25981 jdk-18                             	       0        2        2        0        0
25982 jdk-20                             	       0        1        1        0        0
25983 jdk-21                             	       0        8        7        1        0
25984 jdk-22                             	       0        7        6        1        0
25985 jdk-23                             	       0        4        3        1        0
25986 jdk-9                              	       0        1        1        0        0
25987 jdk1.8                             	       0        1        1        0        0
25988 jdns                               	       0        1        1        0        0
25989 jdresolve                          	       0        1        1        0        0
25990 jed-extra                          	       0        9        0        0        9
25991 jeepyb                             	       0        1        1        0        0
25992 jeex                               	       0        8        8        0        0
25993 jekyll-theme-minima                	       0        9        0        0        9
25994 jel-java                           	       0        1        0        0        1
25995 jello                              	       0        1        1        0        0
25996 jellyfin                           	       0        2        0        0        2
25997 jellyfin-apiclient-python          	       0        3        3        0        0
25998 jellyfin-ffmpeg6                   	       0        2        2        0        0
25999 jellyfin-ffmpeg7                   	       0        1        1        0        0
26000 jellyfin-mpv-shim                  	       0        2        2        0        0
26001 jellyfin-web                       	       0        5        0        0        5
26002 jellyfish                          	       0        1        1        0        0
26003 jellyfish1                         	       0        2        2        0        0
26004 jenkins                            	       0        2        0        1        1
26005 jenkins-buildenv-devuan            	       0        1        0        0        1
26006 jenkins-debian-glue                	       0        4        4        0        0
26007 jenkins-debian-glue-buildenv       	       0        4        0        0        4
26008 jenkins-debian-glue-buildenv-devuan	       0        4        0        0        4
26009 jerry                              	       0        2        2        0        0
26010 jest                               	       0        3        3        0        0
26011 jester                             	       0        3        3        0        0
26012 jetring                            	       0        2        2        0        0
26013 jetty9                             	       0        1        1        0        0
26014 jeuclid-cli                        	       0        4        4        0        0
26015 jeuclid-mathviewer                 	       0        4        4        0        0
26016 jexiftoolgui                       	       0        1        1        0        0
26017 jfp-e16-themes                     	       0        2        0        0        2
26018 jfp-fonts                          	       0        1        0        0        1
26019 jftp                               	       0        8        7        1        0
26020 jgmenu-xfce4-panel-applet          	       0        2        0        0        2
26021 jgraph                             	       0        1        1        0        0
26022 jibri                              	       0        1        0        0        1
26023 jicofo                             	       0        4        0        0        4
26024 jid                                	       0        3        3        0        0
26025 jigasi                             	       0        2        2        0        0
26026 jigit                              	       0        4        4        0        0
26027 jigl                               	       0        1        1        0        0
26028 jigsaw-generator                   	       0        1        1        0        0
26029 jigzo                              	       0        8        8        0        0
26030 jigzo-data                         	       0        8        0        0        8
26031 jikes                              	       0        1        1        0        0
26032 jikes-dbgsym                       	       0        1        1        0        0
26033 jimsh                              	       0        4        4        0        0
26034 jing                               	       0        2        2        0        0
26035 jitsi                              	       0        7        7        0        0
26036 jitsi-archive-keyring              	       0        8        0        0        8
26037 jitsi-meet                         	       0        3        0        0        3
26038 jitsi-meet-electron                	       0        2        0        0        2
26039 jitsi-meet-prosody                 	       0        4        0        0        4
26040 jitsi-meet-turnserver              	       0        3        0        0        3
26041 jitsi-meet-web                     	       0        3        0        0        3
26042 jitsi-meet-web-config              	       0        3        0        0        3
26043 jitsi-videobridge2                 	       0        3        1        1        1
26044 jkmeter                            	       0        4        4        0        0
26045 jlang                              	       0        2        2        0        0
26046 jlex                               	       0        9        9        0        0
26047 jlink                              	       0        4        3        1        0
26048 jlint                              	       0        1        1        0        0
26049 jmagick7-docs                      	       0        1        0        0        1
26050 jmathedit                          	       0        1        1        0        0
26051 jmdlx                              	       0        1        1        0        0
26052 jmeter                             	       0        2        2        0        0
26053 jmeter-help                        	       0        2        0        0        2
26054 jmeter-http                        	       0        2        2        0        0
26055 jmeter-java                        	       0        1        1        0        0
26056 jmeters                            	       0       12       12        0        0
26057 jmodeltest                         	       0        1        1        0        0
26058 jmol                               	       0       14       14        0        0
26059 jmol-applet                        	       0        1        0        0        1
26060 jnoise                             	       0        9        9        0        0
26061 jnoisemeter                        	       0       10       10        0        0
26062 jo                                 	       0       36       35        1        0
26063 jockey-common                      	       0        1        1        0        0
26064 joe-jupp                           	       0        2        2        0        0
26065 john-data                          	       0       86        0        0       86
26066 joint-state-publisher              	       0        1        1        0        0
26067 joint-state-publisher-gui          	       0        1        1        0        0
26068 jons-wallpaper-1                   	       0        3        0        0        3
26069 jool-tools                         	       0        1        1        0        0
26070 jose                               	       0       10       10        0        0
26071 jose-util                          	       0        1        1        0        0
26072 josm-l10n                          	       0       13        0        0       13
26073 josm-plugins                       	       0        1        0        0        1
26074 jove                               	       0        4        4        0        0
26075 jovie                              	       0       14       14        0        0
26076 joy2key                            	       0        4        4        0        0
26077 jparse                             	       0        3        3        0        0
26078 jpdfbookmarks                      	       0        1        1        0        0
26079 jpdftweak                          	       0        1        1        0        0
26080 jpeg-decomp                        	       0        1        1        0        0
26081 jpeg-recompress                    	       0        1        1        0        0
26082 jpeg-xl-doc                        	       0        2        0        0        2
26083 jpeg2pdf                           	       0        1        1        0        0
26084 jpegjudge                          	       0        8        8        0        0
26085 jpegpixi                           	       0        4        4        0        0
26086 jpegqs                             	       0        4        4        0        0
26087 jpegquant                          	       0        1        1        0        0
26088 jpegrepair                         	       0        1        1        0        0
26089 jpegsnoop                          	       0        1        1        0        0
26090 jpgcrush                           	       0        1        1        0        0
26091 jpge                               	       0        1        1        0        0
26092 jpnevulator                        	       0        5        5        0        0
26093 jprofiler                          	       0        1        1        0        0
26094 jquery-alternative-doc             	       0        1        0        0        1
26095 jquery-jplayer-pinkflag            	       0        1        0        0        1
26096 jruby                              	       0        4        4        0        0
26097 jruby-maven-plugins                	       0        1        0        0        1
26098 jruby-openssl                      	       0        1        1        0        0
26099 js2-mode                           	       0        2        0        0        2
26100 js8call                            	       0        8        8        0        0
26101 jsamp                              	       0        1        1        0        0
26102 jscalibrator                       	       0        1        1        0        0
26103 jsmath                             	       0        8        0        0        8
26104 jsmath-fonts                       	       0        8        0        0        8
26105 jsmath-fonts-sprite                	       0        3        0        0        3
26106 json-glib-tools                    	       0        3        3        0        0
26107 jstest-gtk                         	       0       35       35        0        0
26108 jsxcompressor                      	       0        3        3        0        0
26109 jsxgraph                           	       0        3        3        0        0
26110 jta                                	       0        1        0        0        1
26111 jtb                                	       0        3        3        0        0
26112 jtdx                               	       0        6        6        0        0
26113 jtreg                              	       0        1        1        0        0
26114 jtreg7                             	       0        1        1        0        0
26115 juce-modules-source                	       0        2        0        0        2
26116 juce-modules-source-data           	       0        4        4        0        0
26117 juce-opl-lv2                       	       0        2        2        0        0
26118 juce-tools                         	       0        3        3        0        0
26119 juced-plugins-lv2                  	       0        1        1        0        0
26120 juffed                             	       0        8        8        0        0
26121 juffed-plugins                     	       0        8        0        0        8
26122 juk-trinity                        	       0       25       23        2        0
26123 julia                              	       0       17       17        0        0
26124 julia-common                       	       0       18       17        0        1
26125 julia-doc                          	       0        7        0        0        7
26126 jumpnbump                          	       0        5        5        0        0
26127 jumpnbump-levels                   	       0        4        0        0        4
26128 junior-art                         	       0        1        0        0        1
26129 junior-config                      	       0       11       10        1        0
26130 junior-doc                         	       0        3        0        0        3
26131 junior-education                   	       0        2        0        0        2
26132 junior-games-adventure             	       0        1        0        0        1
26133 junior-games-arcade                	       0        2        0        0        2
26134 junior-games-card                  	       0        2        0        0        2
26135 junior-games-gl                    	       0        2        0        0        2
26136 junior-games-net                   	       0        1        0        0        1
26137 junior-games-sim                   	       0        1        0        0        1
26138 junior-games-text                  	       0        3        0        0        3
26139 junior-internet                    	       0        2        0        0        2
26140 junior-math                        	       0        3        0        0        3
26141 junior-system                      	       0        2        0        0        2
26142 junior-tasks                       	       0       11        0        0       11
26143 junior-toys                        	       0        1        0        0        1
26144 junior-typing                      	       0        4        0        0        4
26145 junior-video                       	       0        2        0        0        2
26146 junior-writing                     	       0        1        0        0        1
26147 junit-doc                          	       0       22        0        0       22
26148 junit4                             	       0      202        0        0      202
26149 junit4-doc                         	       0        6        0        0        6
26150 junit5                             	       0        7        0        0        7
26151 jupp                               	       0        5        5        0        0
26152 jupyter                            	       0       22        0        0       22
26153 jupyter-nbextension-jupyter-js-widgets	       0       53        0        0       53
26154 jupyter-sphinx-theme-common        	       0        8        0        0        8
26155 jupyter-sphinx-theme-doc           	       0        1        0        0        1
26156 jupyterhub                         	       0        1        1        0        0
26157 just                               	       0        1        1        0        0
26158 jwmkit                             	       0        2        2        0        0
26159 jxl                                	       0        1        1        0        0
26160 jxplorer                           	       0        9        9        0        0
26161 jython                             	       0       25       25        0        0
26162 jython-doc                         	       0        8        0        0        8
26163 jzip                               	       0        6        6        0        0
26164 k3b-data                           	       0      300        0        0      300
26165 k3b-data-trinity                   	       0       19        0        0       19
26166 k3b-extrathemes                    	       0       13        0        0       13
26167 k3b-i18n                           	       0      182        0        0      182
26168 k3b-i18n-trinity                   	       0        3        0        0        3
26169 k3bmonkeyaudioplugin               	       0        2        2        0        0
26170 k6                                 	       0        1        1        0        0
26171 k9copy-trinity                     	       0        2        2        0        0
26172 k9s                                	       0        1        1        0        0
26173 kaboodle-trinity                   	       0       26       24        2        0
26174 kaboom                             	       0        1        1        0        0
26175 kaccounts-providers                	       0      760        0        0      760
26176 kaddressbook-data                  	       0      392        3        0      389
26177 kaddressbook-plugins-trinity       	       0       25       23        2        0
26178 kaddressbook-trinity               	       0       27       25        2        0
26179 kadu-common                        	       0        1        0        0        1
26180 kadu-themes                        	       0        1        0        0        1
26181 kaffe                              	       0        1        1        0        0
26182 kaffe-common                       	       0        2        2        0        0
26183 kaffe-pthreads                     	       0        1        1        0        0
26184 kaffeine                           	       0       25       23        2        0
26185 kaffeine-mozilla-trinity           	       0        1        1        0        0
26186 kaffeine-trinity-dbg               	       0        1        1        0        0
26187 kafkacat                           	       0        3        0        0        3
26188 kagestudio                         	       0        1        1        0        0
26189 kaidan                             	       0        3        3        0        0
26190 kajongg                            	       0       56       55        1        0
26191 kakasi                             	       0        5        5        0        0
26192 kakasi-dic                         	       0        6        0        0        6
26193 kakoune                            	       0        5        5        0        0
26194 kalamine                           	       0        1        1        0        0
26195 kalarm-trinity                     	       0       25       23        2        0
26196 kalendar                           	       0        5        5        0        0
26197 kalgebra-common                    	       0       50        0        0       50
26198 kalgebramobile                     	       0        1        1        0        0
26199 kali                               	       0        3        3        0        0
26200 kalign                             	       0        3        3        0        0
26201 kalk                               	       0        1        1        0        0
26202 kalternatives                      	       0        1        1        0        0
26203 kalzium                            	       0       55       51        4        0
26204 kalzium-data                       	       0       58        0        0       58
26205 kalzium-data-trinity               	       0       24        0        0       24
26206 kalzium-trinity                    	       0       24       22        2        0
26207 kamera                             	       0      568        3        0      565
26208 kamera-trinity                     	       0       36       32        4        0
26209 kamoso                             	       0       23       23        0        0
26210 kanadic                            	       0        1        0        0        1
26211 kanagram                           	       0       48       45        3        0
26212 kanagram-trinity                   	       0       24       22        2        0
26213 kanboard                           	       0        1        1        0        0
26214 kanboard-cli                       	       0        1        1        0        0
26215 kandy-trinity                      	       0       24       22        2        0
26216 kanidm-unixd                       	       0        1        0        1        0
26217 kanjidic                           	       0       83        0        0       83
26218 kanjidic-xml                       	       0        1        0        0        1
26219 kanjidraw                          	       0        1        1        0        0
26220 kannel                             	       0        1        1        0        0
26221 kanotix-archive-keyring            	       0        1        0        0        1
26222 kanotix-keyring                    	       0        1        0        0        1
26223 kanotix-keyrings                   	       0        1        0        0        1
26224 kanotix-menu                       	       0        1        0        0        1
26225 kanyremote                         	       0        2        2        0        0
26226 kapidox                            	       0        2        2        0        0
26227 kapidox6                           	       0        1        0        1        0
26228 kappanhang                         	       0        1        1        0        0
26229 kapptemplate-trinity               	       0        1        1        0        0
26230 karbon-trinity                     	       0        4        4        0        0
26231 karm-trinity                       	       0       25       23        2        0
26232 kasteroids-trinity                 	       0       24        0        0       24
26233 kasts                              	       0       10        8        2        0
26234 katapult-trinity                   	       0        1        1        0        0
26235 kate-data                          	       0       90        0        0       90
26236 kate-plugins-trinity               	       0       27       25        2        0
26237 katepart                           	       0       42       42        0        0
26238 katex                              	       0        3        3        0        0
26239 katomic                            	       0       59       58        1        0
26240 katomic-trinity                    	       0       24        0        0       24
26241 kaudiocreator-trinity              	       0       25       23        2        0
26242 kawari8                            	       0        3        3        0        0
26243 kazehakase                         	       0        1        1        0        0
26244 kazehakase-gecko                   	       0        1        1        0        0
26245 kbabel-trinity                     	       0        1        1        0        0
26246 kbabel-trinity-dev                 	       0        1        1        0        0
26247 kbackgammon-trinity                	       0       24        0        0       24
26248 kbackup                            	       0        8        8        0        0
26249 kball                              	       0        9        9        0        0
26250 kball-data                         	       0        9        0        0        9
26251 kbattleship                        	       0        2        0        0        2
26252 kbattleship-trinity                	       0       24        0        0       24
26253 kbdd                               	       0        2        2        0        0
26254 kbibtex                            	       0       15       14        1        0
26255 kbibtex-data                       	       0       14        0        0       14
26256 kbiff-trinity                      	       0        2        2        0        0
26257 kblackbox                          	       0       56       55        1        0
26258 kblackbox-trinity                  	       0       24        0        0       24
26259 kbookreader-trinity                	       0        1        1        0        0
26260 kbounce                            	       0       58       57        1        0
26261 kbounce-trinity                    	       0       24        0        0       24
26262 kbreakout                          	       0       63       62        1        0
26263 kbruch-trinity                     	       0       24       22        2        0
26264 kbstate                            	       0        1        1        0        0
26265 kbstate-trinity                    	       0       26       24        2        0
26266 kbtin                              	       0        1        1        0        0
26267 kbugbuster-trinity                 	       0        1        1        0        0
26268 kbuild                             	       0       16       15        1        0
26269 kcachegrind-converters             	       0        6        6        0        0
26270 kcat                               	       0        3        3        0        0
26271 kcemu                              	       0        1        1        0        0
26272 kcemu-common                       	       0        1        1        0        0
26273 kcharselect-trinity                	       0       25       23        2        0
26274 kchart-trinity                     	       0        3        3        0        0
26275 kcheckers                          	       0        5        5        0        0
26276 kchmviewer-trinity                 	       0        2        2        0        0
26277 kcollectd                          	       0        5        5        0        0
26278 kcoloredit-trinity                 	       0       26       24        2        0
26279 kconfig-frontends                  	       0        3        3        0        0
26280 kcontrol-autostart-trinity         	       0        4        4        0        0
26281 kcov                               	       0        1        1        0        0
26282 kcptun                             	       0        1        1        0        0
26283 kcpuload-trinity                   	       0        1        1        0        0
26284 kcron                              	       0        1        0        0        1
26285 kcron-trinity                      	       0       26       24        2        0
26286 kdat                               	       0        1        1        0        0
26287 kdat-trinity                       	       0       25       23        2        0
26288 kdbg-trinity                       	       0        2        2        0        0
26289 kdbusnotification-trinity          	       0        2        2        0        0
26290 kdc2tiff                           	       0        1        1        0        0
26291 kde-base-artwork                   	       0        8        0        0        8
26292 kde-baseapps                       	       0      514        0        0      514
26293 kde-baseapps-data                  	       0       19        0        0       19
26294 kde-cli-tools-data                 	       0      626        7        0      619
26295 kde-config-baloo-advanced          	       0        1        1        0        0
26296 kde-config-cddb                    	       0       71        3        0       68
26297 kde-config-cron                    	       0       55       42        1       12
26298 kde-config-fcitx                   	       0        4        4        0        0
26299 kde-config-fcitx5                  	       0        8        5        1        2
26300 kde-config-flatpak                 	       0       13        0        0       13
26301 kde-config-gtk-style-preview       	       0       34        0        0       34
26302 kde-config-mailtransport           	       0      487        0        0      487
26303 kde-config-mobile-networking       	       0        6        0        0        6
26304 kde-config-phonon-xine             	       0        1        1        0        0
26305 kde-config-plymouth                	       0       12       12        0        0
26306 kde-config-telepathy-accounts      	       0        5        0        0        5
26307 kde-config-touchpad                	       0        2        0        0        2
26308 kde-config-updates                 	       0      430        2        1      427
26309 kde-full                           	       0       32        0        0       32
26310 kde-games-core-declarative         	       0        3        3        0        0
26311 kde-i18n-cs-trinity                	       0        1        0        0        1
26312 kde-i18n-de                        	       0        1        0        0        1
26313 kde-i18n-de-trinity                	       0        2        0        0        2
26314 kde-i18n-engb-trinity              	       0        1        0        0        1
26315 kde-i18n-es-trinity                	       0        1        0        0        1
26316 kde-i18n-fr-trinity                	       0        1        0        0        1
26317 kde-i18n-pl-trinity                	       0        1        0        0        1
26318 kde-i18n-ru-trinity                	       0        1        0        0        1
26319 kde-i18n-sk-trinity                	       0        1        0        0        1
26320 kde-icons-crystal                  	       0        2        0        0        2
26321 kde-icons-gorilla                  	       0        1        0        0        1
26322 kde-icons-kneu                     	       0        1        0        0        1
26323 kde-icons-korilla                  	       0        1        0        0        1
26324 kde-icons-mono                     	       0       20        0        0       20
26325 kde-icons-noia                     	       0        1        0        0        1
26326 kde-icons-nuovext                  	       0        1        0        0        1
26327 kde-icons-nuvola                   	       0        3        0        0        3
26328 kde-icons-oxygen                   	       0        1        0        0        1
26329 kde-l10n-engb                      	       0        1        0        0        1
26330 kde-l10n-eu                        	       0        1        0        0        1
26331 kde-l10n-fr                        	       0        1        0        0        1
26332 kde-plasma-desktop                 	       0      503        0        0      503
26333 kde-plasma-netbook                 	       0        1        0        0        1
26334 kde-standard                       	       0      435        0        0      435
26335 kde-style-breeze-data              	       0       24        0        0       24
26336 kde-style-oxygen-qt4               	       0        5        5        0        0
26337 kde-style-oxygen-transparent       	       0        1        1        0        0
26338 kde-style-qtcurve-qt4              	       0       23        0        0       23
26339 kde-style-qtcurve-qt5              	       0       67        8        0       59
26340 kde-systemsettings-trinity         	       0        1        0        0        1
26341 kde-telepathy                      	       0        5        0        0        5
26342 kde-telepathy-approver             	       0        5        4        0        1
26343 kde-telepathy-auth-handler         	       0        5        0        0        5
26344 kde-telepathy-call-ui              	       0        5        5        0        0
26345 kde-telepathy-contact-list         	       0        5        5        0        0
26346 kde-telepathy-data                 	       0       10        2        0        8
26347 kde-telepathy-desktop-applets      	       0        6        2        0        4
26348 kde-telepathy-filetransfer-handler 	       0        5        0        0        5
26349 kde-telepathy-integration-module   	       0        5        4        0        1
26350 kde-telepathy-kaccounts            	       0        8        4        0        4
26351 kde-telepathy-kpeople              	       0        8        1        0        7
26352 kde-telepathy-minimal              	       0        5        0        0        5
26353 kde-telepathy-send-file            	       0        7        7        0        0
26354 kde-telepathy-text-ui              	       0        5        5        0        0
26355 kde-thumbnailer-deb                	       0       12        0        0       12
26356 kde-wallpapers                     	       0       14        0        0       14
26357 kde-wallpapers-default             	       0       15        0        0       15
26358 kde-wallpapers-lineartreworked     	       0        1        0        0        1
26359 kde-workspace                      	       0        3        0        0        3
26360 kde-workspace-data                 	       0        3        0        0        3
26361 kde-workspace-kgreet-plugins       	       0        5        5        0        0
26362 kde-zeroconf                       	       0       10        3        0        7
26363 kdeaccessibility                   	       0      444        0        0      444
26364 kdeaccessibility-doc-html          	       0        1        0        0        1
26365 kdeaccessibility-trinity-doc-html  	       0        1        0        0        1
26366 kdeaddons-doc-html                 	       0        2        0        0        2
26367 kdeaddons-trinity-doc-html         	       0        1        0        0        1
26368 kdeadmin                           	       0       46        0        0       46
26369 kdeadmin-doc-html                  	       0        1        0        0        1
26370 kdeadmin-trinity-doc-html          	       0        1        0        0        1
26371 kdeartwork                         	       0       12        0        0       12
26372 kdeartwork-dbg                     	       0        1        1        0        0
26373 kdeartwork-emoticons               	       0       15        0        0       15
26374 kdeartwork-theme-icon              	       0        9        0        0        9
26375 kdeartwork-theme-window            	       0        1        1        0        0
26376 kdeartwork-wallpapers              	       0       14        0        0       14
26377 kdebase                            	       0        1        0        0        1
26378 kdebase-bin                        	       0        2        0        0        2
26379 kdebase-data-trinity               	       0        1        0        0        1
26380 kdebase-doc-html                   	       0        1        0        0        1
26381 kdebase-kio-smb-trinity            	       0        1        0        0        1
26382 kdebase-runtime                    	       0        2        0        0        2
26383 kdebase-trinity-doc-html           	       0        1        0        0        1
26384 kdebugsettings                     	       0        2        2        0        0
26385 kded5-dev                          	       0        2        0        0        2
26386 kdeedu                             	       0       41        0        0       41
26387 kdeedu-data                        	       0       65        0        0       65
26388 kdeedu-doc-html                    	       0        1        0        0        1
26389 kdeedu-kvtml-data                  	       0       60        0        0       60
26390 kdeedu-trinity-doc-html            	       0        1        0        0        1
26391 kdeeject                           	       0        1        1        0        0
26392 kdegames                           	       0       54        0        0       54
26393 kdegames-card-data                 	       0       13        0        0       13
26394 kdegames-card-data-kf5             	       0       85        0        0       85
26395 kdegames-doc-html                  	       0        1        0        0        1
26396 kdegames-mahjongg-data             	       0        6        0        0        6
26397 kdegames-mahjongg-data-kf5         	       0       75        0        0       75
26398 kdegames-mahjongg-data-kf6         	       0        6        0        0        6
26399 kdegraphics                        	       0       41        0        0       41
26400 kdegraphics-doc-html               	       0        1        0        0        1
26401 kdegraphics-mobipocket             	       0        9        1        0        8
26402 kdegraphics-thumbnailers           	       0      490        1        0      489
26403 kdegraphics-trinity-doc-html       	       0        1        0        0        1
26404 kdelibs                            	       0        1        0        0        1
26405 kdelibs-data                       	       0        4        4        0        0
26406 kdelibs-data-trinity               	       0        1        0        0        1
26407 kdelibs-trinity                    	       0        1        0        0        1
26408 kdelibs4c2a-trinity                	       0        1        0        0        1
26409 kdelibs5-data                      	       0       71        0        0       71
26410 kdelibs5-dev                       	       0        1        1        0        0
26411 kdelirc                            	       0        1        0        0        1
26412 kdemultimedia                      	       0       46        0        0       46
26413 kdemultimedia-doc-html             	       0        1        0        0        1
26414 kdemultimedia-kio-plugins          	       0        2        0        0        2
26415 kdemultimedia-trinity-doc-html     	       0        1        0        0        1
26416 kdenetwork                         	       0       43        0        0       43
26417 kdenetwork-doc-html                	       0        1        0        0        1
26418 kdenetwork-trinity-doc-html        	       0        1        0        0        1
26419 kdenlive-data                      	       0      142        0        0      142
26420 kdepasswd                          	       0       12       12        0        0
26421 kdepim                             	       0       41        0        0       41
26422 kdepim-doc                         	       0        6        0        0        6
26423 kdepim-kresources                  	       0        2        2        0        0
26424 kdepimlibs-data                    	       0       11        0        0       11
26425 kdepimlibs-kio-plugins             	       0        6        6        0        0
26426 kdeplasma-addons                   	       0        2        0        0        2
26427 kdeplasma-applets-xrdesktop        	       0        2        0        0        2
26428 kdeprint                           	       0        1        1        0        0
26429 kdesdk                             	       0       37        0        0       37
26430 kdesdk-dolphin-plugins             	       0        1        0        0        1
26431 kdesdk-kio-plugins                 	       0        2        1        0        1
26432 kdesdk-misc                        	       0        1        1        0        0
26433 kdesdk-thumbnailers                	       0       44        0        0       44
26434 kdesignerplugin                    	       0        1        0        0        1
26435 kdesignerplugin-data               	       0        9        0        0        9
26436 kdesudo                            	       0        8        8        0        0
26437 kdesvn                             	       0        7        7        0        0
26438 kdetoys                            	       0       42        0        0       42
26439 kdeutils                           	       0       50        0        0       50
26440 kdeutils-doc-html                  	       0        1        0        0        1
26441 kdevdesigner-trinity               	       0        1        1        0        0
26442 kdevelop-dev                       	       0        4        3        1        0
26443 kdevelop-l10n                      	       0       15        0        0       15
26444 kdevelop-pg-qt                     	       0        5        4        1        0
26445 kdevelop-php                       	       0        8        7        1        0
26446 kdevelop-php-l10n                  	       0        4        0        0        4
26447 kdevelop-python                    	       0       13        0        0       13
26448 kdevelop-python-data               	       0       13        0        0       13
26449 kdevelop-python-l10n               	       0        6        0        0        6
26450 kdevelop-trinity                   	       0        1        0        0        1
26451 kdevelop510-libs                   	       0       31        0        0       31
26452 kdevelop512-libs                   	       0        4        0        0        4
26453 kdevelop53-libs                    	       0        2        0        0        2
26454 kdevelop56-libs                    	       0       10        0        0       10
26455 kdevelop61-libs                    	       0        5        0        0        5
26456 kdevplatform-l10n                  	       0        1        0        0        1
26457 kdevplatform10-libs                	       0        1        1        0        0
26458 kdewallpapers                      	       0        2        0        0        2
26459 kdewebdev                          	       0       39        0        0       39
26460 kdf                                	       0       62       59        3        0
26461 kdf-trinity                        	       0       24       22        2        0
26462 kdiamond                           	       0       59       58        1        0
26463 kdict-trinity                      	       0       24       22        2        0
26464 kdiff3-doc                         	       0       79        0        0       79
26465 kdiff3-qt                          	       0        8        0        0        8
26466 kdiff3-trinity                     	       0        3        3        0        0
26467 kdiff3-trinity-doc                 	       0        3        0        0        3
26468 kdirstat-trinity                   	       0        3        3        0        0
26469 kdiskmark                          	       0        7        7        0        0
26470 kdm-gdmcompat                      	       0        1        1        0        0
26471 kdm-theme-aperture                 	       0        1        0        0        1
26472 kdm-theme-bespin                   	       0        1        0        0        1
26473 kdm-theme-tibanna                  	       0        1        0        0        1
26474 kdocker                            	       0       11       11        0        0
26475 kdoctools                          	       0       41       41        0        0
26476 kdoctools-dev                      	       0        1        0        0        1
26477 kdpkg-trinity                      	       0        1        1        0        0
26478 kdrill                             	       0        1        1        0        0
26479 kdvi-trinity                       	       0       23       21        2        0
26480 kea                                	       0        2        0        0        2
26481 kea-admin                          	       0        2        2        0        0
26482 kea-common                         	       0        5        5        0        0
26483 kea-ctrl-agent                     	       0        2        2        0        0
26484 kea-dhcp-ddns-server               	       0        2        2        0        0
26485 kea-dhcp6-server                   	       0        3        3        0        0
26486 kea-doc                            	       0        2        0        0        2
26487 kedit                              	       0        1        1        0        0
26488 kedit-trinity                      	       0       27       25        2        0
26489 keduca-trinity                     	       0       24       22        2        0
26490 keep                               	       0        1        1        0        0
26491 keepass2-doc                       	       0        9        0        0        9
26492 keeweb-desktop                     	       0        1        1        0        0
26493 kel-agent                          	       0        3        3        0        0
26494 kelbt                              	       0        1        1        0        0
26495 kenolaba                           	       0        1        1        0        0
26496 kenolaba-trinity                   	       0       23        0        0       23
26497 kephra                             	       0        1        1        0        0
26498 kerio-control-vpnclient            	       0        1        1        0        0
26499 kernel-common                      	       0        9        0        0        9
26500 kernel-image-5.10.0-22-amd64-di    	       0        1        0        0        1
26501 kernel-mft-dkms                    	       0        1        1        0        0
26502 kernel-patch-nfs-ngroups           	       0        1        0        0        1
26503 kernel-patch-scripts               	       0        1        1        0        0
26504 kernelshark                        	       0        6        6        0        0
26505 kerneltop                          	       0        9        9        0        0
26506 ket-keyring                        	       0        1        0        0        1
26507 ketchup                            	       0        1        1        0        0
26508 ketm                               	       0        1        1        0        0
26509 ketm-data                          	       0        1        0        0        1
26510 keurocalc                          	       0        9        9        0        0
26511 keurocalc-data                     	       0       10        0        0       10
26512 kexi                               	       0       13       12        1        0
26513 kexi-data                          	       0       14        0        0       14
26514 kexi-mysql-driver                  	       0        4        0        0        4
26515 kexi-postgresql-driver             	       0        2        0        0        2
26516 kexi-trinity                       	       0        4        4        0        0
26517 kexi-web-form-widget               	       0        5        0        0        5
26518 key-mon                            	       0        1        1        0        0
26519 key2odp                            	       0        1        1        0        0
26520 keyanalyze                         	       0        1        0        0        1
26521 keybinder-3.0-doc                  	       0        2        0        0        2
26522 keybinder-doc                      	       0        1        0        0        1
26523 keyboard-configuration             	       0     4108        0        0     4108
26524 keyboards-rg                       	       0        1        1        0        0
26525 keyboxd                            	       0        1        1        0        0
26526 keydb                              	       0        1        0        0        1
26527 keydb-server                       	       0        1        1        0        0
26528 keydb-tools                        	       0        1        1        0        0
26529 keygen2keylog                      	       0        1        1        0        0
26530 keylaunch                          	       0        3        3        0        0
26531 keymapper                          	       0        5        5        0        0
26532 keynav                             	       0        3        3        0        0
26533 keyringer                          	       0        4        4        0        0
26534 keystone                           	       0        1        1        0        0
26535 keysync                            	       0        1        1        0        0
26536 keytouch-data                      	       0        1        0        0        1
26537 keytouch-editor                    	       0        2        2        0        0
26538 kf5-kdepim-apps-libs-data          	       0      105        0        0      105
26539 kf5-kdepimlibs-kio-plugins         	       0        9        0        0        9
26540 kf6-breeze-icon-theme              	       0       57        0        0       57
26541 kf6-breeze-icon-theme-rcc          	       0        2        0        0        2
26542 kfax-trinity                       	       0       23       21        2        0
26543 kfaxview                           	       0        1        1        0        0
26544 kfaxview-trinity                   	       0       23       21        2        0
26545 kfilereplace                       	       0        2        2        0        0
26546 kfloppy                            	       0        5        5        0        0
26547 kfloppy-trinity                    	       0       24       22        2        0
26548 kformula-trinity                   	       0        3        3        0        0
26549 kfouleggs                          	       0        1        1        0        0
26550 kfouleggs-trinity                  	       0       23        0        0       23
26551 kfourinline                        	       0       58       57        1        0
26552 kfreebsd-source-10.3               	       0        1        0        0        1
26553 kftpgrabber-trinity                	       0        1        1        0        0
26554 kgames                             	       0        9        9        0        0
26555 kgamma                             	       0       28        0        0       28
26556 kgamma5                            	       0      517        1        0      516
26557 kgendesignerplugin                 	       0        8        0        0        8
26558 kgeography-data                    	       0       56        0        0       56
26559 kgeography-data-trinity            	       0       24        0        0       24
26560 kgeography-trinity                 	       0       24       22        2        0
26561 kgeotag                            	       0        2        1        1        0
26562 kget-doc                           	       0        9        0        0        9
26563 kget-trinity                       	       0       27       25        2        0
26564 kghostview                         	       0        1        1        0        0
26565 kgoldrunner                        	       0       55       54        1        0
26566 kgoldrunner-trinity                	       0       23        0        0       23
26567 kgpg-trinity                       	       0       26       24        2        0
26568 kgrapheditor                       	       0        1        1        0        0
26569 khal                               	       0       20       19        1        0
26570 khal-doc                           	       0        3        0        0        3
26571 khangman                           	       0       46       44        2        0
26572 khangman-trinity                   	       0       24       22        2        0
26573 khard                              	       0       12       11        1        0
26574 khelpcenter-data                   	       0       25        0        0       25
26575 khelpcenter4                       	       0        5        2        0        3
26576 khexedit-trinity                   	       0       27       24        3        0
26577 khmer                              	       0        1        1        0        0
26578 khmer-common                       	       0        2        0        0        2
26579 khmerconverter                     	       0        2        2        0        0
26580 khronos-api                        	       0        6        5        1        0
26581 kiauto                             	       0        1        1        0        0
26582 kibot                              	       0        1        1        0        0
26583 kicad-common                       	       0       10        0        0       10
26584 kicad-demos                        	       0      108        0        0      108
26585 kicad-doc-ca                       	       0        1        0        0        1
26586 kicad-doc-de                       	       0       17        0        0       17
26587 kicad-doc-en                       	       0       41        0        0       41
26588 kicad-doc-es                       	       0        7        0        0        7
26589 kicad-doc-fr                       	       0        5        0        0        5
26590 kicad-doc-id                       	       0        2        0        0        2
26591 kicad-doc-it                       	       0        3        0        0        3
26592 kicad-doc-ja                       	       0        1        0        0        1
26593 kicad-doc-nl                       	       0        2        0        0        2
26594 kicad-doc-pl                       	       0        1        0        0        1
26595 kicad-doc-ru                       	       0        8        0        0        8
26596 kicad-doc-zh                       	       0        4        0        0        4
26597 kicad-footprints                   	       0      117        0        0      117
26598 kicad-libraries                    	       0      114        0        0      114
26599 kicad-packages3d                   	       0       49        0        0       49
26600 kicad-symbols                      	       0      117        0        0      117
26601 kicad-templates                    	       0      115        0        0      115
26602 kickpass                           	       0        1        1        0        0
26603 kid3-cli                           	       0        9        8        1        0
26604 kid3-qt                            	       0       22       20        2        0
26605 kig                                	       0       50       48        2        0
26606 kigo                               	       0       60       59        1        0
26607 kiki                               	       0        1        1        0        0
26608 kiki-the-nano-bot                  	       0        3        3        0        0
26609 kiki-the-nano-bot-data             	       0        3        0        0        3
26610 kildclient                         	       0        4        4        0        0
26611 kildclient-doc                     	       0        2        0        0        2
26612 kile-doc                           	       0        5        0        0        5
26613 kile-i18n-de                       	       0        1        0        0        1
26614 kile-l10n                          	       0        6        0        0        6
26615 kile-trinity                       	       0        2        2        0        0
26616 kile-trinity-i18n-pl               	       0        1        0        0        1
26617 killbots                           	       0       57       56        1        0
26618 killswitch                         	       0        1        1        0        0
26619 kilo                               	       0        1        1        0        0
26620 kima-trinity                       	       0        1        1        0        0
26621 kimagemapeditor                    	       0       41       40        1        0
26622 kimagemapeditor-trinity            	       0       24       22        2        0
26623 kimwitu                            	       0        1        1        0        0
26624 kimwitu-doc                        	       0        1        0        0        1
26625 kindleclip                         	       0        2        2        0        0
26626 kindlecomicconverter               	       0        1        1        0        0
26627 kindletool                         	       0        1        1        0        0
26628 kineticstools                      	       0        1        1        0        0
26629 kineticstools-data                 	       0        1        0        0        1
26630 king                               	       0        2        2        0        0
26631 king-probe                         	       0        1        1        0        0
26632 kinit-dev                          	       0        9        0        0        9
26633 kinput2-canna                      	       0        2        2        0        0
26634 kinput2-common                     	       0        2        0        0        2
26635 kio-admin                          	       0        8        0        0        8
26636 kio-apt                            	       0        1        1        0        0
26637 kio-audiocd                        	       0       84        4        0       80
26638 kio-audiocd-dev                    	       0        4        3        1        0
26639 kio-dev                            	       0        1        0        0        1
26640 kio-ftps                           	       0        1        1        0        0
26641 kio-fuse                           	       0       42       36        6        0
26642 kio-gopher                         	       0        4        1        0        3
26643 kio-ldap                           	       0      488        0        0      488
26644 kio-locate                         	       0        1        1        0        0
26645 kio-mtp                            	       0        2        2        0        0
26646 kio-perldoc                        	       0       39        0        0       39
26647 kio-sieve                          	       0        2        0        0        2
26648 kipi-plugins                       	       0        9        4        0        5
26649 kipi-plugins-common                	       0       15        0        0       15
26650 kirigami-addons-data               	       0       74        0        0       74
26651 kirigami-addons-dev                	       0        1        0        0        1
26652 kirigami-addons5-data              	       0       22        0        0       22
26653 kismet-adsb-icao-data              	       0        1        0        0        1
26654 kismet-capture-linux-bluetooth     	       0        1        1        0        0
26655 kismet-capture-linux-wifi          	       0        1        1        0        0
26656 kismet-capture-nrf-51822           	       0        1        1        0        0
26657 kismet-capture-nrf-52840           	       0        1        1        0        0
26658 kismet-capture-nrf-mousejack       	       0        1        1        0        0
26659 kismet-capture-nxp-kw41z           	       0        1        1        0        0
26660 kismet-capture-rz-killerbee        	       0        1        1        0        0
26661 kismet-capture-ti-cc-2531          	       0        1        1        0        0
26662 kismet-capture-ti-cc-2540          	       0        1        1        0        0
26663 kismet-capture-ubertooth-one       	       0        1        1        0        0
26664 kismet-core                        	       0        1        1        0        0
26665 kismet-logtools                    	       0        1        1        0        0
26666 kismet-plugins                     	       0        6        6        0        0
26667 kiso                               	       0        1        1        0        0
26668 kissfft-tools                      	       0        1        1        0        0
26669 kitchensync-trinity                	       0        8        8        0        0
26670 kiten-trinity                      	       0       24       22        2        0
26671 kitty-doc                          	       0       59        0        0       59
26672 kitty-terminfo                     	       0       69        0        0       69
26673 kitware-archive-keyring            	       0        1        0        0        1
26674 kivio-data                         	       0        1        0        0        1
26675 kivio-data-trinity                 	       0        4        0        0        4
26676 kivio-trinity                      	       0        4        4        0        0
26677 kiwi                               	       0        2        2        0        0
26678 kiwi-systemdeps                    	       0        1        0        0        1
26679 kiwi-systemdeps-bootloaders        	       0        1        0        0        1
26680 kiwi-systemdeps-containers         	       0        1        0        0        1
26681 kiwi-systemdeps-core               	       0        1        0        0        1
26682 kiwi-systemdeps-disk-images        	       0        1        0        0        1
26683 kiwi-systemdeps-filesystems        	       0        1        0        0        1
26684 kiwi-systemdeps-iso-media          	       0        1        0        0        1
26685 kiwix-lib-git                      	       0        1        1        0        0
26686 kiwix-tools                        	       0        4        4        0        0
26687 kjots                              	       0        3        3        0        0
26688 kjots-trinity                      	       0       23       21        2        0
26689 kjumpingcube                       	       0       55       54        1        0
26690 kjumpingcube-trinity               	       0       23        0        0       23
26691 klangfalter-lv2                    	       0        1        1        0        0
26692 klatexformula                      	       0        5        5        0        0
26693 klatin                             	       0        1        1        0        0
26694 klatin-trinity                     	       0       24       22        2        0
26695 klaus                              	       0        1        0        0        1
26696 klayout                            	       0        3        3        0        0
26697 kleopatra-trinity                  	       0       26       24        2        0
26698 klettres-data                      	       0       49        0        0       49
26699 klettres-data-trinity              	       0       24        0        0       24
26700 klettres-trinity                   	       0       24       22        2        0
26701 klick                              	       0        8        8        0        0
26702 klickety-trinity                   	       0       23        0        0       23
26703 klines                             	       0       57       56        1        0
26704 klines-trinity                     	       0       23        0        0       23
26705 klinkstatus                        	       0        2        2        0        0
26706 klinkstatus-trinity                	       0       25       23        2        0
26707 klipper                            	       0        3        3        0        0
26708 kluppe                             	       0        2        2        0        0
26709 klystrack                          	       0        4        4        0        0
26710 kma                                	       0        2        2        0        0
26711 kmag-trinity                       	       0       25       23        2        0
26712 kmahjongg-trinity                  	       0       23        0        0       23
26713 kmailcvt-trinity                   	       0       24       22        2        0
26714 kmailtransport-plugins             	       0        9        0        0        9
26715 kmc                                	       0        1        1        0        0
26716 kmer                               	       0        1        0        0        1
26717 kmer-examples                      	       0        1        0        0        1
26718 kmetronome                         	       0       14       14        0        0
26719 kmfl-keyboard-ipa                  	       0        1        0        0        1
26720 kmilo-legacy-trinity               	       0        1        1        0        0
26721 kmines-trinity                     	       0       23        0        0       23
26722 kmldonkey                          	       0        1        1        0        0
26723 kmobiletools                       	       0        1        1        0        0
26724 kmod-build-deps                    	       0        1        0        0        1
26725 kmod-dbgsym                        	       0        1        1        0        0
26726 kmod-zfs-5.10.0-18-amd64           	       0        1        1        0        0
26727 kmod-zfs-devel-5.10.0-15-amd64     	       0        1        0        0        1
26728 kmon                               	       0        4        3        1        0
26729 kmoon-trinity                      	       0       24       22        2        0
26730 kmousetool-trinity                 	       0       23       21        2        0
26731 kmouth-trinity                     	       0       23       21        2        0
26732 kmplayer                           	       0        7        7        0        0
26733 kmplayer-base-trinity              	       0        2        2        0        0
26734 kmplayer-trinity                   	       0        2        2        0        0
26735 kmplot-trinity                     	       0       24       22        2        0
26736 kmrml-trinity                      	       0        9        9        0        0
26737 kmscon                             	       0        1        1        0        0
26738 kmscube                            	       0        4        4        0        0
26739 kmtrace                            	       0        1        1        0        0
26740 kmtrace-trinity                    	       0        1        1        0        0
26741 kmymoney                           	       0        9        9        0        0
26742 kmymoney-common                    	       0        9        0        0        9
26743 kmymoney2-common-trinity           	       0        1        0        0        1
26744 kmymoney2-trinity                  	       0        1        1        0        0
26745 knavalbattle                       	       0       56       55        1        0
26746 knemo-trinity                      	       0        3        3        0        0
26747 knetload-trinity                   	       0        1        1        0        0
26748 knetwalk                           	       0       59       58        1        0
26749 knetwalk-trinity                   	       0       23        0        0       23
26750 knetworkconf                       	       0        1        1        0        0
26751 knews                              	       0        8        8        0        0
26752 knewsticker-scripts-trinity        	       0        1        0        0        1
26753 knewsticker-trinity                	       0       24       22        2        0
26754 knewstuff-dialog                   	       0        3        2        1        0
26755 knmap-trinity                      	       0        1        1        0        0
26756 knnimdenoiser                      	       0        1        1        0        0
26757 knocker                            	       0        1        1        0        0
26758 knode                              	       0        1        1        0        0
26759 knot-dnssecutils                   	       0        1        1        0        0
26760 knot-dnsutils                      	       0       10       10        0        0
26761 knot-doc                           	       0        4        0        0        4
26762 knot-host                          	       0        2        2        0        0
26763 knot-resolver-module-http          	       0        5        5        0        0
26764 knutclient-trinity                 	       0        1        1        0        0
26765 knxd                               	       0        1        1        0        0
26766 knxd-tools                         	       0        1        1        0        0
26767 ko.tex-extra-hlfont                	       0        4        0        0        4
26768 kobodeluxe                         	       0       13       13        0        0
26769 kobodeluxe-data                    	       0       13       13        0        0
26770 kodi-addons-dev                    	       0        6        5        1        0
26771 kodi-addons-dev-common             	       0        5        4        1        0
26772 kodi-audiodecoder-fluidsynth       	       0        4        0        0        4
26773 kodi-audiodecoder-modplug          	       0        1        0        0        1
26774 kodi-audiodecoder-openmpt          	       0        4        0        0        4
26775 kodi-audiodecoder-sidplay          	       0        4        0        0        4
26776 kodi-audioencoder-flac             	       0        7        0        0        7
26777 kodi-audioencoder-lame             	       0        8        0        0        8
26778 kodi-audioencoder-vorbis           	       0        8        0        0        8
26779 kodi-audioencoder-wav              	       0        8        0        0        8
26780 kodi-eventclients-common           	       0        8        2        0        6
26781 kodi-eventclients-dev              	       0        1        0        0        1
26782 kodi-eventclients-dev-common       	       0        1        1        0        0
26783 kodi-eventclients-kodi-send        	       0        3        3        0        0
26784 kodi-eventclients-ps3              	       0        3        3        0        0
26785 kodi-eventclients-python           	       0        6        6        0        0
26786 kodi-eventclients-wiiremote        	       0        4        4        0        0
26787 kodi-eventclients-zeroconf         	       0        6        6        0        0
26788 kodi-game-libretro                 	       0        2        0        0        2
26789 kodi-game-libretro-bsnes-mercury-accuracy	       0        1        0        0        1
26790 kodi-game-libretro-bsnes-mercury-balanced	       0        1        0        0        1
26791 kodi-game-libretro-bsnes-mercury-performance	       0        1        0        0        1
26792 kodi-imagedecoder-heif             	       0        6        0        0        6
26793 kodi-imagedecoder-raw              	       0        5        0        0        5
26794 kodi-inputstream-adaptive          	       0       18        0        0       18
26795 kodi-inputstream-ffmpegdirect      	       0       15        0        0       15
26796 kodi-inputstream-rtmp              	       0       15        0        0       15
26797 kodi-peripheral-joystick           	       0        5        1        0        4
26798 kodi-pvr-argustv                   	       0        3        0        0        3
26799 kodi-pvr-dvblink                   	       0        3        0        0        3
26800 kodi-pvr-dvbviewer                 	       0        3        0        0        3
26801 kodi-pvr-filmon                    	       0        3        0        0        3
26802 kodi-pvr-hdhomerun                 	       0        2        0        0        2
26803 kodi-pvr-hts                       	       0        9        1        0        8
26804 kodi-pvr-iptvsimple                	       0       11        0        0       11
26805 kodi-pvr-mediaportal-tvserver      	       0        3        0        0        3
26806 kodi-pvr-mythtv                    	       0        3        0        0        3
26807 kodi-pvr-nextpvr                   	       0        2        0        0        2
26808 kodi-pvr-njoy                      	       0        2        0        0        2
26809 kodi-pvr-octonet                   	       0        2        0        0        2
26810 kodi-pvr-pctv                      	       0        2        0        0        2
26811 kodi-pvr-sledovanitv-cz            	       0        2        0        0        2
26812 kodi-pvr-stalker                   	       0        2        0        0        2
26813 kodi-pvr-teleboy                   	       0        2        0        0        2
26814 kodi-pvr-vbox                      	       0        1        0        0        1
26815 kodi-pvr-vdr-vnsi                  	       0        4        0        0        4
26816 kodi-pvr-vuplus                    	       0        2        0        0        2
26817 kodi-pvr-waipu                     	       0        2        0        0        2
26818 kodi-pvr-wmc                       	       0        2        0        0        2
26819 kodi-pvr-zattoo                    	       0        2        0        0        2
26820 kodi-repository-kodi               	       0       80        0        0       80
26821 kodi-screensaver-asteroids         	       0        5        0        0        5
26822 kodi-screensaver-biogenesis        	       0        4        0        0        4
26823 kodi-screensaver-greynetic         	       0        4        0        0        4
26824 kodi-screensaver-pingpong          	       0        4        0        0        4
26825 kodi-screensaver-pyro              	       0        5        0        0        5
26826 kodi-screensaver-shadertoy         	       0        4        0        0        4
26827 kodi-skin-confluence               	       0        1        0        0        1
26828 kodi-tools-texturepacker           	       0        1        1        0        0
26829 kodi-vfs-libarchive                	       0        2        0        0        2
26830 kodi-vfs-sftp                      	       0        4        1        0        3
26831 kodi-visualization-fishbmc         	       0        6        0        0        6
26832 kodi-visualization-pictureit       	       0        6        0        0        6
26833 kodi-visualization-shadertoy       	       0        6        0        0        6
26834 kodi-visualization-shadertoy-data  	       0        7        0        0        7
26835 kodi-visualization-spectrum        	       0       77        0        0       77
26836 kodi-visualization-waveform        	       0        6        0        0        6
26837 kodo-trinity                       	       0       23       21        2        0
26838 koffice-data-trinity               	       0        7        0        0        7
26839 koffice-i18n-cs-trinity            	       0        1        0        0        1
26840 koffice-i18n-ru                    	       0        1        0        0        1
26841 koffice-trinity                    	       0        3        0        0        3
26842 koffice-trinity-doc-html           	       0        1        0        0        1
26843 koha                               	       0        1        1        0        0
26844 koha-common                        	       0        2        2        0        0
26845 koha-deps                          	       0        1        0        0        1
26846 koha-elasticsearch                 	       0        1        0        0        1
26847 koha-l10n                          	       0        1        0        0        1
26848 koha-perldeps                      	       0        1        0        0        1
26849 kolab                              	       0        1        0        0        1
26850 kolab-cli                          	       0        1        1        0        0
26851 kolab-conf                         	       0        1        1        0        0
26852 kolab-freebusy                     	       0        1        1        0        0
26853 kolab-imap                         	       0        1        0        0        1
26854 kolab-ldap                         	       0        1        0        0        1
26855 kolab-mta                          	       0        1        0        0        1
26856 kolab-saslauthd                    	       0        1        1        0        0
26857 kolab-schema                       	       0        1        0        0        1
26858 kolab-server                       	       0        1        1        0        0
26859 kolab-syncroton                    	       0        1        1        0        0
26860 kolab-webadmin                     	       0        1        1        0        0
26861 kolab-webclient                    	       0        1        0        0        1
26862 kolab-xml                          	       0        1        1        0        0
26863 kolf                               	       0       59       57        2        0
26864 kollision                          	       0       57       56        1        0
26865 kolourpaint4                       	       0       20        1        0       19
26866 komi                               	       0        2        2        0        0
26867 kommander                          	       0        2        2        0        0
26868 kommander-trinity                  	       0       24       22        2        0
26869 kompare-trinity                    	       0       27       25        2        0
26870 kompozer                           	       0        1        1        0        0
26871 konfont                            	       0        2        0        0        2
26872 kongress                           	       0        1        1        0        0
26873 konqueror-data                     	       0       23        0        0       23
26874 konqueror-doc                      	       0       21        0        0       21
26875 konqueror-nsplugins                	       0       14       14        0        0
26876 konquest                           	       0       59       58        1        0
26877 konquest-trinity                   	       0       23        0        0       23
26878 konsolekalendar                    	       0       42       40        2        0
26879 konsolekalendar-trinity            	       0       23       21        2        0
26880 kontact-trinity                    	       0       25       23        2        0
26881 konversation                       	       0       28       28        0        0
26882 konversation-data                  	       0       28        3        0       25
26883 konversation-trinity               	       0        2        2        0        0
26884 konwert-filters                    	       0      246        0        0      246
26885 koodo-reader                       	       0        1        0        0        1
26886 kooha                              	       0        1        0        1        0
26887 kooka                              	       0        1        1        0        0
26888 kookbook                           	       0        7        7        0        0
26889 kooldock-trinity                   	       0        1        1        0        0
26890 kopano-common                      	       0        1        1        0        0
26891 kopano-monitor                     	       0        1        1        0        0
26892 kopano-python-utils                	       0        1        1        0        0
26893 kopano-server-packages             	       0        1        0        0        1
26894 kopete-otr-trinity                 	       0        1        1        0        0
26895 kopete-silc-plugin                 	       0        1        1        0        0
26896 kopia                              	       0        2        2        0        0
26897 kopia-ui                           	       0        3        0        0        3
26898 koshell-trinity                    	       0        3        3        0        0
26899 koth                               	       0        1        1        0        0
26900 kotlin                             	       0        2        2        0        0
26901 kpackage-trinity                   	       0       27       25        2        0
26902 kpart-webkit                       	       0       13       13        0        0
26903 kpart5-kompare                     	       0       65        0        0       65
26904 kpart6-kompare                     	       0        6        0        0        6
26905 kpartloader                        	       0       39       39        0        0
26906 kpartsplugin                       	       0        1        1        0        0
26907 kpat-trinity                       	       0       23        0        0       23
26908 kpatch                             	       0        1        1        0        0
26909 kpatch-build                       	       0        1        1        0        0
26910 kpatch-dkms                        	       0        1        1        0        0
26911 kpcli                              	       0       10       10        0        0
26912 kpeople-vcard                      	       0      701        1        0      700
26913 kpercentage-trinity                	       0       24       22        2        0
26914 kphotoalbum                        	       0        7        7        0        0
26915 kplato-trinity                     	       0        3        3        0        0
26916 kplayer-trinity                    	       0        1        1        0        0
26917 kpogre                             	       0        1        1        0        0
26918 kpoker-trinity                     	       0       23        0        0       23
26919 kpovmodeler-trinity                	       0       24       22        2        0
26920 kppp                               	       0        3        3        0        0
26921 kppp-trinity                       	       0       24       22        2        0
26922 kpresenter-data-trinity            	       0        3        0        0        3
26923 kpresenter-trinity                 	       0        3        3        0        0
26924 kq                                 	       0        1        1        0        0
26925 kq-data                            	       0        1        0        0        1
26926 kqemu-common                       	       0        1        0        0        1
26927 kquickcharts-dev                   	       0       10        0        0       10
26928 kquickimageeditor-dev              	       0        2        0        0        2
26929 kraft                              	       0        6        6        0        0
26930 krakend                            	       0        1        1        0        0
26931 kramdown                           	       0        3        3        0        0
26932 krank                              	       0        3        3        0        0
26933 kraptor                            	       0        4        4        0        0
26934 kraptor-data                       	       0        4        0        0        4
26935 krb5-config                        	       0       92        0        0       92
26936 krb5-doc                           	       0       21        0        0       21
26937 krb5-gss-samples                   	       0        4        4        0        0
26938 krb5-k5tls                         	       0       10        0        0       10
26939 krb5-kpropd                        	       0        4        4        0        0
26940 krb5-otp                           	       0        3        3        0        0
26941 krb5-pkinit                        	       0        6        1        0        5
26942 krb5-strength                      	       0        4        4        0        0
26943 krdc-trinity                       	       0       25       23        2        0
26944 krec-trinity                       	       0       25       23        2        0
26945 krecorder                          	       0        8        6        2        0
26946 krename-trinity                    	       0        4        4        0        0
26947 kretsim                            	       0        1        1        0        0
26948 kreversi-trinity                   	       0       23        0        0       23
26949 kristall                           	       0        7        7        0        0
26950 krita-data                         	       0      143        0        0      143
26951 krita-gemini                       	       0        1        0        0        1
26952 krita-l10n                         	       0       29        0        0       29
26953 kronometer                         	       0        5        5        0        0
26954 kross-dev                          	       0        1        1        0        0
26955 krosspython                        	       0        9        0        0        9
26956 krossruby                          	       0        2        0        0        2
26957 kruler                             	       0       72       68        4        0
26958 kruler-trinity                     	       0       26       24        2        0
26959 krunner-symbols                    	       0        1        0        0        1
26960 krusader-icons-trinity             	       0        1        0        0        1
26961 ksame                              	       0        1        0        0        1
26962 ksame-trinity                      	       0       23        0        0       23
26963 ksaneplugin                        	       0        1        1        0        0
26964 ksayit-trinity                     	       0       23       21        2        0
26965 kscd                               	       0        1        1        0        0
26966 kscd-trinity                       	       0       24       22        2        0
26967 kscreenlocker-dev                  	       0        1        1        0        0
26968 kscreensaver                       	       0        3        3        0        0
26969 kse                                	       0        1        1        0        0
26970 ksensors                           	       0        1        1        0        0
26971 ksh                                	       0       74       24        0       50
26972 kshisen-trinity                    	       0       23        0        0       23
26973 kshutdown                          	       0        7        6        1        0
26974 ksig-trinity                       	       0       24       22        2        0
26975 ksim-trinity                       	       0       23       21        2        0
26976 ksirc-trinity                      	       0       24       22        2        0
26977 ksirtet-trinity                    	       0       23        0        0       23
26978 ksmbd-tools                        	       0        1        1        0        0
26979 ksmiletris-trinity                 	       0       23        0        0       23
26980 ksmtuned                           	       0        9        9        0        0
26981 ksnake-trinity                     	       0       24        0        0       24
26982 ksnakeduel                         	       0       57       56        1        0
26983 ksnapshot                          	       0        9        2        0        7
26984 ksniffer                           	       0        1        1        0        0
26985 ksokoban                           	       0        1        1        0        0
26986 ksokoban-trinity                   	       0       23        0        0       23
26987 kspaceduel                         	       0       56       55        1        0
26988 kspaceduel-trinity                 	       0       23        0        0       23
26989 ksplash-engine-moodin-trinity      	       0        1        1        0        0
26990 ksplash-theme-bespin               	       0        1        0        0        1
26991 kspread-trinity                    	       0        4        4        0        0
26992 kspy-trinity                       	       0        1        1        0        0
26993 ksquares                           	       0       57       56        1        0
26994 ksquirrel-trinity                  	       0        2        2        0        0
26995 kst                                	       0        3        3        0        0
26996 kst-doc                            	       0        3        0        0        3
26997 kstars-data                        	       0       34        0        0       34
26998 kstars-data-extra-tycho2           	       0        3        0        0        3
26999 kstars-data-trinity                	       0       24        0        0       24
27000 kstars-trinity                     	       0       24       22        2        0
27001 kstreamripper-trinity              	       0        1        1        0        0
27002 ksvg                               	       0        1        1        0        0
27003 ksvg-trinity                       	       0       27       25        2        0
27004 ksysguard-data                     	       0      108        5        0      103
27005 ksystemlog                         	       0       53       53        0        0
27006 ksystemlog-trinity                 	       0        1        1        0        0
27007 ksysv                              	       0        1        1        0        0
27008 ksysv-trinity                      	       0       26       24        2        0
27009 ktalkd-trinity                     	       0       24       22        2        0
27010 ktechlab                           	       0        8        7        1        0
27011 kterm                              	       0        2        2        0        0
27012 ktexteditor-katepart               	       0      672        3        1      668
27013 kthesaurus-trinity                 	       0        3        3        0        0
27014 kthresher                          	       0        1        1        0        0
27015 ktikz                              	       0        4        4        0        0
27016 ktimer                             	       0       57       55        2        0
27017 ktimer-trinity                     	       0       24       22        2        0
27018 ktnef                              	       0        6        4        0        2
27019 ktnef-trinity                      	       0       24       22        2        0
27020 ktoblzcheck                        	       0        2        2        0        0
27021 ktorrent                           	       0       44       44        0        0
27022 ktorrent-data                      	       0       45        2        0       43
27023 ktorrent-trinity                   	       0        3        3        0        0
27024 ktouch-data                        	       0       63        0        0       63
27025 ktouch-trinity                     	       0       24       22        2        0
27026 ktron-trinity                      	       0       23        0        0       23
27027 kttsd                              	       0        1        0        0        1
27028 kttsd-contrib-plugins-trinity      	       0        1        1        0        0
27029 kttsd-trinity                      	       0       23       21        2        0
27030 ktuberling                         	       0       63       61        2        0
27031 ktuberling-data                    	       0       62        0        0       62
27032 ktuberling-trinity                 	       0       23        0        0       23
27033 kturtle                            	       0       45       43        2        0
27034 kturtle-trinity                    	       0       24       22        2        0
27035 ktux-trinity                       	       0       23       21        2        0
27036 kubecolor                          	       0        1        1        0        0
27037 kubectx                            	       0        2        2        0        0
27038 kubernetes-client                  	       0        6        6        0        0
27039 kubetail                           	       0        1        1        0        0
27040 kubrick                            	       0       55       54        1        0
27041 kugar-trinity                      	       0        3        3        0        0
27042 kuiviewer                          	       0       41       40        1        0
27043 kuiviewer-trinity                  	       0        1        1        0        0
27044 kunststoff                         	       0       11        0        0       11
27045 kup-client                         	       0        1        1        0        0
27046 kupfer                             	       0        1        1        0        0
27047 kuser                              	       0        2        2        0        0
27048 kuser-trinity                      	       0       28       26        2        0
27049 kuserfeedback-bin                  	       0        4        3        1        0
27050 kuserfeedback-dev                  	       0        2        2        0        0
27051 kuserfeedback-doc                  	       0      575        0        0      575
27052 kvazaar                            	       0        1        1        0        0
27053 kverbos-trinity                    	       0       24       22        2        0
27054 kview-trinity                      	       0       25       23        2        0
27055 kviewshell                         	       0        1        1        0        0
27056 kviewshell-trinity                 	       0       24       22        2        0
27057 kvirc                              	       0       13       13        0        0
27058 kvirc-data                         	       0       13        0        0       13
27059 kvirc-data-trinity                 	       0        1        1        0        0
27060 kvirc-modules                      	       0       13        0        0       13
27061 kvirc-trinity                      	       0        1        1        0        0
27062 kvmtool                            	       0        3        3        0        0
27063 kvoctrain-trinity                  	       0       24       22        2        0
27064 kvpnc                              	       0        2        2        0        0
27065 kwalify                            	       0        1        1        0        0
27066 kwaterfoxhelper                    	       0        4        4        0        0
27067 kwave                              	       0       26       24        2        0
27068 kwayland-data                      	       0     1194        0        0     1194
27069 kwayland-dev                       	       0        1        0        1        0
27070 kwayland-doc                       	       0        1        0        0        1
27071 kwayland5-data                     	       0       76        0        0       76
27072 kwayland6-data                     	       0       35        0        0       35
27073 kweather                           	       0        1        1        0        0
27074 kwin-addons                        	       0       21        0        0       21
27075 kwin-bismuth                       	       0        4        3        0        1
27076 kwin-dev                           	       0        6        5        1        0
27077 kwin-effect-xrdesktop              	       0        1        0        0        1
27078 kwin-style-qtcurve                 	       0        4        4        0        0
27079 kwin4                              	       0        1        1        0        0
27080 kword-data                         	       0        1        0        0        1
27081 kword-data-trinity                 	       0        4        0        0        4
27082 kword-trinity                      	       0        4        4        0        0
27083 kwordquiz                          	       0       47       46        1        0
27084 kwordquiz-trinity                  	       0       24       22        2        0
27085 kworldclock-trinity                	       0       24       22        2        0
27086 kwstyle                            	       0        4        4        0        0
27087 kxgencert                          	       0        1        1        0        0
27088 kxsldbg-trinity                    	       0       24       22        2        0
27089 kxstudio-default-settings          	       0        1        1        0        0
27090 kxstudio-lv2-extensions            	       0        3        3        0        0
27091 kxstudio-menu                      	       0        1        0        0        1
27092 kxstudio-meta-audio-plugins-vamp   	       0        1        0        0        1
27093 kxstudio-repos                     	       0       13        0        0       13
27094 kxterm                             	       0        1        1        0        0
27095 kylin-burner                       	       0        7        6        1        0
27096 kylin-nm                           	       0        1        1        0        0
27097 kylin-scanner                      	       0        3        3        0        0
27098 kyodialog                          	       0        1        1        0        0
27099 kytos-sphinx-theme-common          	       0        2        0        0        2
27100 kyua                               	       0        1        1        0        0
27101 la                                 	       0        1        1        0        0
27102 labelme                            	       0        2        2        0        0
27103 labjack-exodriver                  	       0        1        1        0        0
27104 labjackpython                      	       0        1        1        0        0
27105 labltk                             	       0        1        1        0        0
27106 labplot                            	       0        8        7        1        0
27107 labplot-data                       	       0        8        0        0        8
27108 labview-2023-community-exe         	       0        1        0        0        1
27109 labview-2023-exe-libs              	       0        1        0        0        1
27110 labview-2023-rte                   	       0        1        0        0        1
27111 labwc                              	       0        2        1        1        0
27112 lacme                              	       0        1        1        0        0
27113 lact                               	       0        2        2        0        0
27114 ladish                             	       0        5        5        0        0
27115 laditools                          	       0        1        1        0        0
27116 ladspalist                         	       0        6        6        0        0
27117 lagan                              	       0        3        3        0        0
27118 lam-runtime                        	       0        2        2        0        0
27119 lambdahack                         	       0        3        3        0        0
27120 lame-doc                           	       0        7        0        0        7
27121 lame-extras                        	       0        1        1        0        0
27122 laminar                            	       0        1        0        0        1
27123 laminarc                           	       0        1        1        0        0
27124 laminard                           	       0        1        1        0        0
27125 lammps-data                        	       0        1        0        0        1
27126 langford-dkms                      	       0        2        2        0        0
27127 langford-utils                     	       0        3        3        0        0
27128 language-env                       	       0        4        4        0        0
27129 lanshare                           	       0        2        2        0        0
27130 lapce                              	       0        1        1        0        0
27131 larch                              	       0        2        2        0        0
27132 largetifftools                     	       0        3        3        0        0
27133 larswm                             	       0        1        1        0        0
27134 lasagne-doc                        	       0        1        0        0        1
27135 last-align                         	       0        2        2        0        0
27136 lastpass-cli                       	       0        1        1        0        0
27137 late                               	       0        3        3        0        0
27138 late-data                          	       0        3        0        0        3
27139 latencytop                         	       0       12       12        0        0
27140 latex-beamer                       	       0        3        0        0        3
27141 latex-cjk-all                      	       0       94        0        0       94
27142 latex-cjk-chinese-arphic-bkai00mp  	       0       96        0        0       96
27143 latex-cjk-chinese-arphic-bsmi00lp  	       0       94        0        0       94
27144 latex-cjk-chinese-arphic-gbsn00lp  	       0       94        0        0       94
27145 latex-cjk-chinese-arphic-gkai00mp  	       0       94        0        0       94
27146 latex-cjk-japanese-wadalab         	       0       99        0        0       99
27147 latex-cjk-korean                   	       0       94        0        0       94
27148 latex-coffee-stains                	       0        1        0        0        1
27149 latex-fonts-arundina               	       0        2        0        0        2
27150 latex-fonts-sipa-arundina          	       0        2        0        0        2
27151 latex-fonts-thai-tlwg              	       0        2        0        0        2
27152 latex-make                         	       0        8        0        0        8
27153 latex-xcolor                       	       0        6        0        0        6
27154 latex-xft-fonts                    	       0        2        0        0        2
27155 latex209-base                      	       0        4        0        0        4
27156 latex209-src                       	       0        2        0        0        2
27157 latex2rtf-doc                      	       0        7        0        0        7
27158 latexdraw                          	       0        5        5        0        0
27159 latexila                           	       0        9        9        0        0
27160 latexila-data                      	       0        9        0        0        9
27161 launchpad-getkeys                  	       0        1        1        0        0
27162 launchpad-integration              	       0        1        0        0        1
27163 lava-common                        	       0        1        1        0        0
27164 lava-lxc-mocker                    	       0        1        1        0        0
27165 lavacli                            	       0        1        1        0        0
27166 layout                             	       0        1        1        0        0
27167 lazarus                            	       0       27        0        0       27
27168 lazarus-1.6                        	       0        1        0        0        1
27169 lazarus-2.0                        	       0        5        0        0        5
27170 lazarus-2.2                        	       0       20        0        0       20
27171 lazarus-3.0                        	       0        2        0        0        2
27172 lazarus-3.6                        	       0        2        0        0        2
27173 lazarus-3.8                        	       0        1        0        0        1
27174 lazarus-doc                        	       0        5        0        0        5
27175 lazarus-doc-1.6                    	       0        1        0        0        1
27176 lazarus-doc-2.0                    	       0        5        0        0        5
27177 lazarus-doc-2.2                    	       0       20        0        0       20
27178 lazarus-doc-3.0                    	       0        3        0        0        3
27179 lazarus-doc-3.6                    	       0        2        0        0        2
27180 lazarus-doc-3.8                    	       0        1        0        0        1
27181 lazarus-ide                        	       0       34        0        0       34
27182 lazarus-ide-1.2.4                  	       0        1        1        0        0
27183 lazarus-ide-1.6                    	       0        1        1        0        0
27184 lazarus-ide-1.8                    	       0        2        2        0        0
27185 lazarus-ide-2.0                    	       0       12       12        0        0
27186 lazarus-ide-2.2                    	       0       28       28        0        0
27187 lazarus-ide-3.0                    	       0        2        2        0        0
27188 lazarus-ide-3.6                    	       0        4        4        0        0
27189 lazarus-ide-3.8                    	       0        2        2        0        0
27190 lazarus-ide-gtk2                   	       0        5        0        0        5
27191 lazarus-ide-gtk2-1.2.4             	       0        1        1        0        0
27192 lazarus-ide-gtk2-1.6               	       0        1        1        0        0
27193 lazarus-ide-gtk2-1.8               	       0        2        2        0        0
27194 lazarus-ide-gtk2-2.0               	       0        7        7        0        0
27195 lazarus-ide-gtk2-2.2               	       0       23       23        0        0
27196 lazarus-ide-gtk2-3.0               	       0        2        2        0        0
27197 lazarus-ide-gtk2-3.6               	       0        4        4        0        0
27198 lazarus-ide-gtk2-3.8               	       0        1        1        0        0
27199 lazarus-ide-qt5                    	       0        8        0        0        8
27200 lazarus-ide-qt5-2.0                	       0        2        2        0        0
27201 lazarus-ide-qt5-2.2                	       0        5        5        0        0
27202 lazarus-ide-qt5-3.0                	       0        1        1        0        0
27203 lazarus-ide-qt5-3.8                	       0        1        1        0        0
27204 lazarus-project                    	       0        4        4        0        0
27205 lazarus-src                        	       0        4        0        0        4
27206 lazarus-src-1.2.4                  	       0        1        1        0        0
27207 lazarus-src-1.6                    	       0        1        1        0        0
27208 lazarus-src-1.8                    	       0        2        2        0        0
27209 lazarus-src-2.0                    	       0       11       10        0        1
27210 lazarus-src-2.2                    	       0       27       27        0        0
27211 lazarus-src-3.0                    	       0        2        2        0        0
27212 lazarus-src-3.6                    	       0        4        4        0        0
27213 lazarus-src-3.8                    	       0        1        1        0        0
27214 lazpaint                           	       0        1        1        0        0
27215 lazpaint-gtk2                      	       0        9        9        0        0
27216 lazpaint-qt5                       	       0        5        5        0        0
27217 lazygal                            	       0        2        2        0        0
27218 lbackup                            	       0        4        0        0        4
27219 lbackup-2pir                       	       0        1        1        0        0
27220 lbackup-config-2pir                	       0        1        1        0        0
27221 lbdb                               	       0        5        5        0        0
27222 lbreakout2-data                    	       0       20        0        0       20
27223 lbreakouthd                        	       0        2        2        0        0
27224 lbreakouthd-data                   	       0        2        0        0        2
27225 lbry                               	       0        3        0        0        3
27226 lbt                                	       0        3        3        0        0
27227 lcalc                              	       0       12       12        0        0
27228 lcap                               	       0        1        1        0        0
27229 lcarsde-app-menu                   	       0        1        1        0        0
27230 lcarsde-application-starter        	       0        1        1        0        0
27231 lcarsde-logout                     	       0        1        1        0        0
27232 lcarsde-onboard-theme              	       0        1        0        0        1
27233 lcarswm                            	       0        1        1        0        0
27234 lcdproc-extra-drivers              	       0        8        1        0        7
27235 lcl                                	       0        7        0        0        7
27236 lcl-1.6                            	       0        3        0        0        3
27237 lcl-1.8                            	       0        2        0        0        2
27238 lcl-2.0                            	       0       10        0        0       10
27239 lcl-2.2                            	       0       27        0        0       27
27240 lcl-3.0                            	       0        2        0        0        2
27241 lcl-3.6                            	       0        4        0        0        4
27242 lcl-3.8                            	       0        2        0        0        2
27243 lcl-gtk2                           	       0        3        0        0        3
27244 lcl-gtk2-1.2.4                     	       0        1        1        0        0
27245 lcl-gtk2-1.6                       	       0        3        3        0        0
27246 lcl-gtk2-1.8                       	       0        2        2        0        0
27247 lcl-gtk2-2.0                       	       0       11       11        0        0
27248 lcl-gtk2-2.2                       	       0       28       28        0        0
27249 lcl-gtk2-3.0                       	       0        2        2        0        0
27250 lcl-gtk2-3.6                       	       0        4        4        0        0
27251 lcl-gtk2-3.8                       	       0        2        2        0        0
27252 lcl-nogui                          	       0        2        0        0        2
27253 lcl-nogui-1.2.4                    	       0        1        1        0        0
27254 lcl-nogui-1.6                      	       0        3        3        0        0
27255 lcl-nogui-1.8                      	       0        2        2        0        0
27256 lcl-nogui-2.0                      	       0       11       11        0        0
27257 lcl-nogui-2.2                      	       0       29       29        0        0
27258 lcl-nogui-3.0                      	       0        2        2        0        0
27259 lcl-nogui-3.6                      	       0        4        4        0        0
27260 lcl-nogui-3.8                      	       0        2        2        0        0
27261 lcl-qt5                            	       0       10        0        0       10
27262 lcl-qt5-2.0                        	       0        3        3        0        0
27263 lcl-qt5-2.2                        	       0        8        8        0        0
27264 lcl-qt5-3.0                        	       0        1        1        0        0
27265 lcl-qt5-3.8                        	       0        1        1        0        0
27266 lcl-units                          	       0        7        0        0        7
27267 lcl-units-1.2.4                    	       0        1        1        0        0
27268 lcl-units-1.6                      	       0        3        3        0        0
27269 lcl-units-1.8                      	       0        2        2        0        0
27270 lcl-units-2.0                      	       0       11       11        0        0
27271 lcl-units-2.2                      	       0       27       27        0        0
27272 lcl-units-3.0                      	       0        2        2        0        0
27273 lcl-units-3.6                      	       0        4        4        0        0
27274 lcl-units-3.8                      	       0        2        2        0        0
27275 lcl-utils                          	       0        9        0        0        9
27276 lcl-utils-1.2.4                    	       0        1        1        0        0
27277 lcl-utils-1.6                      	       0        3        3        0        0
27278 lcl-utils-1.8                      	       0        2        2        0        0
27279 lcl-utils-2.0                      	       0       10       10        0        0
27280 lcl-utils-2.2                      	       0       27       27        0        0
27281 lcl-utils-3.0                      	       0        2        2        0        0
27282 lcl-utils-3.6                      	       0        4        4        0        0
27283 lcl-utils-3.8                      	       0        2        2        0        0
27284 lcmaps-basic-interface             	       0        1        1        0        0
27285 lcmaps-globus-interface            	       0        1        1        0        0
27286 lcmaps-openssl-interface           	       0        1        1        0        0
27287 lcomp-dkms                         	       0        2        1        0        1
27288 lcxterm                            	       0        1        1        0        0
27289 ld10k1                             	       0        5        5        0        0
27290 ldap-account-manager               	       0        3        3        0        0
27291 ldapscripts                        	       0        9        8        1        0
27292 ldapvi                             	       0        8        7        1        0
27293 ldb-tools                          	       0       17       17        0        0
27294 ldc                                	       0       13       13        0        0
27295 lde                                	       0        3        3        0        0
27296 ldm                                	       0        4        4        0        0
27297 ldm-server                         	       0        4        4        0        0
27298 ldm-themes                         	       0        1        0        0        1
27299 ldnsutils                          	       0       16       16        0        0
27300 ldp-docbook-dsssl                  	       0        1        0        0        1
27301 ldp-docbook-xsl                    	       0        1        0        0        1
27302 ldraw-mklist                       	       0        1        1        0        0
27303 ldraw-parts                        	       0        3        0        0        3
27304 ldtp                               	       0        1        1        0        0
27305 le                                 	       0        5        5        0        0
27306 leabook                            	       0        1        0        0        1
27307 leaff                              	       0        1        1        0        0
27308 leaktracer                         	       0        1        1        0        0
27309 leap-archive-keyring               	       0       10        0        0       10
27310 leave                              	       0        2        2        0        0
27311 lebiniou                           	       0        4        3        1        0
27312 lebiniou-data                      	       0        4        0        0        4
27313 lecm                               	       0        1        1        0        0
27314 ledger-wallets-udev                	       0        2        2        0        0
27315 leela                              	       0        1        1        0        0
27316 leela-zero                         	       0        4        4        0        0
27317 legacylauncher                     	       0        4        4        0        0
27318 legcord                            	       0        1        1        0        0
27319 legit                              	       0        2        2        0        0
27320 lego                               	       0        2        2        0        0
27321 leiningen                          	       0        7        7        0        0
27322 lemonbar                           	       0       20       20        0        0
27323 lenmus                             	       0        1        1        0        0
27324 lens                               	       0        1        1        0        0
27325 leptonica-progs                    	       0        3        3        0        0
27326 less-dbgsym                        	       0        1        1        0        0
27327 lesstif2                           	       0       16        0        0       16
27328 lesstif2-dev                       	       0        1        1        0        0
27329 letodms                            	       0        1        1        0        0
27330 letsencrypt                        	       0       10        0        0       10
27331 letterize                          	       0        1        1        0        0
27332 levee                              	       0        1        1        0        0
27333 leveldb-doc                        	       0        1        0        0        1
27334 lexicon                            	       0        1        1        0        0
27335 lexmark-ppd-files-lmado            	       0        1        0        0        1
27336 lfhex                              	       0        2        2        0        0
27337 lfm                                	       0        6        6        0        0
27338 lg-all                             	       0        1        0        0        1
27339 lg-base                            	       0        1        0        0        1
27340 lg-issue01to08                     	       0        1        0        0        1
27341 lg-issue09                         	       0        1        0        0        1
27342 lg-issue10                         	       0        1        0        0        1
27343 lg-issue100                        	       0        1        0        0        1
27344 lg-issue101                        	       0        1        0        0        1
27345 lg-issue102                        	       0        1        0        0        1
27346 lg-issue103                        	       0        1        0        0        1
27347 lg-issue104                        	       0        1        0        0        1
27348 lg-issue105                        	       0        1        0        0        1
27349 lg-issue106                        	       0        1        0        0        1
27350 lg-issue107                        	       0        1        0        0        1
27351 lg-issue108                        	       0        1        0        0        1
27352 lg-issue109                        	       0        1        0        0        1
27353 lg-issue11                         	       0        1        0        0        1
27354 lg-issue110                        	       0        1        0        0        1
27355 lg-issue111                        	       0        1        0        0        1
27356 lg-issue112                        	       0        1        0        0        1
27357 lg-issue113                        	       0        1        0        0        1
27358 lg-issue12                         	       0        1        0        0        1
27359 lg-issue13                         	       0        1        0        0        1
27360 lg-issue14                         	       0        1        0        0        1
27361 lg-issue15                         	       0        1        0        0        1
27362 lg-issue16                         	       0        1        0        0        1
27363 lg-issue17                         	       0        1        0        0        1
27364 lg-issue18                         	       0        1        0        0        1
27365 lg-issue19                         	       0        1        0        0        1
27366 lg-issue20                         	       0        1        0        0        1
27367 lg-issue21                         	       0        1        0        0        1
27368 lg-issue22                         	       0        1        0        0        1
27369 lg-issue23                         	       0        1        0        0        1
27370 lg-issue24                         	       0        1        0        0        1
27371 lg-issue25                         	       0        1        0        0        1
27372 lg-issue26                         	       0        1        0        0        1
27373 lg-issue27                         	       0        1        0        0        1
27374 lg-issue28                         	       0        1        0        0        1
27375 lg-issue29                         	       0        1        0        0        1
27376 lg-issue30                         	       0        1        0        0        1
27377 lg-issue31                         	       0        1        0        0        1
27378 lg-issue32                         	       0        1        0        0        1
27379 lg-issue33                         	       0        1        0        0        1
27380 lg-issue34                         	       0        1        0        0        1
27381 lg-issue35                         	       0        1        0        0        1
27382 lg-issue36                         	       0        1        0        0        1
27383 lg-issue37                         	       0        1        0        0        1
27384 lg-issue38                         	       0        1        0        0        1
27385 lg-issue39                         	       0        1        0        0        1
27386 lg-issue40                         	       0        1        0        0        1
27387 lg-issue41                         	       0        1        0        0        1
27388 lg-issue42                         	       0        1        0        0        1
27389 lg-issue43                         	       0        1        0        0        1
27390 lg-issue44                         	       0        1        0        0        1
27391 lg-issue45                         	       0        1        0        0        1
27392 lg-issue46                         	       0        1        0        0        1
27393 lg-issue47                         	       0        1        0        0        1
27394 lg-issue48                         	       0        1        0        0        1
27395 lg-issue49                         	       0        1        0        0        1
27396 lg-issue50                         	       0        1        0        0        1
27397 lg-issue51                         	       0        1        0        0        1
27398 lg-issue52                         	       0        1        0        0        1
27399 lg-issue53                         	       0        1        0        0        1
27400 lg-issue54                         	       0        1        0        0        1
27401 lg-issue55                         	       0        1        0        0        1
27402 lg-issue56                         	       0        1        0        0        1
27403 lg-issue57                         	       0        1        0        0        1
27404 lg-issue58                         	       0        1        0        0        1
27405 lg-issue59                         	       0        1        0        0        1
27406 lg-issue60                         	       0        1        0        0        1
27407 lg-issue61                         	       0        1        0        0        1
27408 lg-issue62                         	       0        1        0        0        1
27409 lg-issue63                         	       0        1        0        0        1
27410 lg-issue64                         	       0        1        0        0        1
27411 lg-issue65                         	       0        1        0        0        1
27412 lg-issue66                         	       0        1        0        0        1
27413 lg-issue67                         	       0        1        0        0        1
27414 lg-issue68                         	       0        1        0        0        1
27415 lg-issue69                         	       0        1        0        0        1
27416 lg-issue70                         	       0        1        0        0        1
27417 lg-issue71                         	       0        1        0        0        1
27418 lg-issue72                         	       0        1        0        0        1
27419 lg-issue73                         	       0        1        0        0        1
27420 lg-issue74                         	       0        1        0        0        1
27421 lg-issue75                         	       0        1        0        0        1
27422 lg-issue76                         	       0        1        0        0        1
27423 lg-issue77                         	       0        1        0        0        1
27424 lg-issue78                         	       0        1        0        0        1
27425 lg-issue79                         	       0        1        0        0        1
27426 lg-issue80                         	       0        1        0        0        1
27427 lg-issue81                         	       0        1        0        0        1
27428 lg-issue82                         	       0        1        0        0        1
27429 lg-issue83                         	       0        1        0        0        1
27430 lg-issue84                         	       0        1        0        0        1
27431 lg-issue85                         	       0        1        0        0        1
27432 lg-issue86                         	       0        1        0        0        1
27433 lg-issue87                         	       0        1        0        0        1
27434 lg-issue88                         	       0        1        0        0        1
27435 lg-issue89                         	       0        1        0        0        1
27436 lg-issue90                         	       0        1        0        0        1
27437 lg-issue91                         	       0        1        0        0        1
27438 lg-issue92                         	       0        1        0        0        1
27439 lg-issue93                         	       0        1        0        0        1
27440 lg-issue94                         	       0        1        0        0        1
27441 lg-issue95                         	       0        1        0        0        1
27442 lg-issue96                         	       0        1        0        0        1
27443 lg-issue97                         	       0        1        0        0        1
27444 lg-issue98                         	       0        1        0        0        1
27445 lg-issue99                         	       0        1        0        0        1
27446 lgc-pg                             	       0        6        6        0        0
27447 lgeneral                           	       0        6        6        0        0
27448 lgeneral-data                      	       0        6        0        0        6
27449 lgogdownloader                     	       0        6        6        0        0
27450 lhs2tex                            	       0        2        2        0        0
27451 lib++dfb-1.7-7                     	       0       13        0        0       13
27452 lib++dfb-1.7-7t64                  	       0        2        0        0        2
27453 lib-utils                          	       0        1        0        0        1
27454 lib-utils2                         	       0        3        0        0        3
27455 lib25519-dev                       	       0        1        1        0        0
27456 lib2geom-dev                       	       0        1        1        0        0
27457 lib2geom1.1.0                      	       0        3        0        0        3
27458 lib2geom1.2.0t64                   	       0       44        0        0       44
27459 lib2geom1.4.0                      	       0        3        0        0        3
27460 lib32asan1                         	       0        5        0        0        5
27461 lib32asan3                         	       0        7        0        0        7
27462 lib32asan5                         	       0       18        0        0       18
27463 lib32asan5-x32-cross               	       0        1        0        0        1
27464 lib32asan6                         	       0       90        0        0       90
27465 lib32asan6-ppc64-cross             	       0        1        0        0        1
27466 lib32asan8                         	       0      142        0        0      142
27467 lib32asan8-x32-cross               	       0        4        0        0        4
27468 lib32atomic1                       	       0      189        0        0      189
27469 lib32atomic1-dbgsym                	       0        1        1        0        0
27470 lib32atomic1-ppc64-cross           	       0        1        0        0        1
27471 lib32atomic1-x32-cross             	       0        5        0        0        5
27472 lib32bz2-1.0                       	       0        6        0        0        6
27473 lib32cilkrts5                      	       0        9        0        0        9
27474 lib32cr0                           	       0        1        0        0        1
27475 lib32gcc-10-dev                    	       0       84        0        0       84
27476 lib32gcc-10-dev-ppc64-cross        	       0        1        0        0        1
27477 lib32gcc-11-dev                    	       0        8        0        0        8
27478 lib32gcc-12-dev                    	       0      129        0        0      129
27479 lib32gcc-12-dev-x32-cross          	       0        4        0        0        4
27480 lib32gcc-13-dev                    	       0       18        0        0       18
27481 lib32gcc-14-dev                    	       0       24        0        0       24
27482 lib32gcc-4.9-dev                   	       0        5        0        0        5
27483 lib32gcc-6-dev                     	       0        7        0        0        7
27484 lib32gcc-8-dev                     	       0       10        0        0       10
27485 lib32gcc-8-dev-x32-cross           	       0        1        0        0        1
27486 lib32gcc-9-dev                     	       0        3        0        0        3
27487 lib32gcc-s1                        	       0      536        0        0      536
27488 lib32gcc-s1-dbgsym                 	       0        1        1        0        0
27489 lib32gcc-s1-ppc64-cross            	       0        1        0        0        1
27490 lib32gcc-s1-x32-cross              	       0        4        0        0        4
27491 lib32gcc1                          	       0       38        0        0       38
27492 lib32gcc1-x32-cross                	       0        1        0        0        1
27493 lib32gfortran-10-dev               	       0       13        0        0       13
27494 lib32gfortran-11-dev               	       0        2        0        0        2
27495 lib32gfortran-12-dev               	       0       15        0        0       15
27496 lib32gfortran-12-dev-x32-cross     	       0        1        0        0        1
27497 lib32gfortran-13-dev               	       0        3        0        0        3
27498 lib32gfortran-14-dev               	       0        5        0        0        5
27499 lib32gfortran-4.9-dev              	       0        1        0        0        1
27500 lib32gfortran-6-dev                	       0        1        0        0        1
27501 lib32gfortran-8-dev                	       0        1        0        0        1
27502 lib32gfortran3                     	       0        1        0        0        1
27503 lib32gfortran5                     	       0       22        0        0       22
27504 lib32gfortran5-dbgsym              	       0        1        1        0        0
27505 lib32gfortran5-x32-cross           	       0        1        0        0        1
27506 lib32go-13-dev                     	       0        1        0        0        1
27507 lib32go22                          	       0        1        0        0        1
27508 lib32gomp1                         	       0      187        0        0      187
27509 lib32gomp1-dbgsym                  	       0        1        1        0        0
27510 lib32gomp1-ppc64-cross             	       0        1        0        0        1
27511 lib32gomp1-x32-cross               	       0        5        0        0        5
27512 lib32gphobos-13-dev                	       0        1        0        0        1
27513 lib32gphobos4                      	       0        1        0        0        1
27514 lib32gphobos4-dbgsym               	       0        1        1        0        0
27515 lib32itm1                          	       0      189        0        0      189
27516 lib32itm1-dbgsym                   	       0        1        1        0        0
27517 lib32itm1-ppc64-cross              	       0        1        0        0        1
27518 lib32itm1-x32-cross                	       0        5        0        0        5
27519 lib32mpx2                          	       0       23        0        0       23
27520 lib32ncurses-dev                   	       0       21       21        0        0
27521 lib32ncurses5                      	       0       13        0        0       13
27522 lib32ncurses6                      	       0       22        0        0       22
27523 lib32ncursesw6                     	       0       21        0        0       21
27524 lib32objc-12-dev                   	       0        1        0        0        1
27525 lib32objc-13-dev                   	       0        1        0        0        1
27526 lib32objc-14-dev                   	       0        1        0        0        1
27527 lib32objc-4.9-dev                  	       0        1        0        0        1
27528 lib32objc-6-dev                    	       0        1        0        0        1
27529 lib32objc4                         	       0        5        0        0        5
27530 lib32objc4-dbgsym                  	       0        1        1        0        0
27531 lib32quadmath0                     	       0      189        0        0      189
27532 lib32quadmath0-dbgsym              	       0        1        1        0        0
27533 lib32quadmath0-x32-cross           	       0        5        0        0        5
27534 lib32readline-dev                  	       0       13       13        0        0
27535 lib32readline5                     	       0        1        0        0        1
27536 lib32readline6                     	       0        1        0        0        1
27537 lib32readline7                     	       0        2        0        0        2
27538 lib32readline8                     	       0       12        0        0       12
27539 lib32stdc++-10-dev                 	       0       34       34        0        0
27540 lib32stdc++-10-dev-ppc64-cross     	       0        1        0        0        1
27541 lib32stdc++-11-dev                 	       0        5        5        0        0
27542 lib32stdc++-12-dev                 	       0       70       68        2        0
27543 lib32stdc++-12-dev-x32-cross       	       0        1        0        0        1
27544 lib32stdc++-13-dev                 	       0        8        8        0        0
27545 lib32stdc++-4.9-dev                	       0        2        2        0        0
27546 lib32stdc++-6-dev                  	       0        1        1        0        0
27547 lib32stdc++-8-dev                  	       0        5        5        0        0
27548 lib32stdc++6                       	       0      563        1        0      562
27549 lib32stdc++6-10-dbg                	       0        1        1        0        0
27550 lib32stdc++6-12-dbg                	       0        1        1        0        0
27551 lib32stdc++6-dbgsym                	       0        1        1        0        0
27552 lib32stdc++6-ppc64-cross           	       0        1        0        0        1
27553 lib32stdc++6-x32-cross             	       0        5        0        0        5
27554 lib32tinfo-dev                     	       0        1        1        0        0
27555 lib32tinfo5                        	       0       15        0        0       15
27556 lib32tinfo6                        	       0       22        0        0       22
27557 lib32ubsan0                        	       0        9        0        0        9
27558 lib32ubsan1                        	       0      179        0        0      179
27559 lib32ubsan1-ppc64-cross            	       0        1        0        0        1
27560 lib32ubsan1-x32-cross              	       0        5        0        0        5
27561 lib32z1                            	       0       89        1        0       88
27562 lib32z1-dev                        	       0       24       24        0        0
27563 lib3ds-1-3                         	       0       32        0        0       32
27564 lib3ds-dev                         	       0        2        2        0        0
27565 lib3mf-dev                         	       0        2        2        0        0
27566 lib3mf-doc                         	       0        1        0        0        1
27567 lib3mf1t64                         	       0        8        0        0        8
27568 lib4ti2-0                          	       0        9        0        0        9
27569 lib4ti2-0t64                       	       0        2        0        0        2
27570 lib64asan3                         	       0        1        0        0        1
27571 lib64asan5                         	       0        1        0        0        1
27572 lib64asan5-i386-cross              	       0        1        0        0        1
27573 lib64asan5-x32-cross               	       0        1        0        0        1
27574 lib64asan6                         	       0        2        0        0        2
27575 lib64asan6-i386-cross              	       0        1        0        0        1
27576 lib64asan8                         	       0        1        0        0        1
27577 lib64asan8-i386-cross              	       0        3        0        0        3
27578 lib64asan8-x32-cross               	       0        4        0        0        4
27579 lib64atomic1                       	       0       14        0        0       14
27580 lib64atomic1-i386-cross            	       0        4        0        0        4
27581 lib64atomic1-mips-cross            	       0        2        0        0        2
27582 lib64atomic1-mipsel-cross          	       0        1        0        0        1
27583 lib64atomic1-x32-cross             	       0        5        0        0        5
27584 lib64cilkrts5                      	       0        1        0        0        1
27585 lib64gcc-10-dev                    	       0        2        0        0        2
27586 lib64gcc-11-dev-i386-cross         	       0        1        0        0        1
27587 lib64gcc-12-dev                    	       0        1        0        0        1
27588 lib64gcc-12-dev-i386-cross         	       0        2        0        0        2
27589 lib64gcc-12-dev-mips-cross         	       0        1        0        0        1
27590 lib64gcc-12-dev-mipsel-cross       	       0        1        0        0        1
27591 lib64gcc-12-dev-x32-cross          	       0        4        0        0        4
27592 lib64gcc-14-dev-i386-cross         	       0        1        0        0        1
27593 lib64gcc-4.7-dev                   	       0        1        0        0        1
27594 lib64gcc-6-dev                     	       0        1        0        0        1
27595 lib64gcc-8-dev-i386-cross          	       0        1        0        0        1
27596 lib64gcc-8-dev-x32-cross           	       0        1        0        0        1
27597 lib64gcc-s1                        	       0       13        0        0       13
27598 lib64gcc-s1-i386-cross             	       0        3        0        0        3
27599 lib64gcc-s1-mips-cross             	       0        1        0        0        1
27600 lib64gcc-s1-mipsel-cross           	       0        1        0        0        1
27601 lib64gcc-s1-x32-cross              	       0        4        0        0        4
27602 lib64gcc1                          	       0        3        0        0        3
27603 lib64gcc1-i386-cross               	       0        1        0        0        1
27604 lib64gcc1-x32-cross                	       0        1        0        0        1
27605 lib64gfortran-12-dev-x32-cross     	       0        1        0        0        1
27606 lib64gfortran5-x32-cross           	       0        1        0        0        1
27607 lib64go-11-dev-i386-cross          	       0        1        0        0        1
27608 lib64go19-i386-cross               	       0        1        0        0        1
27609 lib64gomp1                         	       0        4        0        0        4
27610 lib64gomp1-i386-cross              	       0        4        0        0        4
27611 lib64gomp1-mips-cross              	       0        2        0        0        2
27612 lib64gomp1-mipsel-cross            	       0        1        0        0        1
27613 lib64gomp1-x32-cross               	       0        5        0        0        5
27614 lib64itm1                          	       0        4        0        0        4
27615 lib64itm1-i386-cross               	       0        4        0        0        4
27616 lib64itm1-x32-cross                	       0        5        0        0        5
27617 lib64mpx2                          	       0        1        0        0        1
27618 lib64mpx2-i386-cross               	       0        1        0        0        1
27619 lib64ncurses-dev                   	       0        1        1        0        0
27620 lib64ncurses6                      	       0        1        0        0        1
27621 lib64ncursesw6                     	       0        1        0        0        1
27622 lib64quadmath0                     	       0        4        0        0        4
27623 lib64quadmath0-i386-cross          	       0        4        0        0        4
27624 lib64quadmath0-x32-cross           	       0        5        0        0        5
27625 lib64readline-dev                  	       0        1        1        0        0
27626 lib64readline8                     	       0        1        0        0        1
27627 lib64stdc++-12-dev-mips-cross      	       0        1        0        0        1
27628 lib64stdc++-12-dev-mipsel-cross    	       0        1        0        0        1
27629 lib64stdc++-12-dev-x32-cross       	       0        1        0        0        1
27630 lib64stdc++-6-dev                  	       0        1        1        0        0
27631 lib64stdc++6                       	       0       15        0        0       15
27632 lib64stdc++6-i386-cross            	       0        4        0        0        4
27633 lib64stdc++6-mips-cross            	       0        1        0        0        1
27634 lib64stdc++6-mipsel-cross          	       0        1        0        0        1
27635 lib64stdc++6-x32-cross             	       0        5        0        0        5
27636 lib64tinfo6                        	       0        1        0        0        1
27637 lib64ubsan0                        	       0        1        0        0        1
27638 lib64ubsan1                        	       0        2        0        0        2
27639 lib64ubsan1-i386-cross             	       0        4        0        0        4
27640 lib64ubsan1-x32-cross              	       0        5        0        0        5
27641 lib64z1                            	       0        1        0        0        1
27642 libaacplus-dev                     	       0        1        1        0        0
27643 libaacplus2                        	       0       22        0        0       22
27644 libaacs-bin                        	       0        2        2        0        0
27645 libaacs-dev                        	       0       11       11        0        0
27646 libaacs0                           	       0     3306        0        0     3306
27647 libaal-dev                         	       0        1        1        0        0
27648 libabigail-dev                     	       0        1        1        0        0
27649 libabigail0                        	       0        3        0        0        3
27650 libabiword-2.9                     	       0        4        0        0        4
27651 libabiword-3.0                     	       0       99        1        0       98
27652 libabiword-dev                     	       0        1        1        0        0
27653 libabsl-dev                        	       0        9        8        1        0
27654 libabsl20210324                    	       0       11        0        0       11
27655 libabsl20220623t64                 	       0        3        0        0        3
27656 libabw-0.0-0                       	       0        1        0        0        1
27657 libabw-dev                         	       0        1        1        0        0
27658 libabz0                            	       0        1        0        0        1
27659 libacars2                          	       0        1        0        0        1
27660 libaccess-bridge-java              	       0        4        0        0        4
27661 libaccess-bridge-java-jni          	       0        3        0        0        3
27662 libaccessors-perl                  	       0        1        1        0        0
27663 libaccinj64-11.2                   	       0        4        0        0        4
27664 libaccinj64-11.8                   	       0       15        0        0       15
27665 libaccinj64-12.2                   	       0        4        0        0        4
27666 libaccinj64-9.2                    	       0        1        0        0        1
27667 libaccounts-glib-dev               	       0        1        1        0        0
27668 libaccounts-qt-doc                 	       0        1        0        0        1
27669 libaccounts-qt5-dev                	       0        1        1        0        0
27670 libaccounts-qt6-dev                	       0        2        2        0        0
27671 libaccountsservice-dev             	       0        1        1        0        0
27672 libaccountsservice-doc             	       0        2        0        0        2
27673 libace-6.0.3                       	       0        1        0        0        1
27674 libace-6.2.8                       	       0        1        0        0        1
27675 libace-6.3.3                       	       0        1        0        0        1
27676 libace-6.4.5                       	       0        1        0        0        1
27677 libace-6.5.12                      	       0        2        0        0        2
27678 libace-7.0.8                       	       0        4        0        0        4
27679 libace-8.0.1                       	       0        1        0        0        1
27680 libace-dev                         	       0        1        1        0        0
27681 libace-doc                         	       0        1        1        0        0
27682 libace-perl                        	       0        4        4        0        0
27683 libacme-bleach-perl                	       0        2        2        0        0
27684 libacme-brainfck-perl              	       0        2        2        0        0
27685 libacme-constant-perl              	       0        2        2        0        0
27686 libacme-damn-perl                  	       0        6        0        0        6
27687 libacme-eyedrops-perl              	       0        2        2        0        0
27688 libacme-poe-knee-perl              	       0        1        1        0        0
27689 libacpi0                           	       0       23        0        0       23
27690 libacr38u                          	       0        1        1        0        0
27691 libactionlib-dev                   	       0        2        2        0        0
27692 libactionlib-msgs-dev              	       0        2        2        0        0
27693 libactionlib1d                     	       0        2        0        0        2
27694 libactivation-java                 	       0      817        0        0      817
27695 libactiverecord-ruby1.9.1          	       0        1        0        0        1
27696 libactivesupport-ruby1.9.1         	       0        1        0        0        1
27697 libad9361-0                        	       0       43        0        0       43
27698 libad9361-dev                      	       0        2        2        0        0
27699 libaddressable-ruby1.9.1           	       0        1        0        0        1
27700 libaddresses0                      	       0        2        2        0        0
27701 libaddressview0                    	       0        2        2        0        0
27702 libadduser-pluginloader-perl       	       0        1        1        0        0
27703 libadios-bin                       	       0        3        3        0        0
27704 libadios-dev                       	       0        3        3        0        0
27705 libadios-examples                  	       0        2        2        0        0
27706 libadios-openmpi-dev               	       0        2        0        0        2
27707 libadmesh1                         	       0        4        0        0        4
27708 libadms0                           	       0        3        0        0        3
27709 libadns1                           	       0       35        0        0       35
27710 libadns1-bin                       	       0        1        1        0        0
27711 libadns1-dev                       	       0        1        1        0        0
27712 libadolc-dev                       	       0        2        2        0        0
27713 libadolc2                          	       0        1        0        0        1
27714 libadolc2t64                       	       0        1        0        0        1
27715 libadplug-2.2.1-0                  	       0        5        0        0        5
27716 libadplug-2.2.1-0v5                	       0        7        0        0        7
27717 libadplug-dev                      	       0        3        3        0        0
27718 libadplug0c2a                      	       0        1        0        0        1
27719 libadwaita-1-dev                   	       0       25       23        2        0
27720 libadwaita-1-doc                   	       0        1        0        0        1
27721 libadwaita-1-examples              	       0        1        1        0        0
27722 libadwaitaqt-dev                   	       0        2        2        0        0
27723 libadwaitaqt6-1                    	       0       20        0        0       20
27724 libadwaitaqt6-dev                  	       0        3        3        0        0
27725 libadwaitaqt6priv1                 	       0       20        0        0       20
27726 libaec-dev                         	       0       90       90        0        0
27727 libaec-tools                       	       0        2        2        0        0
27728 libaether-ant-tasks-java           	       0        1        0        0        1
27729 libaether-java                     	       0        1        0        0        1
27730 libafflib-dev                      	       0        1        1        0        0
27731 libafflib0                         	       0        1        0        0        1
27732 libafflib0t64                      	       0       14        0        0       14
27733 libafflib0v5                       	       0      166        0        0      166
27734 libafs-pag-perl                    	       0        1        0        0        1
27735 libaften0                          	       0       34        0        0       34
27736 libafterburner.fx-java             	       0        1        0        0        1
27737 libafterimage0                     	       0       12        0        0       12
27738 libafterstep1                      	       0       10        0        0       10
27739 libagg-dev                         	       0        6        6        0        0
27740 libagg2                            	       0       20        0        0       20
27741 libagg2-dev                        	       0        2        2        0        0
27742 libagg2t64                         	       0        4        0        0        4
27743 libagress0                         	       0        1        0        0        1
27744 libags-audio3                      	       0        1        0        0        1
27745 libags-audio6t64                   	       0        1        0        0        1
27746 libags-gui3                        	       0        2        0        0        2
27747 libags-gui6t64                     	       0        1        0        0        1
27748 libags3                            	       0        2        0        0        2
27749 libags6t64                         	       0        1        0        0        1
27750 libahp-gt-dev                      	       0        2        2        0        0
27751 libahp-gt1                         	       0        2        0        0        2
27752 libai-decisiontree-perl            	       0        1        0        0        1
27753 libai-fann-perl                    	       0        1        0        0        1
27754 libaiksaurus-1.2-0c2a              	       0       11        0        0       11
27755 libaiksaurus-1.2-data              	       0       12        0        0       12
27756 libaiksaurus-1.2-dev               	       0        3        3        0        0
27757 libaiksaurusgtk-1.2-0c2a           	       0        6        0        0        6
27758 libaiksaurusgtk-1.2-dev            	       0        2        2        0        0
27759 libaio-dev                         	       0       49       49        0        0
27760 libairspy-dev                      	       0        2        2        0        0
27761 libairspyhf-dev                    	       0        2        2        0        0
27762 libajantv2-dev                     	       0        1        1        0        0
27763 libakai0                           	       0        8        0        0        8
27764 libakode2-mpeg                     	       0        3        0        0        3
27765 libakonadi-kabc4                   	       0       10        0        0       10
27766 libakonadi-kcal4                   	       0        6        0        0        6
27767 libakonadi-notes4                  	       0        5        0        0        5
27768 libakonadisearch-data              	       0       10        0        0       10
27769 libalac-dev                        	       0        1        1        0        0
27770 libalac0                           	       0        1        0        0        1
27771 libalberta-dev                     	       0        3        2        1        0
27772 libalberta4                        	       0        2        0        0        2
27773 libalberta5                        	       0        1        0        0        1
27774 libaldmb1                          	       0       31        0        0       31
27775 libaldmb1-dev                      	       0        2        2        0        0
27776 libaldmb1t64                       	       0        1        0        0        1
27777 libalglib-dev                      	       0        2        2        0        0
27778 libalglib3.14                      	       0        1        0        0        1
27779 libalglib3.17                      	       0        3        0        0        3
27780 libalglib3.19                      	       0       19        0        0       19
27781 libalglib4.2                       	       0        3        0        0        3
27782 libalgorithm-backoff-perl          	       0        4        4        0        0
27783 libalgorithm-checkdigits-perl      	       0        4        4        0        0
27784 libalgorithm-combinatorics-perl    	       0        7        0        0        7
27785 libalgorithm-dependency-perl       	       0        2        2        0        0
27786 libalgorithm-diff-xs-perl          	       0     2149        0        0     2149
27787 libalgorithm-hyperloglog-perl      	       0        1        0        0        1
27788 libalgorithm-lbfgs-perl            	       0        1        0        0        1
27789 libalgorithm-munkres-perl          	       0        6        6        0        0
27790 libalgorithm-naivebayes-perl       	       0        1        1        0        0
27791 libalgorithm-numerical-sample-perl 	       0        1        1        0        0
27792 libalgorithm-permute-perl          	       0        3        0        0        3
27793 libalgorithm-svm-perl              	       0        1        0        0        1
27794 libalgorithms1                     	       0        6        0        0        6
27795 libalias-perl                      	       0        1        0        0        1
27796 libalien-build-perl                	       0        1        1        0        0
27797 libalien-gnuplot-perl              	       0        1        0        0        1
27798 libalien-sdl-dev-perl              	       0        4        0        0        4
27799 libalien-wxwidgets-perl            	       0       57        0        0       57
27800 libalkimia5                        	       0        1        0        0        1
27801 libalkimia5-7                      	       0        2        0        0        2
27802 libalkimia5-8                      	       0        6        0        0        6
27803 liballeggl4.4                      	       0        1        0        0        1
27804 liballegro-acodec5-dev             	       0        6        6        0        0
27805 liballegro-acodec5.0               	       0        1        0        0        1
27806 liballegro-acodec5.2               	       0       13        0        0       13
27807 liballegro-audio5-dev              	       0        6        6        0        0
27808 liballegro-audio5.0                	       0        1        0        0        1
27809 liballegro-audio5.2                	       0       13        0        0       13
27810 liballegro-dialog5-dev             	       0        5        5        0        0
27811 liballegro-dialog5.0               	       0        1        0        0        1
27812 liballegro-dialog5.2               	       0        6        0        0        6
27813 liballegro-image5-dev              	       0        5        5        0        0
27814 liballegro-image5.0                	       0        1        0        0        1
27815 liballegro-image5.2                	       0       12        0        0       12
27816 liballegro-physfs5-dev             	       0        4        4        0        0
27817 liballegro-physfs5.0               	       0        1        0        0        1
27818 liballegro-physfs5.2               	       0        4        0        0        4
27819 liballegro-ttf5-dev                	       0        6        6        0        0
27820 liballegro-ttf5.0                  	       0        1        0        0        1
27821 liballegro-ttf5.2                  	       0       13        0        0       13
27822 liballegro-video5-dev              	       0        4        4        0        0
27823 liballegro-video5.2                	       0        5        0        0        5
27824 liballegro4-dev                    	       0       13       13        0        0
27825 liballegro4.2                      	       0        1        1        0        0
27826 liballegro4.4                      	       0       72        0        0       72
27827 liballegro4.4t64                   	       0        4        0        0        4
27828 liballegro5-dev                    	       0        7        7        0        0
27829 liballegro5.0                      	       0        1        0        0        1
27830 liballegro5.2                      	       0       14        0        0       14
27831 liballegro5.2-dbgsym               	       0        1        1        0        0
27832 liballelecount-perl                	       0        1        1        0        0
27833 libalogg1                          	       0        1        0        0        1
27834 libalpm13                          	       0        3        0        0        3
27835 libalpm13t64                       	       0        1        0        0        1
27836 libalsa-ocaml                      	       0        2        2        0        0
27837 libalsa-ocaml-dev                  	       0        2        2        0        0
27838 libalsaplayer-dev                  	       0       11       11        0        0
27839 libalsaplayer0                     	       0       30        0        0       30
27840 libalt-base-perl                   	       0        1        1        0        0
27841 libalt-perl                        	       0        1        1        0        0
27842 libalure-dev                       	       0        5        5        0        0
27843 libalure1                          	       0       41        0        0       41
27844 libalut-dev                        	       0       22       22        0        0
27845 libalut0                           	       0      102        0        0      102
27846 libalzabo-perl                     	       0        1        1        0        0
27847 libamazon-s3-perl                  	       0        1        1        0        0
27848 libamazon-sqs-simple-perl          	       0        1        1        0        0
27849 libambix-utils                     	       0        7        7        0        0
27850 libambix0                          	       0       10        0        0       10
27851 libamd-comgr-dev                   	       0        5        5        0        0
27852 libamd-comgr2                      	       0       45        0        0       45
27853 libamd2.2.0                        	       0        7        0        0        7
27854 libamd2.3.1                        	       0       26        0        0       26
27855 libamd3                            	       0      131        1        0      130
27856 libamdhip64-5                      	       0       44        0        0       44
27857 libamdhip64-dev                    	       0        5        4        1        0
27858 libamdhip64-doc                    	       0        1        0        0        1
27859 libament-index-cpp-dev             	       0        1        1        0        0
27860 libament-index-cpp0d               	       0        2        0        0        2
27861 libaml0                            	       0        5        0        0        5
27862 libaml0t64                         	       0        6        0        0        6
27863 libamrita2-ruby1.9.1               	       0        1        0        0        1
27864 libamrnb3                          	       0        1        0        0        1
27865 libamrwb3                          	       0        1        0        0        1
27866 libamtk-5-common                   	       0      355        0        0      355
27867 libanalitza7                       	       0        2        0        0        2
27868 libanalitza8                       	       0       45        0        0       45
27869 libanalitza9                       	       0        6        0        0        6
27870 libanalitzagui7                    	       0        2        0        0        2
27871 libanalitzagui8                    	       0       45        0        0       45
27872 libanalitzagui9                    	       0        6        0        0        6
27873 libanalitzaplot7                   	       0        2        0        0        2
27874 libanalitzaplot8                   	       0       44        0        0       44
27875 libanalitzaplot9                   	       0        6        0        0        6
27876 libanalitzawidgets7                	       0        2        0        0        2
27877 libanalitzawidgets8                	       0       44        0        0       44
27878 libanalitzawidgets9                	       0        6        0        0        6
27879 libancient-dev                     	       0        2        0        0        2
27880 libancient2                        	       0       14        0        0       14
27881 libandroid-23-java                 	       0       12        0        0       12
27882 libandroid-databinding-java        	       0        2        0        0        2
27883 libandroid-ddms-java               	       0        6        0        0        6
27884 libandroid-json-java               	       0       43        0        0       43
27885 libandroid-json-org-java           	       0       11        0        0       11
27886 libandroid-json-org-java-doc       	       0        1        0        0        1
27887 libandroid-layoutlib-api-java      	       0        2        0        0        2
27888 libandroid-tools-analytics-library-java	       0        2        0        0        2
27889 libandroid-tools-annotations-java  	       0        6        0        0        6
27890 libandroid-tools-common-java       	       0        6        0        0        6
27891 libandroid-tools-dvlib-java        	       0        2        0        0        2
27892 libandroid-tools-repository-java   	       0        2        0        0        2
27893 libandroid-tools-sdklib-java       	       0        2        0        0        2
27894 libandroid-uiautomator-23-java     	       0        5        0        0        5
27895 libandroidsdk-swtmenubar-java      	       0        1        0        0        1
27896 libangelscript2.35.1t64            	       0       11        0        0       11
27897 libangles-dev                      	       0        1        1        0        0
27898 libangular-maven-plugin-java       	       0        1        0        0        1
27899 libanjuta-3-0                      	       0       15        0        0       15
27900 libann-dev                         	       0        2        2        0        0
27901 libann0                            	       0     1637        0        0     1637
27902 libansilove-dev                    	       0        2        2        0        0
27903 libansilove1                       	       0        3        0        0        3
27904 libanthy0                          	       0        1        0        0        1
27905 libanthy1t64                       	       0        3        0        0        3
27906 libanthyinput0                     	       0       63        0        0       63
27907 libanthyinput0t64                  	       0        2        0        0        2
27908 libantic-dev                       	       0        1        1        0        0
27909 libantic0                          	       0       10        0        0       10
27910 libantlr-dev                       	       0        2        2        0        0
27911 libantlr-java                      	       0      150        0        0      150
27912 libantlr-java-gcj                  	       0        1        1        0        0
27913 libantlr-maven-plugin-java         	       0        2        0        0        2
27914 libantlr3-gunit-java               	       0        1        0        0        1
27915 libantlr3-runtime-java             	       0       91        0        0       91
27916 libantlr3.2-gunit-java             	       0        1        0        0        1
27917 libantlr3c-3.2-0                   	       0        1        0        0        1
27918 libantlr3c-3.4-0                   	       0       35        4        0       31
27919 libantlr3c-dev                     	       0        1        1        0        0
27920 libantlr4-runtime-dev              	       0        2        2        0        0
27921 libantlr4-runtime-java             	       0       37        0        0       37
27922 libantlr4-runtime4.9               	       0        4        1        0        3
27923 libany-moose-perl                  	       0       14       14        0        0
27924 libany-template-processdir-perl    	       0        3        3        0        0
27925 libanydata-perl                    	       0        2        2        0        0
27926 libanyevent-aggressiveidle-perl    	       0        2        2        0        0
27927 libanyevent-aio-perl               	       0        2        2        0        0
27928 libanyevent-cachedns-perl          	       0        3        3        0        0
27929 libanyevent-callback-perl          	       0        2        2        0        0
27930 libanyevent-connection-perl        	       0        1        1        0        0
27931 libanyevent-connector-perl         	       0        1        1        0        0
27932 libanyevent-dbd-pg-perl            	       0        1        1        0        0
27933 libanyevent-fcgi-perl              	       0        1        1        0        0
27934 libanyevent-feed-perl              	       0        2        2        0        0
27935 libanyevent-forkmanager-perl       	       0        1        1        0        0
27936 libanyevent-forkobject-perl        	       0        2        2        0        0
27937 libanyevent-handle-udp-perl        	       0        2        2        0        0
27938 libanyevent-http-perl              	       0        8        8        0        0
27939 libanyevent-http-scopedclient-perl 	       0        1        1        0        0
27940 libanyevent-httpd-perl             	       0        1        1        0        0
27941 libanyevent-irc-perl               	       0        6        6        0        0
27942 libanyevent-memcached-perl         	       0        1        1        0        0
27943 libanyevent-perl                   	       0      172        0        0      172
27944 libanyevent-processor-perl         	       0        1        1        0        0
27945 libanyevent-rabbitmq-perl          	       0        2        2        0        0
27946 libanyevent-redis-perl             	       0        1        1        0        0
27947 libanyevent-riperedis-perl         	       0        1        0        1        0
27948 libanyevent-serialize-perl         	       0        2        2        0        0
27949 libanyevent-termkey-perl           	       0        3        3        0        0
27950 libanyevent-tools-perl             	       0        2        2        0        0
27951 libanyevent-websocket-client-perl  	       0        1        1        0        0
27952 libanyevent-xmpp-perl              	       0        1        1        0        0
27953 libanyevent-xspromises-perl        	       0        1        0        0        1
27954 libanyevent-yubico-perl            	       0        2        2        0        0
27955 libao-common                       	       0     2890        0        0     2890
27956 libao-dev                          	       0       28       27        1        0
27957 libao-ocaml                        	       0        1        1        0        0
27958 libao-ocaml-dev                    	       0        1        1        0        0
27959 libao-pulse                        	       0        2        0        0        2
27960 libaoflagger0                      	       0        2        0        0        2
27961 libaopalliance-java                	       0      463        0        0      463
27962 libaopalliance-java-doc            	       0        1        0        0        1
27963 libaosd-text2                      	       0        5        0        0        5
27964 libaosd2                           	       0        5        0        0        5
27965 libapache-admin-config-perl        	       0        1        1        0        0
27966 libapache-asp-perl                 	       0        1        1        0        0
27967 libapache-authenhook-perl          	       0        1        0        0        1
27968 libapache-authznetldap-perl        	       0        1        1        0        0
27969 libapache-dbilogger-perl           	       0        1        1        0        0
27970 libapache-gallery-perl             	       0        1        1        0        0
27971 libapache-htgroup-perl             	       0        1        1        0        0
27972 libapache-htpasswd-perl            	       0        1        1        0        0
27973 libapache-jena-java                	       0        2        0        0        2
27974 libapache-mime4j-java              	       0        4        0        0        4
27975 libapache-mod-jk-doc               	       0        1        0        0        1
27976 libapache-mod-security             	       0        1        0        0        1
27977 libapache-opennlp-java             	       0        1        0        0        1
27978 libapache-poi-java                 	       0      328        0        0      328
27979 libapache-poi-java-doc             	       0        1        0        0        1
27980 libapache-pom-java                 	       0     1186        0        0     1186
27981 libapache-session-browseable-perl  	       0        1        1        0        0
27982 libapache-session-ldap-perl        	       0        1        1        0        0
27983 libapache-session-memcached-perl   	       0        1        1        0        0
27984 libapache-session-mongodb-perl     	       0        1        1        0        0
27985 libapache-session-perl             	       0        3        3        0        0
27986 libapache-session-sqlite3-perl     	       0        1        1        0        0
27987 libapache-session-wrapper-perl     	       0        1        1        0        0
27988 libapache-sessionx-perl            	       0        1        1        0        0
27989 libapache-singleton-perl           	       0        1        1        0        0
27990 libapache-ssllookup-perl           	       0        1        0        0        1
27991 libapache2-authcassimple-perl      	       0        1        1        0        0
27992 libapache2-authcookie-perl         	       0        1        1        0        0
27993 libapache2-mod-apparmor            	       0        2        2        0        0
27994 libapache2-mod-auth-radius         	       0        1        1        0        0
27995 libapache2-mod-authn-yubikey       	       0        1        1        0        0
27996 libapache2-mod-authnz-pam          	       0        1        1        0        0
27997 libapache2-mod-bw                  	       0        1        1        0        0
27998 libapache2-mod-encoding            	       0        3        3        0        0
27999 libapache2-mod-form                	       0        1        1        0        0
28000 libapache2-mod-gnutls              	       0        1        1        0        0
28001 libapache2-mod-log-sql             	       0        3        3        0        0
28002 libapache2-mod-log-sql-mysql       	       0        3        3        0        0
28003 libapache2-mod-log-sql-ssl         	       0        3        3        0        0
28004 libapache2-mod-mime-xattr          	       0        1        1        0        0
28005 libapache2-mod-mono                	       0        1        1        0        0
28006 libapache2-mod-perl2-dev           	       0        3        3        0        0
28007 libapache2-mod-perl2-doc           	       0        2        0        0        2
28008 libapache2-mod-php                 	       0      147        0        0      147
28009 libapache2-mod-php7.1              	       0        2        2        0        0
28010 libapache2-mod-php7.2              	       0        1        1        0        0
28011 libapache2-mod-php8.3              	       0        9        6        3        0
28012 libapache2-mod-python-doc          	       0        4        0        0        4
28013 libapache2-mod-rivet-doc           	       0        1        0        0        1
28014 libapache2-mod-xforward            	       0        1        1        0        0
28015 libapache2-modsecurity             	       0        3        0        0        3
28016 libapache2-request-perl            	       0        1        0        0        1
28017 libapache2-sitecontrol-perl        	       0        1        1        0        0
28018 libapbs3                           	       0        6        0        0        6
28019 libapertium-lex-tools1             	       0        3        0        0        3
28020 libapertium3                       	       0        4        0        0        4
28021 libapertium3-3.5-1                 	       0        1        0        0        1
28022 libapertium3-3.7-1                 	       0        2        0        0        2
28023 libaperture-0-0                    	       0        1        0        0        1
28024 libapi-gitforge-perl               	       0        1        0        1        0
28025 libapiguardian-java                	       0        7        0        0        7
28026 libapksig-java                     	       0       48        0        0       48
28027 libapm-dev                         	       0        1        1        0        0
28028 libapm1                            	       0       18        0        0       18
28029 libapol4                           	       0        4        0        0        4
28030 libapophenia2                      	       0        1        0        0        1
28031 libapp-cache-perl                  	       0        1        1        0        0
28032 libapp-cell-perl                   	       0        1        1        0        0
28033 libapp-cli-perl                    	       0        1        1        0        0
28034 libapp-cmd-plugin-prompt-perl      	       0        3        3        0        0
28035 libapp-control-perl                	       0        1        1        0        0
28036 libapp-cpants-lint-perl            	       0        1        1        0        0
28037 libapp-daemon-perl                 	       0        2        2        0        0
28038 libapp-fatpacker-perl              	       0        3        3        0        0
28039 libapp-info-perl                   	       0        1        1        0        0
28040 libapp-nopaste-perl                	       0        3        3        0        0
28041 libapp-options-perl                	       0        1        1        0        0
28042 libapp-perlrdf-command-query-perl  	       0        1        1        0        0
28043 libapp-rad-perl                    	       0        1        1        0        0
28044 libapp-repl-perl                   	       0        1        1        0        0
28045 libapp-st-perl                     	       0        1        1        0        0
28046 libapp-termcast-perl               	       0        1        1        0        0
28047 libapparmor-dev                    	       0        7        7        0        0
28048 libapparmor1-dbgsym                	       0        1        1        0        0
28049 libappconfig-std-perl              	       0        1        1        0        0
28050 libappimage0                       	       0        1        0        0        1
28051 libappimage1.0abi1                 	       0      583        0        0      583
28052 libappimage1.0abi1t64              	       0       68        0        1       67
28053 libappindicator-dev                	       0        2        2        0        0
28054 libappindicator1                   	       0       84        0        0       84
28055 libappindicator3-0.1-cil           	       0       11        0        0       11
28056 libappindicator3-0.1-cil-dev       	       0        2        2        0        0
28057 libappmenu-gtk-parser-dev-common   	       0        4        4        0        0
28058 libappmenu-gtk2-parser-dev         	       0        1        0        0        1
28059 libappmenu-gtk2-parser0            	       0       60        5        0       55
28060 libappmenu-gtk3-parser-dev         	       0        2        0        0        2
28061 libappstream-compose0              	       0        6        0        0        6
28062 libappstream-dev                   	       0        3        3        0        0
28063 libappstream1                      	       0        3        0        0        3
28064 libappstreamqt-dev                 	       0        5        5        0        0
28065 libappstreamqt5-3                  	       0       22        7        0       15
28066 libappstreamqt5-dev                	       0        1        1        0        0
28067 libapr1-dbg                        	       0        1        1        0        0
28068 libapreq2-3t64                     	       0        1        0        0        1
28069 libapriltag3                       	       0        1        0        0        1
28070 libaprutil1-dbd-mysql              	       0       12        0        0       12
28071 libaprutil1-dbd-odbc               	       0        3        0        0        3
28072 libaprutil1-dbd-pgsql              	       0        5        0        0        5
28073 libaprutil1-dbd-sqlite3            	       0     1165        1        0     1164
28074 libaprutil1-dbg                    	       0        1        1        0        0
28075 libaprutil1-dev                    	       0       41       41        0        0
28076 libapt-inst1.5                     	       0      254        0        0      254
28077 libapt-inst2.0                     	       0      927        1        0      926
28078 libapt-pkg-dev                     	       0       12       10        2        0
28079 libapt-pkg-doc                     	       0        4        0        0        4
28080 libapt-pkg-perl                    	       0     1141        0        0     1141
28081 libapt-pkg4.12                     	       0      269        0        0      269
28082 libapt-pkg5.0                      	       0      933        2        0      931
28083 libapt-pkg6.0-dbgsym               	       0        1        1        0        0
28084 libapulse1                         	       0        1        1        0        0
28085 libaqbanking-dev                   	       0        3        3        0        0
28086 libaqbanking-doc                   	       0        1        0        0        1
28087 libaqbanking34                     	       0        3        0        0        3
28088 libaqbanking34-plugins             	       0        1        1        0        0
28089 libaqbanking35                     	       0       13        0        0       13
28090 libaqbanking35-plugins             	       0       12        5        0        7
28091 libaqebics0                        	       0       12        0        0       12
28092 libaqhbci20                        	       0        1        0        0        1
28093 libaqhbci22                        	       0        3        0        0        3
28094 libaqhbci23                        	       0        5        0        0        5
28095 libaqhbci24                        	       0        7        0        0        7
28096 libaqofxconnect7                   	       0       12        0        0       12
28097 libarb                             	       0        2        2        0        0
28098 libarchive-any-create-perl         	       0        1        1        0        0
28099 libarchive-any-lite-perl           	       0        1        1        0        0
28100 libarchive-any-perl                	       0        2        2        0        0
28101 libarchive-ar-perl                 	       0        1        1        0        0
28102 libarchive-dev                     	       0      107      104        3        0
28103 libarchive-peek-perl               	       0        2        2        0        0
28104 libarchive-tar-wrapper-perl        	       0        1        1        0        0
28105 libarchive1                        	       0        2        0        0        2
28106 libarcus3                          	       0       26        0        0       26
28107 libarcus5                          	       0        2        0        0        2
28108 libares0                           	       0        1        0        0        1
28109 libargon2-0                        	       0        6        0        0        6
28110 libargs4j-java                     	       0      340        0        0      340
28111 libargtable2-0                     	       0       24        0        0       24
28112 libargtable2-docs                  	       0        4        0        0        4
28113 libaribb24-dev                     	       0       14       14        0        0
28114 libaribb25-0                       	       0       65        0        0       65
28115 libarkrpg0c2a                      	       0        1        1        0        0
28116 libarmadillo-dev                   	       0       41        0        0       41
28117 libarmadillo10                     	       0      134        2        0      132
28118 libarmadillo12                     	       0       16        1        0       15
28119 libarmadillo14                     	       0       56        0        0       56
28120 libarmadillo3                      	       0        2        0        0        2
28121 libarmadillo4                      	       0        3        0        0        3
28122 libarmadillo7                      	       0       13        0        0       13
28123 libarmadillo9                      	       0       56        0        0       56
28124 libarpack++2-dev                   	       0        2        2        0        0
28125 libarpack++2c2a                    	       0        2        0        0        2
28126 libarpack2-dev                     	       0       49       48        0        1
28127 libarpack2t64                      	       0       62        0        0       62
28128 libarray-base-perl                 	       0        1        0        0        1
28129 libarray-compare-perl              	       0        6        6        0        0
28130 libarray-diff-perl                 	       0        2        2        0        0
28131 libarray-group-perl                	       0        2        2        0        0
28132 libarray-iterator-perl             	       0        2        1        1        0
28133 libarray-printcols-perl            	       0        2        2        0        0
28134 libarray-refelem-perl              	       0        1        0        0        1
28135 libarray-unique-perl               	       0       17       17        0        0
28136 libarray-utils-perl                	       0       10       10        0        0
28137 libarrayfire-cpu3                  	       0        1        0        0        1
28138 libarrayfire-dev                   	       0        2        2        0        0
28139 libarrayfire-doc                   	       0        1        1        0        0
28140 libarrayfire-opencl-dev            	       0        1        0        0        1
28141 libarrayfire-opencl3               	       0        1        0        0        1
28142 libarrayfire-unified-dev           	       0        1        0        0        1
28143 libarrayfire-unified3              	       0        1        0        0        1
28144 libart-2.0-dev                     	       0       17       17        0        0
28145 libart2                            	       0        1        0        0        1
28146 libart2.0-cil                      	       0        6        0        0        6
28147 libart2.0-cil-dev                  	       0        1        1        0        0
28148 libarts1-trinity-dev               	       0        1        1        0        0
28149 libarts1c2a                        	       0        2        2        0        0
28150 libartsc0                          	       0        5        0        0        5
28151 libartsc0-dev                      	       0        2        2        0        0
28152 libartsc0-trinity                  	       0       42        0        0       42
28153 libartsc0-trinity-dev              	       0        1        1        0        0
28154 libasa-perl                        	       0        6        6        0        0
28155 libasan0                           	       0       64        0        0       64
28156 libasan0-dbg                       	       0        1        0        0        1
28157 libasan1                           	       0      144        0        0      144
28158 libasan1-dbg                       	       0        2        0        0        2
28159 libasan2                           	       0        7        0        0        7
28160 libasan3                           	       0      377        0        0      377
28161 libasan3-arm64-cross               	       0        2        0        0        2
28162 libasan3-armhf-cross               	       0        1        0        0        1
28163 libasan3-dbg                       	       0        1        1        0        0
28164 libasan4                           	       0        9        0        0        9
28165 libasan5                           	       0      236        0        0      236
28166 libasan5-arm64-cross               	       0        8        0        0        8
28167 libasan5-armel-cross               	       0        4        0        0        4
28168 libasan5-armhf-cross               	       0        7        0        0        7
28169 libasan5-i386-cross                	       0        4        0        0        4
28170 libasan5-x32-cross                 	       0        2        0        0        2
28171 libasan6                           	       0     1046        0        0     1046
28172 libasan6-arm64-cross               	       0       10        0        0       10
28173 libasan6-armel-cross               	       0        8        0        0        8
28174 libasan6-armhf-cross               	       0       10        0        0       10
28175 libasan6-i386-cross                	       0        4        0        0        4
28176 libasan6-powerpc-cross             	       0        1        0        0        1
28177 libasan6-ppc64-cross               	       0        2        0        0        2
28178 libasan6-s390x-cross               	       0        1        0        0        1
28179 libasan6-sparc64-cross             	       0        1        0        0        1
28180 libasan8                           	       0     1957        0        0     1957
28181 libasan8-arm64-cross               	       0       24        0        0       24
28182 libasan8-armel-cross               	       0       14        0        0       14
28183 libasan8-armhf-cross               	       0       18        0        0       18
28184 libasan8-i386-cross                	       0        6        0        0        6
28185 libasan8-powerpc-cross             	       0        4        0        0        4
28186 libasan8-ppc64-cross               	       0        4        0        0        4
28187 libasan8-ppc64el-cross             	       0        1        0        0        1
28188 libasan8-riscv64-cross             	       0        8        0        0        8
28189 libasan8-s390x-cross               	       0        3        0        0        3
28190 libasan8-sparc64-cross             	       0        2        0        0        2
28191 libasan8-x32-cross                 	       0        4        0        0        4
28192 libasedrive-serial                 	       0        1        0        0        1
28193 libasio-dev                        	       0       24        0        0       24
28194 libasio-doc                        	       0        2        0        0        2
28195 libasis2019.1                      	       0        2        0        0        2
28196 libask                             	       0        1        1        0        0
28197 libasm-java                        	       0      373        0        0      373
28198 libasm0                            	       0        1        0        0        1
28199 libasm1                            	       0       90        0        0       90
28200 libasm1t64                         	       0       11        0        0       11
28201 libasm3-java                       	       0       10        0        0       10
28202 libasm4-java                       	       0       10        0        0       10
28203 libasmtools-java                   	       0        1        0        0        1
28204 libasn1-8t64-heimdal               	       0        2        0        0        2
28205 libasound2-data                    	       0     3748        0        0     3748
28206 libasound2-doc                     	       0       17        0        0       17
28207 libasound2-plugin-equal            	       0       27        0        0       27
28208 libasound2-plugin-smixer           	       0       11        0        0       11
28209 libasound2-plugins-dbgsym          	       0        1        1        0        0
28210 libaspect-perl                     	       0        1        1        0        0
28211 libaspect0                         	       0        1        0        0        1
28212 libaspectj-java                    	       0       13        0        0       13
28213 libaspectj-maven-plugin-java       	       0        1        0        0        1
28214 libasprintf0c2                     	       0       78        0        0       78
28215 libasprintf0v5                     	       0      101        0        0      101
28216 libasr-dev                         	       0        1        1        0        0
28217 libasr0                            	       0        2        0        0        2
28218 libass-dev                         	       0       48       47        1        0
28219 libass4                            	       0       17        0        0       17
28220 libassa-3.5-5v5                    	       0        2        0        0        2
28221 libassimp-dev                      	       0        8        8        0        0
28222 libassimp3v5                       	       0        1        0        0        1
28223 libassimp4                         	       0        4        0        0        4
28224 libassimp5                         	       0       79        0        0       79
28225 libassuan-mingw-w64-dev            	       0        1        1        0        0
28226 libast2                            	       0       30        0        0       30
28227 libast2-dev                        	       0        1        1        0        0
28228 libast2t64                         	       0        2        0        0        2
28229 libastcenc-dev                     	       0        1        1        0        0
28230 libastcenc3d                       	       0        1        0        0        1
28231 libasterisk-agi-perl               	       0        1        1        0        0
28232 libastring-ocaml                   	       0        1        1        0        0
28233 libastring-ocaml-dev               	       0        1        1        0        0
28234 libastro-dev                       	       0        4        3        1        0
28235 libastro-fits-cfitsio-perl         	       0        4        0        0        4
28236 libastro-fits-header-perl          	       0        2        2        0        0
28237 libastro-perl                      	       0        1        1        0        0
28238 libastrometry-dev                  	       0        2        2        0        0
28239 libastrometry0                     	       0       23        0        0       23
28240 libastrometry0t64                  	       0        6        0        0        6
28241 libastyle-dev                      	       0        1        1        0        0
28242 libastyle3                         	       0      102        2        0      100
28243 libasync-http-client-java          	       0        3        0        0        3
28244 libasync-interrupt-perl            	       0      169        0        0      169
28245 libasync-mergepoint-perl           	       0       62       61        1        0
28246 libasyncaudio1.6                   	       0        2        0        0        2
28247 libasyncaudio1.6t64                	       0        2        0        0        2
28248 libasynccore1.6                    	       0        2        0        0        2
28249 libasynccore1.6t64                 	       0        2        0        0        2
28250 libasynccpp1.6t64                  	       0        2        0        0        2
28251 libasyncns-dev                     	       0        4        4        0        0
28252 libasyncqt1.6                      	       0        2        0        0        2
28253 libasyncqt1.6t64                   	       0        2        0        0        2
28254 libatasmart-dev                    	       0        3        3        0        0
28255 libatf-c++-2                       	       0        1        0        0        1
28256 libatf-c-1                         	       0        1        0        0        1
28257 libatf-dev                         	       0        1        1        0        0
28258 libatfs1                           	       0        3        3        0        0
28259 libatinject-jsr330-api-java        	       0      535        0        0      535
28260 libatinject-jsr330-api-java-doc    	       0        5        0        0        5
28261 libation                           	       0        2        1        1        0
28262 libatk-adaptor-data                	       0        4        0        0        4
28263 libatk-bridge2.0-dev               	       0      284      272       12        0
28264 libatk-wrapper-java-jni            	       0     1469        0        0     1469
28265 libatk1-ruby                       	       0        1        0        0        1
28266 libatk1-ruby1.8                    	       0        2        1        0        1
28267 libatk1.0-doc                      	       0       74        0        0       74
28268 libatk3.0-cil                      	       0       57        0        0       57
28269 libatkmm-1.6-dev                   	       0       48       48        0        0
28270 libatkmm-1.6-doc                   	       0        3        0        0        3
28271 libatlas-base-dev                  	       0        9        9        0        0
28272 libatlas-cpp-0.6-1                 	       0        1        0        0        1
28273 libatlas-dev                       	       0        1        1        0        0
28274 libatlas-ecmwf-0                   	       0        1        0        0        1
28275 libatlas-test                      	       0        1        0        0        1
28276 libatlas3gf-base                   	       0        2        0        0        2
28277 libatm1                            	       0      134        0        0      134
28278 libatm1-dev                        	       0        3        3        0        0
28279 libatombus-perl                    	       0        1        1        0        0
28280 libatomic-ops-dev                  	       0       28       28        0        0
28281 libatomic1-alpha-cross             	       0        3        0        0        3
28282 libatomic1-arm64-cross             	       0       37        0        0       37
28283 libatomic1-armel-cross             	       0       23        0        0       23
28284 libatomic1-armhf-cross             	       0       30        0        0       30
28285 libatomic1-hppa-cross              	       0        3        0        0        3
28286 libatomic1-i386-cross              	       0       13        0        0       13
28287 libatomic1-m68k-cross              	       0        1        0        0        1
28288 libatomic1-mips-cross              	       0        5        0        0        5
28289 libatomic1-mips64-cross            	       0        1        0        0        1
28290 libatomic1-mips64el-cross          	       0        1        0        0        1
28291 libatomic1-mips64r6el-cross        	       0        1        0        0        1
28292 libatomic1-mipsel-cross            	       0        6        0        0        6
28293 libatomic1-powerpc-cross           	       0        5        0        0        5
28294 libatomic1-ppc64-cross             	       0        6        0        0        6
28295 libatomic1-ppc64el-cross           	       0        1        0        0        1
28296 libatomic1-riscv64-cross           	       0       10        0        0       10
28297 libatomic1-s390x-cross             	       0        4        0        0        4
28298 libatomic1-sparc64-cross           	       0        3        0        0        3
28299 libatomic1-x32-cross               	       0        6        0        0        6
28300 libatomicparsley-dev               	       0        1        0        0        1
28301 libatomicparsley0                  	       0       11        0        0       11
28302 libatompub-perl                    	       0        1        1        0        0
28303 libatopology2                      	       0     2845        0        0     2845
28304 libatopology2t64                   	       0      231        0        0      231
28305 libatspi1.0-0                      	       0        3        0        0        3
28306 libatspi2.0-dev                    	       0      285      273       12        0
28307 libattean-perl                     	       0        1        1        0        0
28308 libatteanx-compatibility-trine-perl	       0        1        1        0        0
28309 libatteanx-endpoint-perl           	       0        1        1        0        0
28310 libatteanx-parser-jsonld-perl      	       0        1        1        0        0
28311 libatteanx-serializer-rdfa-perl    	       0        1        0        1        0
28312 libatteanx-store-dbi-perl          	       0        1        0        1        0
28313 libatteanx-store-ldf-perl          	       0        1        1        0        0
28314 libatteanx-store-lmdb-perl         	       0        1        0        1        0
28315 libatteanx-store-sparql-perl       	       0        1        1        0        0
28316 libattribute-storage-perl          	       0        1        0        0        1
28317 libaubio-dev                       	       0       11       11        0        0
28318 libaubio-doc                       	       0        1        0        0        1
28319 libaubio5                          	       0      101        0        0      101
28320 libaudclient-dev                   	       0        2        2        0        0
28321 libaudcore1                        	       0        2        0        0        2
28322 libaudcore2                        	       0        4        0        0        4
28323 libaudcore3                        	       0        9        0        0        9
28324 libaudcore5t64                     	       0       33        0        0       33
28325 libaudgui3                         	       0        9        0        0        9
28326 libaudgui5t64                      	       0        9        0        0        9
28327 libaudgui6                         	       0       25        0        0       25
28328 libaudio-cd-perl                   	       0       12        0        0       12
28329 libaudio-ecasound-perl             	       0        1        0        0        1
28330 libaudio-file-perl                 	       0        4        4        0        0
28331 libaudio-flac-decoder-perl         	       0        3        0        0        3
28332 libaudio-flac-header-perl          	       0       22        0        0       22
28333 libaudio-mixer-perl                	       0        2        0        0        2
28334 libaudio-moosic-perl               	       0        1        1        0        0
28335 libaudio-mpd-common-perl           	       0        5        5        0        0
28336 libaudio-mpd-perl                  	       0        5        5        0        0
28337 libaudio-musepack-perl             	       0        3        3        0        0
28338 libaudio-rpld-perl                 	       0        2        2        0        0
28339 libaudio-scan-perl                 	       0       17        0        0       17
28340 libaudio-wav-perl                  	       0        3        3        0        0
28341 libaudio-wma-perl                  	       0        2        2        0        0
28342 libaudiofile0                      	       0        9        0        0        9
28343 libaudiomask1                      	       0        1        0        0        1
28344 libaudit-common                    	       0     4178        0        0     4178
28345 libaudqt0                          	       0        8        0        0        8
28346 libaudqt2t64                       	       0        9        0        0        9
28347 libaudqt3                          	       0       25        0        0       25
28348 libaudtag2                         	       0        9        0        0        9
28349 libaudtag3t64                      	       0       33        0        0       33
28350 libaugeas-dev                      	       0        3        3        0        0
28351 libaugeas-ruby1.9.1                	       0        1        0        0        1
28352 libauparse-dev                     	       0        1        1        0        0
28353 libauth-googleauth-perl            	       0        3        3        0        0
28354 libauth-yubikey-webclient-perl     	       0        1        1        0        0
28355 libauthen-cas-client-perl          	       0        4        4        0        0
28356 libauthen-dechpwd-perl             	       0        3        0        0        3
28357 libauthen-htpasswd-perl            	       0        1        1        0        0
28358 libauthen-libwrap-perl             	       0        5        0        0        5
28359 libauthen-ntlm-perl                	       0       20       20        0        0
28360 libauthen-passphrase-perl          	       0        3        3        0        0
28361 libauthen-radius-perl              	       0        3        3        0        0
28362 libauthen-sasl-cyrus-perl          	       0        1        0        0        1
28363 libauthen-sasl-saslprep-perl       	       0        5        5        0        0
28364 libauthen-scram-perl               	       0        3        3        0        0
28365 libauthen-simple-pam-perl          	       0        2        2        0        0
28366 libauthen-simple-perl              	       0        3        3        0        0
28367 libauthen-simple-smb-perl          	       0        1        1        0        0
28368 libauthen-smb-perl                 	       0        1        0        0        1
28369 libauthen-u2f-perl                 	       0        1        1        0        0
28370 libauthen-u2f-tester-perl          	       0        1        1        0        0
28371 libautobox-core-perl               	       0        2        2        0        0
28372 libautobox-perl                    	       0        3        0        0        3
28373 libautobox-transform-perl          	       0        1        1        0        0
28374 libautocomplete-java               	       0        7        0        0        7
28375 libautomaton-java                  	       0        2        0        0        2
28376 libautotrace3                      	       0        3        0        0        3
28377 libautovivification-perl           	       0      116        0        0      116
28378 libavahi-common-data               	       0     3979        1        0     3978
28379 libavahi-compat-libdnssd-dev       	       0       19       19        0        0
28380 libavahi-core-dev                  	       0        9        9        0        0
28381 libavahi-core5                     	       0        1        0        0        1
28382 libavahi-core6                     	       0        1        0        0        1
28383 libavahi-glib-dev                  	       0       14       14        0        0
28384 libavahi-gobject0                  	       0      374        0        0      374
28385 libavahi-qt3-1                     	       0        2        0        0        2
28386 libavahi-tqt-dev                   	       0        1        1        0        0
28387 libavahi-ui-gtk3-dev               	       0        6        6        0        0
28388 libavahi-ui0                       	       0        3        0        0        3
28389 libavalon-framework-java           	       0      110        0        0      110
28390 libavalon-framework-java-doc       	       0        8        0        0        8
28391 libavc1394-dev                     	       0       21       21        0        0
28392 libavc1394-tools                   	       0        8        8        0        0
28393 libavcall1                         	       0        2        0        0        2
28394 libavcodec-5-dev                   	       0        1        1        0        0
28395 libavcodec-extra                   	       0       84        0        0       84
28396 libavcodec-extra-55                	       0        1        0        0        1
28397 libavcodec-extra-56                	       0        3        0        0        3
28398 libavcodec-extra57                 	       0       14        0        0       14
28399 libavcodec-extra58-dbgsym          	       0        1        1        0        0
28400 libavcodec-ffmpeg56                	       0        1        0        0        1
28401 libavcodec0d                       	       0        3        0        0        3
28402 libavcodec52                       	       0        7        2        0        5
28403 libavcodec53                       	       0       18        0        0       18
28404 libavcodec54                       	       0        9        0        0        9
28405 libavcodec55                       	       0        5        0        0        5
28406 libavcodec57                       	       0      325        1        0      324
28407 libavcodec58-dbgsym                	       0        1        1        0        0
28408 libavcodec61-dbgsym                	       0        1        1        0        0
28409 libavdevice-dev                    	       0       67       62        5        0
28410 libavdevice52                      	       0        2        0        0        2
28411 libavdevice53                      	       0        7        0        0        7
28412 libavdevice54                      	       0        5        0        0        5
28413 libavdevice55                      	       0       11        0        0       11
28414 libavdevice56                      	       0        4        0        0        4
28415 libavdevice57                      	       0       59        0        0       59
28416 libavdevice58-dbgsym               	       0        1        1        0        0
28417 libavdevice61-dbgsym               	       0        1        1        0        0
28418 libavfilter-dev                    	       0       80       75        5        0
28419 libavfilter-extra                  	       0        6        0        0        6
28420 libavfilter-extra10                	       0        1        0        0        1
28421 libavfilter-extra6                 	       0        2        0        0        2
28422 libavfilter-extra7                 	       0        5        0        0        5
28423 libavfilter-extra7-dbgsym          	       0        1        1        0        0
28424 libavfilter-extra8                 	       0        7        1        0        6
28425 libavfilter-extra9                 	       0        1        0        0        1
28426 libavfilter0                       	       0        1        0        0        1
28427 libavfilter1                       	       0        1        0        0        1
28428 libavfilter10-dbgsym               	       0        1        1        0        0
28429 libavfilter2                       	       0        4        0        0        4
28430 libavfilter3                       	       0        7        0        0        7
28431 libavfilter5                       	       0       11        0        0       11
28432 libavfilter6                       	       0       90        0        0       90
28433 libavfilter7-dbgsym                	       0        1        1        0        0
28434 libavformat-extra59                	       0        3        0        0        3
28435 libavformat-extra60                	       0        1        0        0        1
28436 libavformat-extra61                	       0        3        0        0        3
28437 libavformat0d                      	       0        2        0        0        2
28438 libavformat52                      	       0        7        2        0        5
28439 libavformat53                      	       0       16        0        0       16
28440 libavformat54                      	       0        7        0        0        7
28441 libavformat55                      	       0        2        0        0        2
28442 libavformat57                      	       0      140        0        0      140
28443 libavformat58-dbgsym               	       0        1        1        0        0
28444 libavformat61-dbgsym               	       0        1        1        0        0
28445 libavidemux0                       	       0        1        0        0        1
28446 libavif-bin                        	       0       12       12        0        0
28447 libavif-gdk-pixbuf                 	       0       44        0        0       44
28448 libavif13                          	       0        1        0        0        1
28449 libavif7                           	       0        1        0        0        1
28450 libavif7-gdk-pixbuf                	       0        1        0        0        1
28451 libavifile-0.7-common              	       0       21        0        0       21
28452 libavifile-0.7-dev                 	       0        2        2        0        0
28453 libavifile-0.7c2                   	       0       19        0        0       19
28454 libavkys-dev                       	       0        1        0        1        0
28455 libavkys7                          	       0        2        0        0        2
28456 libavkys8                          	       0        8        0        0        8
28457 libavkys9                          	       0       46        0        0       46
28458 libavl-dev                         	       0        1        1        0        0
28459 libavl1                            	       0        1        0        0        1
28460 libavogadro-data                   	       0       38        0        0       38
28461 libavogadro1                       	       0        1        1        0        0
28462 libavogadro2-1                     	       0       47        0        0       47
28463 libavogadro2-1t64                  	       0        6        0        0        6
28464 libavresample-dev                  	       0       18       17        1        0
28465 libavresample-ffmpeg2              	       0        5        0        0        5
28466 libavresample1                     	       0        6        0        0        6
28467 libavresample3                     	       0      132        0        0      132
28468 libavresample4-dbgsym              	       0        1        1        0        0
28469 libavro-compiler-java              	       0        1        0        0        1
28470 libavro-java                       	       0        1        0        0        1
28471 libavro-maven-plugin-java          	       0        1        0        0        1
28472 libavtp0                           	       0      217        0        0      217
28473 libavutil-5-dev                    	       0        1        1        0        0
28474 libavutil-ffmpeg54                 	       0        6        0        0        6
28475 libavutil49                        	       0        7        2        0        5
28476 libavutil50                        	       0        6        3        0        3
28477 libavutil51                        	       0       25        0        0       25
28478 libavutil52                        	       0        6        0        0        6
28479 libavutil53                        	       0        9        0        0        9
28480 libavutil55                        	       0      341        1        0      340
28481 libavutil56-dbgsym                 	       0        1        1        0        0
28482 libavutil59-dbgsym                 	       0        1        1        0        0
28483 libawl-php                         	       0        2        2        0        0
28484 libaws-bin                         	       0        1        1        0        0
28485 libaws-signature4-perl             	       0        1        1        0        0
28486 libaws20-dev                       	       0        1        1        0        0
28487 libaws6                            	       0        1        0        0        1
28488 libax25                            	       0       16        0        0       16
28489 libaxis-java                       	       0        2        0        0        2
28490 libaxmlrpc-java                    	       0        3        0        0        3
28491 libayatana-appindicator-dev        	       0        2        2        0        0
28492 libayatana-appindicator3-dev       	       0       10       10        0        0
28493 libayatana-ido3-dev                	       0        8        8        0        0
28494 libayatana-indicator-dev           	       0        2        2        0        0
28495 libayatana-indicator3-dev          	       0       11       11        0        0
28496 libayatana-indicator3-tools        	       0        1        0        0        1
28497 libb-debug-perl                    	       0        3        3        0        0
28498 libb-hooks-op-check-perl           	       0     1223        0        0     1223
28499 libb-hooks-op-ppaddr-perl          	       0        1        0        0        1
28500 libb-lint-perl                     	       0        1        1        0        0
28501 libb-perlreq-perl                  	       0        1        0        0        1
28502 libb-utils-perl                    	       0        4        0        0        4
28503 libb2-dev                          	       0        2        2        0        0
28504 libb64-dev                         	       0        6        6        0        0
28505 libbabeltrace-ctf-dev              	       0        2        0        0        2
28506 libbabeltrace-ctf1                 	       0       36        0        0       36
28507 libbabeltrace2-0                   	       0        1        0        0        1
28508 libbabeltrace2-dev                 	       0        1        0        1        0
28509 libbabeltrace2-python-plugin-provider	       0        1        0        0        1
28510 libbabl-0.0-0                      	       0        5        5        0        0
28511 libbabl-0.0-0-dev                  	       0        1        1        0        0
28512 libbabl-0.0-doc                    	       0        1        0        0        1
28513 libbabl-dev                        	       0       23       23        0        0
28514 libbackport-util-concurrent-java   	       0        5        0        0        5
28515 libbackport9-java                  	       0        3        0        0        3
28516 libbacktrace-dev                   	       0        1        1        0        0
28517 libbacktrace0                      	       0        1        0        0        1
28518 libball1.5                         	       0        1        0        0        1
28519 libball1.5-data                    	       0        1        0        0        1
28520 libballview1.5                     	       0        1        0        0        1
28521 libbaloocore4                      	       0        5        0        0        5
28522 libbaloofiles4                     	       0        5        0        0        5
28523 libbalooqueryparser4               	       0        5        0        0        5
28524 libbaloowidgets4                   	       0        5        0        0        5
28525 libbalooxapian4                    	       0        5        0        0        5
28526 libbambamc0                        	       0        2        0        0        2
28527 libbamf3-2t64                      	       0       28        7        0       21
28528 libbamf3-dev                       	       0        1        1        0        0
28529 libbarclay-java                    	       0        5        0        0        5
28530 libbarcode-code128-perl            	       0        3        3        0        0
28531 libbarcode-zbar-perl               	       0        3        0        0        3
28532 libbaresip                         	       0        1        0        0        1
28533 libbareword-filehandles-perl       	       0       24        0        0       24
28534 libbase-java                       	       0      734        0        0      734
28535 libbase-java-openoffice.org        	       0        1        0        0        1
28536 libbase1                           	       0        2        0        0        2
28537 libbase58-0                        	       0        1        0        0        1
28538 libbash                            	       0        1        1        0        0
28539 libbash-doc                        	       0        2        0        0        2
28540 libbasicobjects0                   	       0       13        7        0        6
28541 libbasicplayer-java                	       0        2        0        0        2
28542 libbasicusageenvironment1          	       0      192        0        0      192
28543 libbasicusageenvironment2          	       0       41        0        0       41
28544 libbasix-dev                       	       0        1        1        0        0
28545 libbasix0.5                        	       0        1        0        0        1
28546 libbatteries-ocaml-dev             	       0        1        1        0        0
28547 libbatteries-ocaml-doc             	       0        1        0        0        1
28548 libbcel-java                       	       0       86        0        0       86
28549 libbcel-java-doc                   	       0        7        0        0        7
28550 libbcg729-0                        	       0      339        2        0      337
28551 libbcg729-dev                      	       0        2        2        0        0
28552 libbcmail-java                     	       0      797        0        0      797
28553 libbcmail-java-doc                 	       0        1        0        0        1
28554 libbcmail-java-gcj                 	       0        1        1        0        0
28555 libbcmatroska2-5                   	       0       27        1        0       26
28556 libbcpg-java                       	       0      130        0        0      130
28557 libbcpg-java-doc                   	       0        2        0        0        2
28558 libbcpkix-java                     	       0      810        0        0      810
28559 libbcpkix-java-doc                 	       0        2        0        0        2
28560 libbcprov-java                     	       0      986        0        0      986
28561 libbcprov-java-doc                 	       0        2        0        0        2
28562 libbcprov-java-gcj                 	       0        1        1        0        0
28563 libbctls-java                      	       0        3        0        0        3
28564 libbctoolbox-dev                   	       0        4        4        0        0
28565 libbctoolbox1                      	       0       58        3        0       55
28566 libbctoolbox1t64                   	       0        1        0        0        1
28567 libbctsp-java                      	       0        5        0        0        5
28568 libbcutil-java                     	       0      512        0        0      512
28569 libbdd0c2                          	       0        2        0        0        2
28570 libbde-utils                       	       0        2        2        0        0
28571 libbde1                            	       0       16        0        0       16
28572 libbdplus-dev                      	       0        1        1        0        0
28573 libbdplus0                         	       0     3224        0        0     3224
28574 libbeam-java                       	       0        2        0        0        2
28575 libbeansbinding-java               	       0        3        0        0        3
28576 libbearssl0                        	       0        1        0        0        1
28577 libbeckon-clojure                  	       0        1        0        0        1
28578 libbeecrypt-dev                    	       0        1        1        0        0
28579 libbeecrypt6                       	       0        2        0        0        2
28580 libbeecrypt7                       	       0        1        0        0        1
28581 libbeegfs-ib                       	       0        1        0        0        1
28582 libbeidpkcs11-0                    	       0        9        6        0        3
28583 libbelcard-dev                     	       0        2        0        0        2
28584 libbelcard1                        	       0       30        3        0       27
28585 libbellesip-dev                    	       0        1        1        0        0
28586 libbellesip1                       	       0       26        3        0       23
28587 libbellesip2                       	       0        1        0        0        1
28588 libbellesip2t64                    	       0        1        0        0        1
28589 libbelr-dev                        	       0        1        1        0        0
28590 libbelr1                           	       0       30        3        0       27
28591 libbemenu-wayland                  	       0       10        0        0       10
28592 libbemenu-x11                      	       0        1        0        0        1
28593 libbemenu0                         	       0       11        0        0       11
28594 libbenchmark-dev                   	       0        6        6        0        0
28595 libbenchmark-timer-perl            	       0        4        4        0        0
28596 libbenchmark-tools                 	       0        1        0        0        1
28597 libbenchmark1                      	       0        2        0        0        2
28598 libbenchmark1.9.1                  	       0        1        0        0        1
28599 libbenchmark1debian                	       0       18        0        0       18
28600 libbencode-perl                    	       0        2        2        0        0
28601 libberylsettings-dev               	       0        1        1        0        0
28602 libberylsettings0                  	       0        1        1        0        0
28603 libbetter-appframework-java        	       0        3        0        0        3
28604 libbfb0                            	       0       17        0        0       17
28605 libbfb0t64                         	       0        2        0        0        2
28606 libbfio-dev                        	       0        2        2        0        0
28607 libbfio1                           	       0      176        0        0      176
28608 libbg1                             	       0        2        0        0        2
28609 libbg1-doc                         	       0        1        0        0        1
28610 libbg2                             	       0        3        1        0        2
28611 libbgcode-dev                      	       0        1        0        1        0
28612 libbiblesync1.1                    	       0       12        0        0       12
28613 libbiblio-endnotestyle-perl        	       0        2        2        0        0
28614 libbiblio-thesaurus-perl           	       0        2        2        0        0
28615 libbibutils1                       	       0        1        0        0        1
28616 libbibutils2                       	       0        3        0        0        3
28617 libbibutils7                       	       0        7        0        0        7
28618 libbibutils8                       	       0       10        0        0       10
28619 libbidi-clojure                    	       0        2        0        0        2
28620 libbigarray-compat-ocaml           	       0        3        2        0        1
28621 libbigarray-compat-ocaml-dev       	       0        2        2        0        0
28622 libbigwig0                         	       0        1        0        0        1
28623 libbind-config-parser-perl         	       0        1        1        0        0
28624 libbind-export-dev                 	       0        2        2        0        0
28625 libbind9-0                         	       0        3        0        0        3
28626 libbind9-140                       	       0      186        0        0      186
28627 libbind9-161                       	       0      306        0        0      306
28628 libbind9-60                        	       0       18        0        0       18
28629 libbind9-80                        	       0       21        0        0       21
28630 libbind9-90                        	       0       84        0        0       84
28631 libbindex-java                     	       0       44        0        0       44
28632 libbinio-dev                       	       0        4        4        0        0
28633 libbinio1ldbl                      	       0        6        0        0        6
28634 libbio-asn1-entrezgene-perl        	       0        3        3        0        0
28635 libbio-cluster-perl                	       0        3        3        0        0
28636 libbio-eutilities-perl             	       0        2        2        0        0
28637 libbio-featureio-perl              	       0        2        2        0        0
28638 libbio-perl-perl                   	       0        3        3        0        0
28639 libbio-perl-run-perl               	       0        3        3        0        0
28640 libbio-tools-run-alignment-clustalw-perl	       0        2        2        0        0
28641 libbio-tools-run-remoteblast-perl  	       0        2        2        0        0
28642 libbio-variation-perl              	       0        3        3        0        0
28643 libbiojava6-java                   	       0        1        0        0        1
28644 libbiosig2                         	       0        1        0        0        1
28645 libbiosig3                         	       0        2        0        0        2
28646 libbison-dev                       	       0       86        0        0       86
28647 libbit-vector-minimal-perl         	       0        2        2        0        0
28648 libbit-vector-perl                 	       0      442        0        0      442
28649 libbitcoinconsensus-dev            	       0        1        1        0        0
28650 libbitcoinconsensus0               	       0        1        0        0        1
28651 libbitmask-dev                     	       0        2        2        0        0
28652 libbitmask1                        	       0        2        0        0        2
28653 libbitstream-dev                   	       0        3        3        0        0
28654 libbitstring-ocaml                 	       0        3        3        0        0
28655 libbitstring-ocaml-dev             	       0        3        3        0        0
28656 libblacs-openmpi1                  	       0        1        0        0        1
28657 libbladerf-dev                     	       0        2        2        0        0
28658 libbladerf1                        	       0        1        1        0        0
28659 libblas-common                     	       0      156        0        0      156
28660 libblas3gf                         	       0       12        0        0       12
28661 libblas64-3                        	       0        4        0        0        4
28662 libblas64-dev                      	       0        3        3        0        0
28663 libblasr5.3.4                      	       0        1        0        0        1
28664 libblasr5.3.5                      	       0        1        0        0        1
28665 libblis3-openmp                    	       0        1        1        0        0
28666 libblis3-pthread                   	       0        1        0        0        1
28667 libblis3-serial                    	       0        1        0        0        1
28668 libbliss-dev                       	       0        1        0        0        1
28669 libbliss-dev-common                	       0        1        1        0        0
28670 libbliss2                          	       0        6        0        0        6
28671 libblitz-doc                       	       0        1        0        0        1
28672 libblitz0-dev                      	       0        1        1        0        0
28673 libblitz0v5                        	       0        2        0        0        2
28674 libblkid1-dbgsym                   	       0        2        2        0        0
28675 libblkio1                          	       0       45        0        0       45
28676 libblkmaker-0.1-6                  	       0        1        0        0        1
28677 libblockdev-btrfs2                 	       0       12        0        0       12
28678 libblockdev-btrfs3                 	       0        3        0        0        3
28679 libblockdev-dev                    	       0        2        2        0        0
28680 libblockdev-dm2                    	       0        1        0        0        1
28681 libblockdev-fs-dev                 	       0        1        1        0        0
28682 libblockdev-kbd2                   	       0        6        0        0        6
28683 libblockdev-lvm-dbus2              	       0        1        0        0        1
28684 libblockdev-lvm2                   	       0        6        0        0        6
28685 libblockdev-lvm3                   	       0        5        0        0        5
28686 libblockdev-part-dev               	       0        1        1        0        0
28687 libblocksruntime-dev               	       0        2        2        0        0
28688 libblocksruntime0                  	       0        2        0        0        2
28689 libblocksruntime1                  	       0        1        0        0        1
28690 libbloom-dev                       	       0        1        1        0        0
28691 libbloom1                          	       0        4        3        0        1
28692 libblosc-dev                       	       0       33       33        0        0
28693 libblosc2-2                        	       0        1        0        0        1
28694 libblosc2-3                        	       0        1        0        0        1
28695 libblosc2-4                        	       0        7        0        0        7
28696 libbluedevil2                      	       0        2        0        0        2
28697 libbluetooth-dev                   	       0       63       60        3        0
28698 libbluetooth2                      	       0        2        0        0        2
28699 libbluray-bdj                      	       0       34        1        0       33
28700 libbluray-bin                      	       0       26       26        0        0
28701 libbluray-dev                      	       0       40       40        0        0
28702 libbluray-doc                      	       0        9        0        0        9
28703 libbluray0                         	       0        1        0        0        1
28704 libbluray1                         	       0      167        0        0      167
28705 libbmusb-dev                       	       0        1        1        0        0
28706 libbmusb6                          	       0        1        1        0        0
28707 libbobcat3                         	       0        1        0        0        1
28708 libbobcat4                         	       0        3        0        0        3
28709 libbobcat5                         	       0        2        0        0        2
28710 libbobcat6                         	       0       11        1        0       10
28711 libbogl-dev                        	       0        4        4        0        0
28712 libbogl0                           	       0        4        0        0        4
28713 libboilerpipe-java                 	       0        1        0        0        1
28714 libboinc-app-dev                   	       0        1        1        0        0
28715 libboinc-app7                      	       0        2        0        0        2
28716 libboinc7t64                       	       0        2        1        1        0
28717 libbolt-16-dev                     	       0        1        1        0        0
28718 libbond-dev                        	       0        1        1        0        0
28719 libbondcpp-dev                     	       0        1        1        0        0
28720 libbondcpp1d                       	       0        2        0        0        2
28721 libbonobo2-0                       	       0      164        0        0      164
28722 libbonobo2-bin                     	       0        1        1        0        0
28723 libbonobo2-dev                     	       0        5        5        0        0
28724 libbonoboui2-0                     	       0       92        0        0       92
28725 libbonoboui2-common                	       0      127        0        0      127
28726 libbonoboui2-dev                   	       0        3        3        0        0
28727 libboo2.0.9-cil                    	       0        1        1        0        0
28728 libboogie-cil                      	       0        1        1        0        0
28729 libboost-all-dev                   	       0       58        0        0       58
28730 libboost-atomic-dev                	       0       66        0        0       66
28731 libboost-atomic1.55-dev            	       0        3        0        0        3
28732 libboost-atomic1.55.0              	       0        4        0        0        4
28733 libboost-atomic1.62-dev            	       0        3        0        0        3
28734 libboost-atomic1.62.0              	       0       24        0        0       24
28735 libboost-atomic1.67-dev            	       0        7        0        0        7
28736 libboost-atomic1.71-dev            	       0        1        0        0        1
28737 libboost-atomic1.71.0              	       0        1        0        0        1
28738 libboost-atomic1.71.0-dbgsym       	       0        1        1        0        0
28739 libboost-atomic1.74-dev            	       0      131        0        0      131
28740 libboost-atomic1.74.0              	       0      132        0        0      132
28741 libboost-atomic1.74.0-dbgsym       	       0        1        1        0        0
28742 libboost-atomic1.81-dev            	       0        3        0        0        3
28743 libboost-atomic1.81.0              	       0        3        0        0        3
28744 libboost-atomic1.83-dev            	       0       17        0        0       17
28745 libboost-atomic1.83.0              	       0       17        0        0       17
28746 libboost-chrono-dev                	       0       80        0        0       80
28747 libboost-chrono1.49-dev            	       0        1        1        0        0
28748 libboost-chrono1.49.0              	       0        3        0        0        3
28749 libboost-chrono1.55-dev            	       0        3        0        0        3
28750 libboost-chrono1.55.0              	       0        6        0        0        6
28751 libboost-chrono1.62-dev            	       0        3        0        0        3
28752 libboost-chrono1.67-dev            	       0        8        0        0        8
28753 libboost-chrono1.71-dev            	       0        1        0        0        1
28754 libboost-chrono1.71.0              	       0        1        0        0        1
28755 libboost-chrono1.71.0-dbgsym       	       0        1        1        0        0
28756 libboost-chrono1.74-dev            	       0      132        0        0      132
28757 libboost-chrono1.74.0-dbgsym       	       0        1        1        0        0
28758 libboost-chrono1.81-dev            	       0        2        0        0        2
28759 libboost-chrono1.81.0              	       0        2        0        0        2
28760 libboost-chrono1.83-dev            	       0       14        0        0       14
28761 libboost-chrono1.83.0              	       0        2        0        0        2
28762 libboost-chrono1.83.0t64           	       0       56        2        0       54
28763 libboost-container-dev             	       0       57        0        0       57
28764 libboost-container1.67-dev         	       0        5        0        0        5
28765 libboost-container1.67.0           	       0        7        0        0        7
28766 libboost-container1.74-dev         	       0       61        0        0       61
28767 libboost-container1.74.0           	       0       61        0        0       61
28768 libboost-container1.81-dev         	       0        2        0        0        2
28769 libboost-container1.81.0           	       0        2        0        0        2
28770 libboost-container1.83-dev         	       0        9        0        0        9
28771 libboost-container1.83.0           	       0        9        0        0        9
28772 libboost-context-dev               	       0       70        0        0       70
28773 libboost-context1.55-dev           	       0        2        0        0        2
28774 libboost-context1.55.0             	       0        3        0        0        3
28775 libboost-context1.62-dev           	       0        2        0        0        2
28776 libboost-context1.62.0             	       0       11        0        0       11
28777 libboost-context1.67-dev           	       0        5        0        0        5
28778 libboost-context1.67.0             	       0        9        0        0        9
28779 libboost-context1.74-dev           	       0       68        0        0       68
28780 libboost-context1.74.0-dbgsym      	       0        1        1        0        0
28781 libboost-context1.81-dev           	       0        2        0        0        2
28782 libboost-context1.81.0             	       0        2        0        0        2
28783 libboost-context1.83-dev           	       0        9        0        0        9
28784 libboost-contract-dev              	       0        1        0        0        1
28785 libboost-contract1.74-dev          	       0        5        0        0        5
28786 libboost-contract1.74.0            	       0        5        0        0        5
28787 libboost-coroutine-dev             	       0       67        0        0       67
28788 libboost-coroutine1.55-dev         	       0        2        0        0        2
28789 libboost-coroutine1.62-dev         	       0        2        0        0        2
28790 libboost-coroutine1.62.0           	       0        2        0        0        2
28791 libboost-coroutine1.67-dev         	       0        4        0        0        4
28792 libboost-coroutine1.67.0           	       0        7        0        0        7
28793 libboost-coroutine1.74-dev         	       0       64        0        0       64
28794 libboost-coroutine1.74.0-dbgsym    	       0        1        1        0        0
28795 libboost-coroutine1.81-dev         	       0        2        0        0        2
28796 libboost-coroutine1.81.0           	       0        2        0        0        2
28797 libboost-coroutine1.83-dev         	       0        9        0        0        9
28798 libboost-date-time-dev             	       0      106        0        0      106
28799 libboost-date-time1.33.1           	       0        1        0        0        1
28800 libboost-date-time1.34.1           	       0        1        0        0        1
28801 libboost-date-time1.42.0           	       0        2        0        0        2
28802 libboost-date-time1.49-dev         	       0        1        1        0        0
28803 libboost-date-time1.49.0           	       0        4        0        0        4
28804 libboost-date-time1.55-dev         	       0        3        0        0        3
28805 libboost-date-time1.55.0           	       0       17        0        0       17
28806 libboost-date-time1.58.0           	       0        2        0        0        2
28807 libboost-date-time1.62-dev         	       0        4        0        0        4
28808 libboost-date-time1.62.0           	       0       79        0        0       79
28809 libboost-date-time1.67-dev         	       0        8        0        0        8
28810 libboost-date-time1.67.0           	       0      178        1        0      177
28811 libboost-date-time1.71-dev         	       0        1        0        0        1
28812 libboost-date-time1.71.0           	       0        4        0        0        4
28813 libboost-date-time1.71.0-dbgsym    	       0        1        1        0        0
28814 libboost-date-time1.74-dev         	       0      149        0        0      149
28815 libboost-date-time1.74.0           	       0      152        0        0      152
28816 libboost-date-time1.74.0-dbgsym    	       0        1        1        0        0
28817 libboost-date-time1.81-dev         	       0        2        0        0        2
28818 libboost-date-time1.81.0           	       0        2        0        0        2
28819 libboost-date-time1.83-dev         	       0       17        0        0       17
28820 libboost-date-time1.83.0           	       0       17        0        0       17
28821 libboost-dev                       	       0      543        0        0      543
28822 libboost-doc                       	       0       11        0        0       11
28823 libboost-exception-dev             	       0       64        0        0       64
28824 libboost-exception1.55-dev         	       0        2        0        0        2
28825 libboost-exception1.62-dev         	       0        2        0        0        2
28826 libboost-exception1.67-dev         	       0        4        0        0        4
28827 libboost-exception1.74-dev         	       0       64        0        0       64
28828 libboost-exception1.81-dev         	       0        2        0        0        2
28829 libboost-exception1.83-dev         	       0        9        0        0        9
28830 libboost-fiber-dev                 	       0       61        0        0       61
28831 libboost-fiber1.62-dev             	       0        2        0        0        2
28832 libboost-fiber1.62.0               	       0        2        0        0        2
28833 libboost-fiber1.67-dev             	       0        5        0        0        5
28834 libboost-fiber1.67.0               	       0        8        0        0        8
28835 libboost-fiber1.74-dev             	       0       61        0        0       61
28836 libboost-fiber1.74.0               	       0       61        0        0       61
28837 libboost-fiber1.81-dev             	       0        2        0        0        2
28838 libboost-fiber1.81.0               	       0        2        0        0        2
28839 libboost-fiber1.83-dev             	       0        9        0        0        9
28840 libboost-fiber1.83.0               	       0        9        0        0        9
28841 libboost-filesystem-dev            	       0      120        0        0      120
28842 libboost-filesystem1.33.1          	       0        1        0        0        1
28843 libboost-filesystem1.34.1          	       0        1        0        0        1
28844 libboost-filesystem1.42.0          	       0        2        0        0        2
28845 libboost-filesystem1.49-dev        	       0        1        1        0        0
28846 libboost-filesystem1.49.0          	       0        5        0        0        5
28847 libboost-filesystem1.54.0          	       0        1        0        0        1
28848 libboost-filesystem1.55-dev        	       0        2        0        0        2
28849 libboost-filesystem1.55.0          	       0        9        0        0        9
28850 libboost-filesystem1.58.0          	       0        1        0        0        1
28851 libboost-filesystem1.61.0          	       0        2        0        0        2
28852 libboost-filesystem1.62-dev        	       0        3        0        0        3
28853 libboost-filesystem1.67-dev        	       0       10        0        0       10
28854 libboost-filesystem1.71-dev        	       0        1        0        0        1
28855 libboost-filesystem1.71.0          	       0        6        0        0        6
28856 libboost-filesystem1.71.0-dbgsym   	       0        1        1        0        0
28857 libboost-filesystem1.74-dev        	       0      141        0        0      141
28858 libboost-filesystem1.74.0-dbgsym   	       0        1        1        0        0
28859 libboost-filesystem1.81-dev        	       0        3        0        0        3
28860 libboost-filesystem1.81.0          	       0        3        0        0        3
28861 libboost-filesystem1.83-dev        	       0       17        0        0       17
28862 libboost-geometry-utils-perl       	       0       27        0        0       27
28863 libboost-graph-dev                 	       0       72        0        0       72
28864 libboost-graph-parallel-dev        	       0       63        0        0       63
28865 libboost-graph-parallel1.49-dev    	       0        1        1        0        0
28866 libboost-graph-parallel1.55-dev    	       0        2        0        0        2
28867 libboost-graph-parallel1.55.0      	       0        2        0        0        2
28868 libboost-graph-parallel1.62-dev    	       0        2        0        0        2
28869 libboost-graph-parallel1.62.0      	       0        2        0        0        2
28870 libboost-graph-parallel1.67-dev    	       0        5        0        0        5
28871 libboost-graph-parallel1.67.0      	       0        6        0        0        6
28872 libboost-graph-parallel1.74-dev    	       0       61        0        0       61
28873 libboost-graph-parallel1.74.0      	       0       61        0        0       61
28874 libboost-graph-parallel1.81-dev    	       0        2        0        0        2
28875 libboost-graph-parallel1.81.0      	       0        2        0        0        2
28876 libboost-graph-parallel1.83-dev    	       0        9        0        0        9
28877 libboost-graph-parallel1.83.0      	       0        9        0        0        9
28878 libboost-graph1.34.1               	       0        1        0        0        1
28879 libboost-graph1.49-dev             	       0        1        1        0        0
28880 libboost-graph1.49.0               	       0        2        0        0        2
28881 libboost-graph1.55-dev             	       0        2        0        0        2
28882 libboost-graph1.55.0               	       0        3        0        0        3
28883 libboost-graph1.62-dev             	       0        2        0        0        2
28884 libboost-graph1.62.0               	       0        2        0        0        2
28885 libboost-graph1.67-dev             	       0        6        0        0        6
28886 libboost-graph1.67.0               	       0        9        0        0        9
28887 libboost-graph1.74-dev             	       0       70        0        0       70
28888 libboost-graph1.74.0               	       0       70        0        0       70
28889 libboost-graph1.81-dev             	       0        2        0        0        2
28890 libboost-graph1.81.0               	       0        2        0        0        2
28891 libboost-graph1.83-dev             	       0        9        0        0        9
28892 libboost-graph1.83.0               	       0        9        0        0        9
28893 libboost-iostreams-dev             	       0       88        0        0       88
28894 libboost-iostreams1.34.1           	       0        1        0        0        1
28895 libboost-iostreams1.42.0           	       0       20        0        0       20
28896 libboost-iostreams1.46.1           	       0        2        0        0        2
28897 libboost-iostreams1.48.0           	       0        1        0        0        1
28898 libboost-iostreams1.49-dev         	       0        1        1        0        0
28899 libboost-iostreams1.49.0           	       0       62        0        0       62
28900 libboost-iostreams1.53.0           	       0        1        0        0        1
28901 libboost-iostreams1.54.0           	       0        4        0        0        4
28902 libboost-iostreams1.55-dev         	       0        2        0        0        2
28903 libboost-iostreams1.55.0           	       0      214        0        0      214
28904 libboost-iostreams1.58.0           	       0        1        0        0        1
28905 libboost-iostreams1.62-dev         	       0        3        0        0        3
28906 libboost-iostreams1.62.0           	       0      156        0        0      156
28907 libboost-iostreams1.67-dev         	       0        5        0        0        5
28908 libboost-iostreams1.71.0           	       0        4        0        0        4
28909 libboost-iostreams1.74-dev         	       0       86        0        0       86
28910 libboost-iostreams1.74.0-dbgsym    	       0        1        1        0        0
28911 libboost-iostreams1.81-dev         	       0        2        0        0        2
28912 libboost-iostreams1.81.0           	       0        3        0        0        3
28913 libboost-iostreams1.83-dev         	       0       10        0        0       10
28914 libboost-json-dev                  	       0        5        0        0        5
28915 libboost-json1.83-dev              	       0        8        0        0        8
28916 libboost-json1.83.0                	       0        8        0        0        8
28917 libboost-locale-dev                	       0       76        0        0       76
28918 libboost-locale1.49-dev            	       0        1        1        0        0
28919 libboost-locale1.49.0              	       0        2        0        0        2
28920 libboost-locale1.55-dev            	       0        2        0        0        2
28921 libboost-locale1.55.0              	       0        5        0        0        5
28922 libboost-locale1.62-dev            	       0        3        0        0        3
28923 libboost-locale1.62.0              	       0       25        0        0       25
28924 libboost-locale1.67-dev            	       0        4        0        0        4
28925 libboost-locale1.67.0              	       0      161        0        0      161
28926 libboost-locale1.71-dev            	       0        1        0        0        1
28927 libboost-locale1.71.0              	       0        4        0        0        4
28928 libboost-locale1.71.0-dbgsym       	       0        1        1        0        0
28929 libboost-locale1.74-dev            	       0       73        0        0       73
28930 libboost-locale1.74.0-dbgsym       	       0        1        1        0        0
28931 libboost-locale1.81-dev            	       0        2        0        0        2
28932 libboost-locale1.81.0              	       0        3        0        0        3
28933 libboost-locale1.83-dev            	       0        9        0        0        9
28934 libboost-log-dev                   	       0       69        0        0       69
28935 libboost-log1.55-dev               	       0        2        0        0        2
28936 libboost-log1.55.0                 	       0        2        0        0        2
28937 libboost-log1.62-dev               	       0        2        0        0        2
28938 libboost-log1.62.0                 	       0        3        0        0        3
28939 libboost-log1.67-dev               	       0        4        0        0        4
28940 libboost-log1.67.0                 	       0       20        0        0       20
28941 libboost-log1.74-dev               	       0       67        0        0       67
28942 libboost-log1.74.0                 	       0      113        4        0      109
28943 libboost-log1.74.0-dbgsym          	       0        1        1        0        0
28944 libboost-log1.81-dev               	       0        2        0        0        2
28945 libboost-log1.81.0                 	       0        2        0        0        2
28946 libboost-log1.83-dev               	       0       10        0        0       10
28947 libboost-log1.83.0                 	       0       13        1        0       12
28948 libboost-math-dev                  	       0       65        0        0       65
28949 libboost-math1.49-dev              	       0        1        1        0        0
28950 libboost-math1.49.0                	       0        2        0        0        2
28951 libboost-math1.55-dev              	       0        2        0        0        2
28952 libboost-math1.55.0                	       0        3        0        0        3
28953 libboost-math1.62-dev              	       0        2        0        0        2
28954 libboost-math1.62.0                	       0        2        0        0        2
28955 libboost-math1.67-dev              	       0        5        0        0        5
28956 libboost-math1.67.0                	       0        8        0        0        8
28957 libboost-math1.74-dev              	       0       66        0        0       66
28958 libboost-math1.74.0                	       0       66        0        0       66
28959 libboost-math1.81-dev              	       0        2        0        0        2
28960 libboost-math1.81.0                	       0        2        0        0        2
28961 libboost-math1.83-dev              	       0        9        0        0        9
28962 libboost-math1.83.0                	       0        9        0        0        9
28963 libboost-mpi-dev                   	       0       61        0        0       61
28964 libboost-mpi-python-dev            	       0       59        0        0       59
28965 libboost-mpi-python1.55-dev        	       0        1        0        0        1
28966 libboost-mpi-python1.55.0          	       0        1        1        0        0
28967 libboost-mpi-python1.62-dev        	       0        2        0        0        2
28968 libboost-mpi-python1.62.0          	       0        2        2        0        0
28969 libboost-mpi-python1.67-dev        	       0        2        0        0        2
28970 libboost-mpi-python1.67.0          	       0        2        2        0        0
28971 libboost-mpi-python1.74-dev        	       0       56        0        0       56
28972 libboost-mpi-python1.74.0          	       0       56       56        0        0
28973 libboost-mpi-python1.81-dev        	       0        2        0        0        2
28974 libboost-mpi-python1.81.0          	       0        2        2        0        0
28975 libboost-mpi-python1.83-dev        	       0        5        0        0        5
28976 libboost-mpi1.55-dev               	       0        1        0        0        1
28977 libboost-mpi1.55.0                 	       0        2        0        0        2
28978 libboost-mpi1.62-dev               	       0        2        0        0        2
28979 libboost-mpi1.62.0                 	       0        3        0        0        3
28980 libboost-mpi1.67-dev               	       0        3        0        0        3
28981 libboost-mpi1.67.0                 	       0        6        0        0        6
28982 libboost-mpi1.74-dev               	       0       59        0        0       59
28983 libboost-mpi1.74.0                 	       0       63        0        0       63
28984 libboost-mpi1.81-dev               	       0        2        0        0        2
28985 libboost-mpi1.81.0                 	       0        2        0        0        2
28986 libboost-mpi1.83-dev               	       0        7        0        0        7
28987 libboost-mpi1.83.0                 	       0        9        0        0        9
28988 libboost-nowide-dev                	       0       56        0        0       56
28989 libboost-nowide1.74-dev            	       0       62        0        0       62
28990 libboost-nowide1.74.0              	       0       84        0        0       84
28991 libboost-nowide1.74.0-dbgsym       	       0        1        1        0        0
28992 libboost-nowide1.81-dev            	       0        2        0        0        2
28993 libboost-nowide1.81.0              	       0        3        0        0        3
28994 libboost-nowide1.83-dev            	       0        9        0        0        9
28995 libboost-nowide1.83.0              	       0       10        0        0       10
28996 libboost-numpy-dev                 	       0       56        0        0       56
28997 libboost-numpy1.67-dev             	       0        6        0        0        6
28998 libboost-numpy1.67.0               	       0        7        0        0        7
28999 libboost-numpy1.74-dev             	       0       60        0        0       60
29000 libboost-numpy1.74.0               	       0       60        0        0       60
29001 libboost-numpy1.81-dev             	       0        2        0        0        2
29002 libboost-numpy1.81.0               	       0        2        0        0        2
29003 libboost-numpy1.83-dev             	       0        9        0        0        9
29004 libboost-numpy1.83.0               	       0       10        0        0       10
29005 libboost-program-options-dev       	       0      109        0        0      109
29006 libboost-program-options1.34.1     	       0        1        0        0        1
29007 libboost-program-options1.42.0     	       0        1        0        0        1
29008 libboost-program-options1.49-dev   	       0        1        1        0        0
29009 libboost-program-options1.49.0     	       0        7        0        0        7
29010 libboost-program-options1.55-dev   	       0        2        0        0        2
29011 libboost-program-options1.55.0     	       0       13        0        0       13
29012 libboost-program-options1.58.0     	       0        1        0        0        1
29013 libboost-program-options1.62-dev   	       0        3        0        0        3
29014 libboost-program-options1.67-dev   	       0        8        0        0        8
29015 libboost-program-options1.71.0     	       0        4        0        0        4
29016 libboost-program-options1.74-dev   	       0      132        0        0      132
29017 libboost-program-options1.74.0-dbgsym	       0        1        1        0        0
29018 libboost-program-options1.81-dev   	       0        2        0        0        2
29019 libboost-program-options1.81.0     	       0        2        0        0        2
29020 libboost-program-options1.83-dev   	       0       15        0        0       15
29021 libboost-python-dev                	       0       76        0        0       76
29022 libboost-python1.33.1              	       0        1        0        0        1
29023 libboost-python1.34.1              	       0        2        0        0        2
29024 libboost-python1.42.0              	       0        3        0        0        3
29025 libboost-python1.49-dev            	       0        1        1        0        0
29026 libboost-python1.49.0              	       0        4        0        0        4
29027 libboost-python1.55-dev            	       0        2        2        0        0
29028 libboost-python1.55.0              	       0       13        0        0       13
29029 libboost-python1.58.0              	       0        1        0        0        1
29030 libboost-python1.62-dev            	       0        2        2        0        0
29031 libboost-python1.62.0              	       0       11        0        0       11
29032 libboost-python1.67-dev            	       0        3        0        0        3
29033 libboost-python1.67.0              	       0       39        0        0       39
29034 libboost-python1.74-dev            	       0       71        0        0       71
29035 libboost-python1.74.0-dbgsym       	       0        1        1        0        0
29036 libboost-python1.81-dev            	       0        2        0        0        2
29037 libboost-python1.81.0              	       0        2        0        0        2
29038 libboost-python1.83-dev            	       0       10        0        0       10
29039 libboost-python1.83.0              	       0       46        1        0       45
29040 libboost-random-dev                	       0       71        0        0       71
29041 libboost-random1.49-dev            	       0        1        1        0        0
29042 libboost-random1.49.0              	       0        2        0        0        2
29043 libboost-random1.55-dev            	       0        2        0        0        2
29044 libboost-random1.55.0              	       0        4        0        0        4
29045 libboost-random1.62-dev            	       0        2        0        0        2
29046 libboost-random1.62.0              	       0       36        0        0       36
29047 libboost-random1.67-dev            	       0        5        0        0        5
29048 libboost-random1.67.0              	       0       35        0        0       35
29049 libboost-random1.71-dev            	       0        1        0        0        1
29050 libboost-random1.71.0              	       0        1        0        0        1
29051 libboost-random1.71.0-dbgsym       	       0        1        1        0        0
29052 libboost-random1.74-dev            	       0       70        0        0       70
29053 libboost-random1.74.0              	       0      114        2        0      112
29054 libboost-random1.74.0-dbgsym       	       0        1        1        0        0
29055 libboost-random1.81-dev            	       0        2        0        0        2
29056 libboost-random1.81.0              	       0        2        0        0        2
29057 libboost-random1.83-dev            	       0        9        0        0        9
29058 libboost-random1.83.0              	       0       18        0        0       18
29059 libboost-regex-dev                 	       0      112        0        0      112
29060 libboost-regex1.33.1               	       0        1        0        0        1
29061 libboost-regex1.34.1               	       0        1        0        0        1
29062 libboost-regex1.42.0               	       0        5        0        0        5
29063 libboost-regex1.49-dev             	       0        1        1        0        0
29064 libboost-regex1.49.0               	       0        6        0        0        6
29065 libboost-regex1.54.0               	       0        1        0        0        1
29066 libboost-regex1.55-dev             	       0        2        0        0        2
29067 libboost-regex1.55.0               	       0       12        0        0       12
29068 libboost-regex1.62-dev             	       0        3        0        0        3
29069 libboost-regex1.67-dev             	       0        6        0        0        6
29070 libboost-regex1.71-dev             	       0        1        0        0        1
29071 libboost-regex1.71.0               	       0        4        0        0        4
29072 libboost-regex1.71.0-dbgsym        	       0        1        1        0        0
29073 libboost-regex1.74-dev             	       0      141        0        0      141
29074 libboost-regex1.74.0-dbgsym        	       0        1        1        0        0
29075 libboost-regex1.81-dev             	       0        2        0        0        2
29076 libboost-regex1.81.0               	       0        2        0        0        2
29077 libboost-regex1.83-dev             	       0       18        0        0       18
29078 libboost-regex1.83.0               	       0       18        0        0       18
29079 libboost-serialization-dev         	       0       77        0        0       77
29080 libboost-serialization1.34.1       	       0        1        0        0        1
29081 libboost-serialization1.42.0       	       0        2        0        0        2
29082 libboost-serialization1.49-dev     	       0        1        1        0        0
29083 libboost-serialization1.49.0       	       0        5        0        0        5
29084 libboost-serialization1.55-dev     	       0        3        0        0        3
29085 libboost-serialization1.55.0       	       0        6        0        0        6
29086 libboost-serialization1.62-dev     	       0        4        0        0        4
29087 libboost-serialization1.62.0       	       0       11        0        0       11
29088 libboost-serialization1.67-dev     	       0       11        0        0       11
29089 libboost-serialization1.67.0       	       0       27        0        0       27
29090 libboost-serialization1.71-dev     	       0        1        0        0        1
29091 libboost-serialization1.71.0       	       0        1        0        0        1
29092 libboost-serialization1.71.0-dbgsym	       0        1        1        0        0
29093 libboost-serialization1.74-dev     	       0      152        0        0      152
29094 libboost-serialization1.74.0-dbgsym	       0        1        1        0        0
29095 libboost-serialization1.81-dev     	       0        2        0        0        2
29096 libboost-serialization1.81.0       	       0        2        0        0        2
29097 libboost-serialization1.83-dev     	       0       18        0        0       18
29098 libboost-serialization1.83.0       	       0       29        2        0       27
29099 libboost-signals-dev               	       0        6        0        0        6
29100 libboost-signals1.34.1             	       0        1        0        0        1
29101 libboost-signals1.42.0             	       0        1        0        0        1
29102 libboost-signals1.49.0             	       0        2        0        0        2
29103 libboost-signals1.55-dev           	       0        2        0        0        2
29104 libboost-signals1.55.0             	       0        8        0        0        8
29105 libboost-signals1.62-dev           	       0        2        0        0        2
29106 libboost-signals1.62.0             	       0       15        0        0       15
29107 libboost-signals1.67-dev           	       0        5        0        0        5
29108 libboost-signals1.67.0             	       0       10        0        0       10
29109 libboost-stacktrace-dev            	       0       58        0        0       58
29110 libboost-stacktrace1.67-dev        	       0        5        0        0        5
29111 libboost-stacktrace1.67.0          	       0        7        0        0        7
29112 libboost-stacktrace1.74-dev        	       0       62        0        0       62
29113 libboost-stacktrace1.74.0          	       0       62        0        0       62
29114 libboost-stacktrace1.81-dev        	       0        2        0        0        2
29115 libboost-stacktrace1.81.0          	       0        2        0        0        2
29116 libboost-stacktrace1.83-dev        	       0        9        0        0        9
29117 libboost-stacktrace1.83.0          	       0        9        0        0        9
29118 libboost-system-dev                	       0      119        0        0      119
29119 libboost-system1.42.0              	       0        3        0        0        3
29120 libboost-system1.49-dev            	       0        1        1        0        0
29121 libboost-system1.49.0              	       0        6        0        0        6
29122 libboost-system1.54.0              	       0        3        0        0        3
29123 libboost-system1.55-dev            	       0        3        0        0        3
29124 libboost-system1.55.0              	       0       44        0        0       44
29125 libboost-system1.58.0              	       0        1        0        0        1
29126 libboost-system1.61.0              	       0        2        0        0        2
29127 libboost-system1.62-dev            	       0        3        0        0        3
29128 libboost-system1.67-dev            	       0       12        0        0       12
29129 libboost-system1.71-dev            	       0        1        0        0        1
29130 libboost-system1.71.0              	       0        1        0        0        1
29131 libboost-system1.71.0-dbgsym       	       0        1        1        0        0
29132 libboost-system1.74-dev            	       0      153        0        0      153
29133 libboost-system1.74.0              	       0      159        0        0      159
29134 libboost-system1.74.0-dbgsym       	       0        1        1        0        0
29135 libboost-system1.81-dev            	       0        3        0        0        3
29136 libboost-system1.81.0              	       0        3        0        0        3
29137 libboost-system1.83-dev            	       0       18        0        0       18
29138 libboost-system1.83.0              	       0       18        0        0       18
29139 libboost-test-dev                  	       0       82        0        0       82
29140 libboost-test1.34.1                	       0        1        0        0        1
29141 libboost-test1.49-dev              	       0        1        1        0        0
29142 libboost-test1.49.0                	       0        2        0        0        2
29143 libboost-test1.55-dev              	       0        2        0        0        2
29144 libboost-test1.55.0                	       0        3        0        0        3
29145 libboost-test1.62-dev              	       0        2        0        0        2
29146 libboost-test1.62.0                	       0        7        0        0        7
29147 libboost-test1.67-dev              	       0        9        0        0        9
29148 libboost-test1.67.0                	       0       16        0        0       16
29149 libboost-test1.74-dev              	       0      115        0        0      115
29150 libboost-test1.74.0                	       0      118        0        0      118
29151 libboost-test1.74.0-dbgsym         	       0        1        1        0        0
29152 libboost-test1.81-dev              	       0        3        0        0        3
29153 libboost-test1.81.0                	       0        3        0        0        3
29154 libboost-test1.83-dev              	       0       15        0        0       15
29155 libboost-test1.83.0                	       0       15        0        0       15
29156 libboost-thread-dev                	       0      102        0        0      102
29157 libboost-thread1.33.1              	       0        1        0        0        1
29158 libboost-thread1.34.1              	       0        1        0        0        1
29159 libboost-thread1.42.0              	       0        2        0        0        2
29160 libboost-thread1.49-dev            	       0        1        1        0        0
29161 libboost-thread1.49.0              	       0        6        0        0        6
29162 libboost-thread1.54.0              	       0        1        0        0        1
29163 libboost-thread1.55-dev            	       0        3        0        0        3
29164 libboost-thread1.55.0              	       0       31        0        0       31
29165 libboost-thread1.62-dev            	       0        3        0        0        3
29166 libboost-thread1.67-dev            	       0        6        0        0        6
29167 libboost-thread1.71-dev            	       0        1        0        0        1
29168 libboost-thread1.71.0              	       0        5        0        0        5
29169 libboost-thread1.71.0-dbgsym       	       0        1        1        0        0
29170 libboost-thread1.74-dev            	       0      129        0        0      129
29171 libboost-thread1.74.0-dbgsym       	       0        1        1        0        0
29172 libboost-thread1.81-dev            	       0        2        0        0        2
29173 libboost-thread1.81.0              	       0        3        0        0        3
29174 libboost-thread1.83-dev            	       0       14        0        0       14
29175 libboost-timer-dev                 	       0       67        0        0       67
29176 libboost-timer1.49-dev             	       0        1        1        0        0
29177 libboost-timer1.49.0               	       0        2        0        0        2
29178 libboost-timer1.55-dev             	       0        2        0        0        2
29179 libboost-timer1.55.0               	       0        3        0        0        3
29180 libboost-timer1.62-dev             	       0        2        0        0        2
29181 libboost-timer1.62.0               	       0        7        0        0        7
29182 libboost-timer1.67-dev             	       0        5        0        0        5
29183 libboost-timer1.67.0               	       0       15        0        0       15
29184 libboost-timer1.74-dev             	       0       68        0        0       68
29185 libboost-timer1.74.0               	       0       68        0        0       68
29186 libboost-timer1.81-dev             	       0        2        0        0        2
29187 libboost-timer1.81.0               	       0        2        0        0        2
29188 libboost-timer1.83-dev             	       0        9        0        0        9
29189 libboost-timer1.83.0               	       0       10        0        0       10
29190 libboost-tools-dev                 	       0       66        0        0       66
29191 libboost-type-erasure-dev          	       0       61        0        0       61
29192 libboost-type-erasure1.62-dev      	       0        2        0        0        2
29193 libboost-type-erasure1.62.0        	       0        2        0        0        2
29194 libboost-type-erasure1.67-dev      	       0        4        0        0        4
29195 libboost-type-erasure1.67.0        	       0        7        0        0        7
29196 libboost-type-erasure1.74-dev      	       0       61        0        0       61
29197 libboost-type-erasure1.74.0        	       0       61        0        0       61
29198 libboost-type-erasure1.81-dev      	       0        2        0        0        2
29199 libboost-type-erasure1.81.0        	       0        2        0        0        2
29200 libboost-type-erasure1.83-dev      	       0        9        0        0        9
29201 libboost-type-erasure1.83.0        	       0        9        0        0        9
29202 libboost-url-dev                   	       0        5        0        0        5
29203 libboost-url1.83-dev               	       0        8        0        0        8
29204 libboost-url1.83.0                 	       0        8        0        0        8
29205 libboost-wave-dev                  	       0       65        0        0       65
29206 libboost-wave1.34.1                	       0        1        0        0        1
29207 libboost-wave1.49-dev              	       0        1        1        0        0
29208 libboost-wave1.49.0                	       0        2        0        0        2
29209 libboost-wave1.55-dev              	       0        2        0        0        2
29210 libboost-wave1.55.0                	       0        2        0        0        2
29211 libboost-wave1.62-dev              	       0        3        0        0        3
29212 libboost-wave1.62.0                	       0        4        0        0        4
29213 libboost-wave1.67-dev              	       0        4        0        0        4
29214 libboost-wave1.67.0                	       0        7        0        0        7
29215 libboost-wave1.74-dev              	       0       63        0        0       63
29216 libboost-wave1.74.0                	       0       63        0        0       63
29217 libboost-wave1.81-dev              	       0        2        0        0        2
29218 libboost-wave1.81.0                	       0        2        0        0        2
29219 libboost-wave1.83-dev              	       0       10        0        0       10
29220 libboost-wave1.83.0                	       0       10        0        0       10
29221 libboost1.46-doc                   	       0        1        1        0        0
29222 libboost1.49-dev                   	       0        1        1        0        0
29223 libboost1.49-doc                   	       0        1        1        0        0
29224 libboost1.55-dev                   	       0        5        5        0        0
29225 libboost1.55-tools-dev             	       0        2        2        0        0
29226 libboost1.62-dev                   	       0        5        5        0        0
29227 libboost1.62-tools-dev             	       0        2        2        0        0
29228 libboost1.67-doc                   	       0        1        1        0        0
29229 libboost1.67-tools-dev             	       0        6        6        0        0
29230 libboost1.71-dev                   	       0        1        1        0        0
29231 libboost1.71-doc                   	       0        1        1        0        0
29232 libboost1.74-all-dev               	       0        5        0        0        5
29233 libboost1.74-doc                   	       0        8        8        0        0
29234 libboost1.74-tools-dev             	       0       65       65        0        0
29235 libboost1.81-all-dev               	       0        2        0        0        2
29236 libboost1.81-dev                   	       0        3        3        0        0
29237 libboost1.81-doc                   	       0        1        1        0        0
29238 libboost1.81-tools-dev             	       0        3        3        0        0
29239 libboost1.83-doc                   	       0        1        0        1        0
29240 libbos-ocaml                       	       0        1        1        0        0
29241 libbos-ocaml-dev                   	       0        1        1        0        0
29242 libbotan-1.10-0                    	       0        2        0        0        2
29243 libbotan-1.10-1                    	       0        7        0        0        7
29244 libbotan-2-15                      	       0        1        0        0        1
29245 libbotan-2-17                      	       0       45        3        0       42
29246 libbotan-2-18                      	       0        2        0        0        2
29247 libbotan-2-9                       	       0       43        0        0       43
29248 libbotan-2-dev                     	       0       10        9        1        0
29249 libbotan-2-doc                     	       0        4        0        0        4
29250 libbotan-kpxc-2                    	       0        1        1        0        0
29251 libbox2d-dev                       	       0        4        4        0        0
29252 libbox2d-doc                       	       0        1        0        0        1
29253 libbox2d2                          	       0     2221        0        0     2221
29254 libbox2d2.3.0                      	       0       19        0        0       19
29255 libboxfort-dev                     	       0        1        1        0        0
29256 libbpf-dev                         	       0       12       12        0        0
29257 libbpf-tools                       	       0        2        2        0        0
29258 libbpf0                            	       0     1038        0        0     1038
29259 libbpf4.19                         	       0        1        0        0        1
29260 libbpfcc                           	       0        8        0        0        8
29261 libbpfcc-dev                       	       0        1        1        0        0
29262 libbpfjit-dev                      	       0        1        1        0        0
29263 libbpfjit1                         	       0        1        0        0        1
29264 libbpg-bin                         	       0        1        1        0        0
29265 libbpp-core4                       	       0        1        0        0        1
29266 libbpp-phyl-omics3                 	       0        1        0        0        1
29267 libbpp-phyl12                      	       0        1        0        0        1
29268 libbpp-seq-omics3                  	       0        1        0        0        1
29269 libbpp-seq12                       	       0        1        0        0        1
29270 libbrahe-1.3-3                     	       0        2        0        0        2
29271 libbrahe-dev                       	       0        2        2        0        0
29272 libbraiding-dev                    	       0        8        8        0        0
29273 libbraiding0                       	       0        9        0        0        9
29274 libbrasero-media3-dev              	       0        1        1        0        0
29275 libbrial-dev                       	       0        8        8        0        0
29276 libbrial-groebner-dev              	       0        8        8        0        0
29277 libbrial-groebner3                 	       0       10        0        0       10
29278 libbrial3                          	       0       10        0        0       10
29279 libbrlapi-dev                      	       0        9        8        1        0
29280 libbrlapi0.5                       	       0        7        0        0        7
29281 libbrlapi0.6                       	       0      268        1        0      267
29282 libbrlapi0.7                       	       0        3        0        0        3
29283 libbrlapi0.8-dbgsym                	       0        1        1        0        0
29284 libbroadvoice1                     	       0        1        0        0        1
29285 libbrowser-open-perl               	       0        3        3        0        0
29286 libbs2b-dev                        	       0       18       17        1        0
29287 libbsapi                           	       0        1        1        0        0
29288 libbsc-dev                         	       0        1        1        0        0
29289 libbsc3                            	       0        1        0        0        1
29290 libbsd-arc4random-perl             	       0        1        0        0        1
29291 libbsd-resource-perl               	       0       89        0        0       89
29292 libbsf-java                        	       0      121        0        0      121
29293 libbsf-java-doc                    	       0        1        0        0        1
29294 libbsh-java                        	       0      631        0        0      631
29295 libbson-1.0-0                      	       0       60        0        0       60
29296 libbson-1.0-0t64                   	       0        6        0        0        6
29297 libbson-dev                        	       0        3        2        1        0
29298 libbson-doc                        	       0        1        0        0        1
29299 libbson-perl                       	       0        3        3        0        0
29300 libbson-xs-perl                    	       0        1        0        0        1
29301 libbt-dev                          	       0        1        0        0        1
29302 libbt0                             	       0       18        0        0       18
29303 libbt0v5                           	       0        3        0        0        3
29304 libbtbb-dev                        	       0        3        3        0        0
29305 libbtbb1                           	       0        9        0        0        9
29306 libbtf1                            	       0       96        0        0       96
29307 libbtf1.1.0                        	       0        1        0        0        1
29308 libbtf1.2.0                        	       0        1        0        0        1
29309 libbtf2                            	       0        6        0        0        6
29310 libbtparse1                        	       0        8        0        0        8
29311 libbtparse2                        	       0      118        0        0      118
29312 libbtrfs-dev                       	       0        3        3        0        0
29313 libbtrfs0                          	       0       18        0        0       18
29314 libbtrfs0t64                       	       0        3        0        0        3
29315 libbtrfsutil-dev                   	       0        1        1        0        0
29316 libbtrfsutil1                      	       0        2        0        0        2
29317 libbtrfsutil1t64                   	       0        1        0        0        1
29318 libbudgie-appindexer0              	       0        4        1        0        3
29319 libbudgie-plugin0                  	       0       12        1        0       11
29320 libbudgie-private0                 	       0        6        1        0        5
29321 libbudgie-raven-plugin0            	       0        4        1        0        3
29322 libbudgietheme0                    	       0        6        1        0        5
29323 libbuild-helper-maven-plugin-java  	       0        2        0        0        2
29324 libbuilder-ruby1.9.1               	       0        1        0        0        1
29325 libbullet-dev                      	       0        7        7        0        0
29326 libbullet-extras-dev               	       0        1        1        0        0
29327 libbullet-extras3.06               	       0        1        0        0        1
29328 libbullet-extras3.24               	       0        1        0        0        1
29329 libbullet3.06                      	       0        4        0        0        4
29330 libbullet3.24                      	       0       18        0        0       18
29331 libbullet3.24t64                   	       0        1        0        0        1
29332 libbulletcollision2.82             	       0        1        0        0        1
29333 libbulletdynamics2.82              	       0        1        0        0        1
29334 libbulletml-dev                    	       0        1        1        0        0
29335 libbulletml0v5                     	       0       16        0        0       16
29336 libbulletsoftbody2.82              	       0        1        0        0        1
29337 libbunny-ruby1.9.1                 	       0        1        0        0        1
29338 libburn-dev                        	       0        3        3        0        0
29339 libburn4                           	       0     2076        0        0     2076
29340 libburn4t64                        	       0      158        0        0      158
29341 libburner-media3-1                 	       0       12        0        0       12
29342 libbusiness-creditcard-perl        	       0        1        1        0        0
29343 libbusiness-onlinepayment-authorizenet-perl	       0        1        1        0        0
29344 libbusiness-onlinepayment-payflowpro-perl	       0        1        1        0        0
29345 libbusiness-onlinepayment-perl     	       0        1        1        0        0
29346 libbyte-buddy-java                 	       0        8        0        0        8
29347 libbytelist-java                   	       0        6        0        0        6
29348 libbytesize-common                 	       0      257        0        0      257
29349 libbzip3-0                         	       0       17        0        0       17
29350 libbzip3-1                         	       0        1        0        0        1
29351 libbzip3-dev                       	       0        3        3        0        0
29352 libbzrtp0                          	       0       51        3        0       48
29353 libbzrtp1                          	       0        2        0        0        2
29354 libc++-14-dev                      	       0        5        5        0        0
29355 libc++-14-dev-wasm32               	       0        4        4        0        0
29356 libc++-16-dev                      	       0        3        3        0        0
29357 libc++-19-dev-wasm32               	       0        2        1        1        0
29358 libc++-7-dev                       	       0        1        1        0        0
29359 libc++-dev                         	       0        6        0        0        6
29360 libc++-dev-wasm32                  	       0        4        0        0        4
29361 libc++1                            	       0       32        0        0       32
29362 libc++1-11                         	       0        7        6        0        1
29363 libc++1-7                          	       0        4        4        0        0
29364 libc++1-9                          	       0        1        1        0        0
29365 libc++abi-11-dev                   	       0        4        3        0        1
29366 libc++abi-13-dev                   	       0        1        1        0        0
29367 libc++abi-14-dev-wasm32            	       0        4        4        0        0
29368 libc++abi-19-dev-wasm32            	       0        2        1        1        0
29369 libc++abi-9-dev                    	       0        1        1        0        0
29370 libc++abi-dev                      	       0        1        0        0        1
29371 libc++abi1-11                      	       0        7        6        0        1
29372 libc++abi1-13                      	       0        1        1        0        0
29373 libc++abi1-7                       	       0        4        4        0        0
29374 libc++abi1-9                       	       0        1        1        0        0
29375 libc-ares-dev                      	       0       16       16        0        0
29376 libc-bin-dbgsym                    	       0        1        1        0        0
29377 libc-client2007e-dev               	       0       18       18        0        0
29378 libc-icap-mod-squidclamav          	       0        1        1        0        0
29379 libc-icap-mod-virus-scan           	       0        5        0        0        5
29380 libc3p0-java                       	       0        9        0        0        9
29381 libc3p0-java-doc                   	       0        1        0        0        1
29382 libc6-amd64                        	       0       18        0        0       18
29383 libc6-amd64-cross                  	       0        4        0        0        4
29384 libc6-amd64-i386-cross             	       0        6        0        0        6
29385 libc6-amd64-x32-cross              	       0        7        0        0        7
29386 libc6-arm64-cross                  	       0       37        0        0       37
29387 libc6-armel-cross                  	       0       25        0        0       25
29388 libc6-armhf-cross                  	       0       32        0        0       32
29389 libc6-dev-amd64                    	       0        5        5        0        0
29390 libc6-dev-amd64-cross              	       0        1        1        0        0
29391 libc6-dev-amd64-i386-cross         	       0        4        4        0        0
29392 libc6-dev-amd64-x32-cross          	       0        5        5        0        0
29393 libc6-dev-armel-cross              	       0       23       22        1        0
29394 libc6-dev-armhf-cross              	       0       28       27        1        0
29395 libc6-dev-i386-amd64-cross         	       0        1        1        0        0
29396 libc6-dev-i386-cross               	       0       13       13        0        0
29397 libc6-dev-i386-x32-cross           	       0        5        5        0        0
29398 libc6-dev-m68k-cross               	       0        1        1        0        0
29399 libc6-dev-mips-cross               	       0        5        5        0        0
29400 libc6-dev-mips64-cross             	       0        1        1        0        0
29401 libc6-dev-mips64-mips-cross        	       0        2        2        0        0
29402 libc6-dev-mips64-mipsel-cross      	       0        1        1        0        0
29403 libc6-dev-mips64el-cross           	       0        1        1        0        0
29404 libc6-dev-mips64r6el-cross         	       0        1        1        0        0
29405 libc6-dev-mipsel-cross             	       0        6        6        0        0
29406 libc6-dev-mipsn32-mips-cross       	       0        2        2        0        0
29407 libc6-dev-mipsn32-mipsel-cross     	       0        1        1        0        0
29408 libc6-dev-powerpc-cross            	       0        2        2        0        0
29409 libc6-dev-powerpc-ppc64-cross      	       0        1        1        0        0
29410 libc6-dev-ppc64-cross              	       0        3        3        0        0
29411 libc6-dev-ppc64el-cross            	       0        1        1        0        0
29412 libc6-dev-riscv64-cross            	       0        6        6        0        0
29413 libc6-dev-s390x-cross              	       0        1        1        0        0
29414 libc6-dev-x32-cross                	       0        6        6        0        0
29415 libc6-dev-x32-i386-cross           	       0        4        4        0        0
29416 libc6-hppa-cross                   	       0        3        0        0        3
29417 libc6-i386                         	       0      633        1        0      632
29418 libc6-i386-amd64-cross             	       0        2        0        0        2
29419 libc6-i386-cross                   	       0       18        0        0       18
29420 libc6-i386-x32-cross               	       0        5        0        0        5
29421 libc6-i686                         	       0        9        3        0        6
29422 libc6-m68k-cross                   	       0        1        0        0        1
29423 libc6-mips-cross                   	       0        5        0        0        5
29424 libc6-mips64-cross                 	       0        1        0        0        1
29425 libc6-mips64-mips-cross            	       0        2        0        0        2
29426 libc6-mips64-mipsel-cross          	       0        1        0        0        1
29427 libc6-mips64el-cross               	       0        1        0        0        1
29428 libc6-mips64r6el-cross             	       0        1        0        0        1
29429 libc6-mipsel-cross                 	       0        6        0        0        6
29430 libc6-mipsn32-mips-cross           	       0        2        0        0        2
29431 libc6-mipsn32-mipsel-cross         	       0        1        0        0        1
29432 libc6-powerpc-cross                	       0        5        0        0        5
29433 libc6-powerpc-ppc64-cross          	       0        1        0        0        1
29434 libc6-ppc64-cross                  	       0        6        0        0        6
29435 libc6-ppc64el-cross                	       0        1        0        0        1
29436 libc6-riscv64-cross                	       0       10        0        0       10
29437 libc6-s390x-cross                  	       0        4        0        0        4
29438 libc6-sparc64-cross                	       0        3        0        0        3
29439 libc6-x32                          	       0      201        0        0      201
29440 libc6-x32-cross                    	       0        8        0        0        8
29441 libc6-x32-i386-cross               	       0        4        0        0        4
29442 libc6.1-alpha-cross                	       0        4        0        0        4
29443 libc6.1-dev-alpha-cross            	       0        1        1        0        0
29444 libcacard-dev                      	       0        6        6        0        0
29445 libcache-cache-perl                	       0       21       21        0        0
29446 libcache-fastmmap-perl             	       0        8        0        0        8
29447 libcache-lru-perl                  	       0        7        7        0        0
29448 libcache-memcached-fast-perl       	       0        2        2        0        0
29449 libcache-memcached-fast-safe-perl  	       0        2        2        0        0
29450 libcache-perl                      	       0       15       15        0        0
29451 libcache-simple-timedexpiry-perl   	       0        2        2        0        0
29452 libcaf-openmpi-3                   	       0       94        0        0       94
29453 libcaf-openmpi-3t64                	       0        6        0        0        6
29454 libcaffe-cpu1                      	       0        1        0        0        1
29455 libcairo-5c-dev                    	       0        1        0        0        1
29456 libcairo-5c0                       	       0        8        0        0        8
29457 libcairo-ocaml                     	       0        1        1        0        0
29458 libcairo-ruby                      	       0        1        0        0        1
29459 libcairo-ruby1.8                   	       0        2        1        0        1
29460 libcairo1.10-cil                   	       0       57        0        0       57
29461 libcairo2-doc                      	       0       19        0        0       19
29462 libcairo2-ocaml                    	       0        6        6        0        0
29463 libcairo2-ocaml-dev                	       0        5        5        0        0
29464 libcairomm-1.0-dev                 	       0       52       52        0        0
29465 libcairomm-1.0-doc                 	       0        1        0        0        1
29466 libcairomm-1.16-dev                	       0        8        8        0        0
29467 libcairomm-1.16-doc                	       0        1        0        0        1
29468 libcaja-extension-dev              	       0        1        1        0        0
29469 libcal3d12                         	       0        2        2        0        0
29470 libcaldav                          	       0       33        0        0       33
29471 libcalendar-ocaml                  	       0        1        1        0        0
29472 libcalendar-ocaml-dev              	       0        1        1        0        0
29473 libcalendar-simple-perl            	       0       16       16        0        0
29474 libcalendaring                     	       0        1        0        0        1
29475 libcalendarsupport-data            	       0       10        0        0       10
29476 libcall-context-perl               	       0        1        1        0        0
29477 libcallaudio-tools                 	       0        2        2        0        0
29478 libcallback1                       	       0        2        0        0        2
29479 libcam-pdf-perl                    	       0        1        1        0        0
29480 libcamd2.2.0                       	       0        2        0        0        2
29481 libcamd2.3.1                       	       0       27        0        0       27
29482 libcamd3                           	       0      129        1        0      128
29483 libcamel-1.2-33                    	       0        6        0        0        6
29484 libcamel-1.2-43                    	       0        1        0        0        1
29485 libcamel-1.2-49                    	       0        8        0        0        8
29486 libcamel-1.2-54                    	       0        1        0        0        1
29487 libcamel-1.2-59                    	       0       15        0        0       15
29488 libcamel-1.2-63                    	       0        5        1        0        4
29489 libcamel1.2-dev                    	       0        6        5        1        0
29490 libcamera-calibration-parsers-dev  	       0        1        1        0        0
29491 libcamera-calibration-parsers0d    	       0        1        0        0        1
29492 libcamera-dev                      	       0        3        2        1        0
29493 libcamera-doc                      	       0        1        0        0        1
29494 libcamera-info-manager-dev         	       0        1        1        0        0
29495 libcamera-info-manager0d           	       0        1        0        0        1
29496 libcamera-ipa                      	       0       18        0        0       18
29497 libcamera-tools                    	       0        5        4        1        0
29498 libcamera-v4l2                     	       0        8        0        0        8
29499 libcamera0.1                       	       0        1        0        0        1
29500 libcamera0.3                       	       0        7        3        0        4
29501 libcamitk4                         	       0        1        1        0        0
29502 libcamlp-streams-ocaml             	       0       11        3        0        8
29503 libcamlp-streams-ocaml-dev         	       0        1        1        0        0
29504 libcamlp4-ocaml-dev                	       0       16       16        0        0
29505 libcamomile-ocaml-data             	       0        5        0        0        5
29506 libcamomile-ocaml-dev              	       0        2        2        0        0
29507 libcamp0.8                         	       0        1        0        0        1
29508 libcanary-stability-perl           	       0        1        1        0        0
29509 libcanberra-dev                    	       0       25       25        0        0
29510 libcanberra-doc                    	       0        3        0        0        3
29511 libcanberra-gstreamer              	       0        5        0        0        5
29512 libcanberra-gtk-common-dev         	       0       17       17        0        0
29513 libcanberra-gtk-dev                	       0        3        0        0        3
29514 libcanberra-gtk3-dev               	       0       14        0        0       14
29515 libcanl-c2                         	       0        1        0        0        1
29516 libcanl-c4                         	       0        1        0        0        1
29517 libcanlock-dev                     	       0        1        1        0        0
29518 libcanlock2                        	       0       29        0        0       29
29519 libcanna1g                         	       0      255        0        0      255
29520 libcantor-dev                      	       0        1        0        1        0
29521 libcantorlibs-data                 	       0       42        0        0       42
29522 libcantorlibs28                    	       0        8        0        0        8
29523 libcantorlibs28abi1                	       0       32        0        0       32
29524 libcantorlibs28abi1t64             	       0       10        0        0       10
29525 libcantorlibs28abi2                	       0        2        0        0        2
29526 libcapi20-3                        	       0      502        1        0      501
29527 libcapi20-3t64                     	       0       65        0        0       65
29528 libcapi20-dev                      	       0       12       12        0        0
29529 libcapnp-0.7.0                     	       0       13        1        0       12
29530 libcapnp-0.8.0                     	       0        1        0        0        1
29531 libcapnp-0.9.1                     	       0        1        0        0        1
29532 libcapnp-0.9.2                     	       0       28        0        0       28
29533 libcapnp-1.0.1                     	       0        1        0        0        1
29534 libcapnp-1.1.0                     	       0        1        0        0        1
29535 libcapnp-dev                       	       0        4        4        0        0
29536 libcapstone3                       	       0       56        1        0       55
29537 libcapsule-maven-nextflow-java     	       0        1        0        0        1
29538 libcaptcha-recaptcha-perl          	       0        2        2        0        0
29539 libcarddav                         	       0       33        0        0       33
29540 libcaribou-common                  	       0      317        0        0      317
29541 libcaribou-gtk-module              	       0       10        0        0       10
29542 libcaribou-gtk3-module             	       0       12        0        0       12
29543 libcarp-always-perl                	       0       27       25        2        0
29544 libcarp-object-perl                	       0        1        1        0        0
29545 libcarrotsearch-hppc-java          	       0        1        0        0        1
29546 libcasa-casa2                      	       0        2        0        0        2
29547 libcasa-casa5                      	       0        5        0        0        5
29548 libcasa-casa7                      	       0        1        0        0        1
29549 libcasa-coordinates2               	       0        1        0        0        1
29550 libcasa-coordinates5               	       0        4        0        0        4
29551 libcasa-coordinates7               	       0        1        0        0        1
29552 libcasa-derivedmscal2              	       0        1        0        0        1
29553 libcasa-derivedmscal5              	       0        4        0        0        4
29554 libcasa-derivedmscal7              	       0        1        0        0        1
29555 libcasa-fits2                      	       0        1        0        0        1
29556 libcasa-fits5                      	       0        4        0        0        4
29557 libcasa-fits7                      	       0        1        0        0        1
29558 libcasa-images2                    	       0        1        0        0        1
29559 libcasa-images5                    	       0        4        0        0        4
29560 libcasa-images7                    	       0        1        0        0        1
29561 libcasa-lattices2                  	       0        1        0        0        1
29562 libcasa-lattices5                  	       0        4        0        0        4
29563 libcasa-lattices7                  	       0        1        0        0        1
29564 libcasa-meas2                      	       0        1        0        0        1
29565 libcasa-meas5                      	       0        4        0        0        4
29566 libcasa-meas7                      	       0        1        0        0        1
29567 libcasa-measures2                  	       0        1        0        0        1
29568 libcasa-measures5                  	       0        5        0        0        5
29569 libcasa-measures7                  	       0        1        0        0        1
29570 libcasa-mirlib2                    	       0        1        0        0        1
29571 libcasa-mirlib5                    	       0        4        0        0        4
29572 libcasa-mirlib7                    	       0        1        0        0        1
29573 libcasa-ms2                        	       0        1        0        0        1
29574 libcasa-ms5                        	       0        5        0        0        5
29575 libcasa-ms7                        	       0        1        0        0        1
29576 libcasa-msfits2                    	       0        1        0        0        1
29577 libcasa-msfits5                    	       0        1        0        0        1
29578 libcasa-python3-5                  	       0        4        0        0        4
29579 libcasa-python3-7                  	       0        1        0        0        1
29580 libcasa-scimath-f2                 	       0        1        0        0        1
29581 libcasa-scimath-f5                 	       0        5        0        0        5
29582 libcasa-scimath-f7                 	       0        1        0        0        1
29583 libcasa-scimath2                   	       0        1        0        0        1
29584 libcasa-scimath5                   	       0        5        0        0        5
29585 libcasa-scimath7                   	       0        1        0        0        1
29586 libcasa-tables2                    	       0        1        0        0        1
29587 libcasa-tables5                    	       0        5        0        0        5
29588 libcasa-tables7                    	       0        1        0        0        1
29589 libcassie-dev                      	       0        1        1        0        0
29590 libcassie-doc                      	       0        1        0        0        1
29591 libcassie1v5                       	       0        3        0        0        3
29592 libcastor-core-java                	       0        3        0        0        3
29593 libcastor-java-doc                 	       0        1        0        0        1
29594 libcastor-xml-java                 	       0        3        0        0        3
29595 libcatalyst-authentication-credential-authen-simple-perl	       0        1        1        0        0
29596 libcatalyst-authentication-store-dbix-class-perl	       0        1        1        0        0
29597 libcatalyst-controller-formbuilder-perl	       0        1        1        0        0
29598 libcatalyst-controller-html-formfu-perl	       0        1        1        0        0
29599 libcatalyst-log-log4perl-perl      	       0        1        1        0        0
29600 libcatalyst-model-dbi-perl         	       0        1        1        0        0
29601 libcatalyst-modules-perl           	       0        1        0        0        1
29602 libcatalyst-plugin-authorization-acl-perl	       0        1        1        0        0
29603 libcatalyst-plugin-authorization-roles-perl	       0        1        1        0        0
29604 libcatalyst-plugin-cache-perl      	       0        1        1        0        0
29605 libcatalyst-plugin-cache-store-fastmmap-perl	       0        1        1        0        0
29606 libcatalyst-plugin-i18n-perl       	       0        1        1        0        0
29607 libcatalyst-plugin-session-store-cache-perl	       0        1        1        0        0
29608 libcatalyst-plugin-session-store-dbi-perl	       0        1        1        0        0
29609 libcatalyst-plugin-session-store-dbic-perl	       0        1        1        0        0
29610 libcatalyst-plugin-session-store-delegate-perl	       0        1        1        0        0
29611 libcatalyst-plugin-session-store-file-perl	       0        1        1        0        0
29612 libcatalyst-plugin-subrequest-perl 	       0        1        1        0        0
29613 libcatalyst-plugin-unicode-perl    	       0        1        1        0        0
29614 libcatalyst-view-email-perl        	       0        1        1        0        0
29615 libcatalyst-view-json-perl         	       0        1        1        0        0
29616 libcatalyst-view-mason-perl        	       0        1        1        0        0
29617 libcatalyst-view-tt-perl           	       0        1        1        0        0
29618 libcatch2-dev                      	       0        6        6        0        0
29619 libcatmandu-marc-perl              	       0        1        1        0        0
29620 libcatmandu-perl                   	       0        2        2        0        0
29621 libcatmandu-store-elasticsearch-perl	       0        1        1        0        0
29622 libcattle-1.0-0                    	       0        2        0        0        2
29623 libcava-java                       	       0        1        0        0        1
29624 libcbf1                            	       0        4        0        0        4
29625 libcbor-dev                        	       0        3        3        0        0
29626 libcbor-xs-perl                    	       0        5        0        0        5
29627 libcbor0                           	       0      702        0        0      702
29628 libcbor0-dbgsym                    	       0        1        1        0        0
29629 libcc1-0                           	       0     2544        0        0     2544
29630 libcc1-0-dbgsym                    	       0        1        1        0        0
29631 libccd-dev                         	       0        1        1        0        0
29632 libccd2                            	       0       39        0        0       39
29633 libccfits-dev                      	       0        4        4        0        0
29634 libccfits-doc                      	       0        1        0        0        1
29635 libccfits0v5                       	       0        5        0        0        5
29636 libccgnu2-1.7-0                    	       0        2        0        0        2
29637 libccgnu2-1.8-0                    	       0        1        0        0        1
29638 libccgnu2-1.8-0v5                  	       0        2        0        0        2
29639 libccolamd2.7.1                    	       0        2        0        0        2
29640 libccolamd2.8.0                    	       0       27        0        0       27
29641 libccolamd3                        	       0      129        1        0      128
29642 libccp4-data                       	       0        1        0        0        1
29643 libccp4-dev                        	       0        1        1        0        0
29644 libccp4c0                          	       0        1        0        0        1
29645 libccp4f0                          	       0        1        0        0        1
29646 libccrtp-dev                       	       0        3        3        0        0
29647 libccrtp-doc                       	       0        1        1        0        0
29648 libccrtp0                          	       0        1        0        0        1
29649 libccrtp1-1.7-0                    	       0        2        0        0        2
29650 libccrtp2                          	       0        1        0        0        1
29651 libcctz-dev                        	       0        1        1        0        0
29652 libcctz-doc                        	       0        1        0        0        1
29653 libcctz2                           	       0        1        0        0        1
29654 libcdaudio-dev                     	       0        3        3        0        0
29655 libcdaudio1                        	       0       47        0        0       47
29656 libcdb-dev                         	       0        1        1        0        0
29657 libcdb-file-perl                   	       0        2        0        0        2
29658 libcdd-dev                         	       0        9        9        0        0
29659 libcdd-doc                         	       0        9        0        0        9
29660 libcdd-tools                       	       0       10       10        0        0
29661 libcdd0d                           	       0       15        0        0       15
29662 libcdd0t64                         	       0        2        0        0        2
29663 libcddb-file-perl                  	       0        4        4        0        0
29664 libcddb-get-perl                   	       0       35       35        0        0
29665 libcddb-perl                       	       0       16       16        0        0
29666 libcddb2-dev                       	       0       15       15        0        0
29667 libcdi-api-java                    	       0      462        0        0      462
29668 libcdi-dev                         	       0        1        1        0        0
29669 libcdi0                            	       0        5        0        0        5
29670 libcdio++-dev                      	       0        1        0        0        1
29671 libcdio++1t64                      	       0        1        0        0        1
29672 libcdio-cdda-dev                   	       0       38       37        1        0
29673 libcdio-cdda0                      	       0        5        0        0        5
29674 libcdio-cdda1                      	       0      118        0        0      118
29675 libcdio-dev                        	       0       46       45        1        0
29676 libcdio-paranoia-dev               	       0       37       36        1        0
29677 libcdio-paranoia0                  	       0        5        0        0        5
29678 libcdio-paranoia1                  	       0      117        0        0      117
29679 libcdio-utils                      	       0       22       21        1        0
29680 libcdio10                          	       0        9        0        0        9
29681 libcdio13                          	       0      148        0        0      148
29682 libcdio18                          	       0      182        1        0      181
29683 libcdio6                           	       0        2        0        0        2
29684 libcdio7                           	       0        3        0        0        3
29685 libcdk-java                        	       0        2        0        0        2
29686 libcdk-perl                        	       0        3        0        0        3
29687 libcdk5                            	       0        5        0        0        5
29688 libcdk5-dev                        	       0        5        5        0        0
29689 libcdk5-doc                        	       0        2        2        0        0
29690 libcdk5nc6                         	       0       65        0        0       65
29691 libcdk5t64                         	       0       13        0        0       13
29692 libcdparanoia-dev                  	       0        9        9        0        0
29693 libcdparanoia0                     	       0     3292        1        0     3291
29694 libcdr-0.0-0                       	       0        1        0        0        1
29695 libcdr-dev                         	       0        1        1        0        0
29696 libcdr-tools                       	       0        1        1        0        0
29697 libcds-healpix-java                	       0        2        0        0        2
29698 libcds-moc-java                    	       0        1        0        0        1
29699 libcds-savot-java                  	       0        1        0        0        1
29700 libcdt4                            	       0        7        0        0        7
29701 libcdt5                            	       0     1744        0        0     1744
29702 libcec-dev                         	       0        8        7        1        0
29703 libcec-platform1v5                 	       0        1        0        0        1
29704 libcec1                            	       0        1        0        0        1
29705 libcec2                            	       0        3        0        0        3
29706 libcec4                            	       0        8        0        0        8
29707 libcegui-mk2-0.7.6                 	       0        1        0        0        1
29708 libcegui-mk2-0.8.7                 	       0        2        0        0        2
29709 libcegui-mk2-data                  	       0        2        0        0        2
29710 libcegui-mk2-dev                   	       0        2        2        0        0
29711 libcelestia1.7                     	       0        1        0        0        1
29712 libcelt0-0                         	       0        7        0        0        7
29713 libcephfs-dev                      	       0        2        1        1        0
29714 libcephfs1                         	       0        3        0        0        3
29715 libcephfs2                         	       0      286        0        0      286
29716 libcereal-dev                      	       0        5        1        0        4
29717 libceres-dev                       	       0        2        2        0        0
29718 libceres1                          	       0        3        0        0        3
29719 libceres3                          	       0        1        0        0        1
29720 libceres4t64                       	       0        1        0        0        1
29721 libcerf1                           	       0        7        0        0        7
29722 libcerf2                           	       0        2        0        0        2
29723 libcext-dev                        	       0        2        2        0        0
29724 libcext0                           	       0        3        0        0        3
29725 libcf0                             	       0        1        0        0        1
29726 libcfg-dev                         	       0       12       12        0        0
29727 libcfg4                            	       0        3        0        0        3
29728 libcfg6                            	       0       14        0        0       14
29729 libcfg7                            	       0        5        2        0        3
29730 libcfitsio-dev                     	       0       55       55        0        0
29731 libcfitsio-doc                     	       0       49        0        0       49
29732 libcfitsio10t64                    	       0      111        1        0      110
29733 libcfitsio2                        	       0        4        0        0        4
29734 libcfitsio3                        	       0        1        0        0        1
29735 libcfitsio5                        	       0        5        0        0        5
29736 libcfitsio7                        	       0       28        0        0       28
29737 libcfitsio8                        	       0        1        0        0        1
29738 libcg                              	       0       10        0        0       10
29739 libcg3-1                           	       0        6        0        0        6
29740 libcg3-dev                         	       0        1        1        0        0
29741 libcgal-demo                       	       0        2        0        0        2
29742 libcgal-dev                        	       0       19       19        0        0
29743 libcgal-ipelets                    	       0        1        1        0        0
29744 libcgal-qt5-dev                    	       0        2        2        0        0
29745 libcgal-qt6-dev                    	       0        1        0        1        0
29746 libcgal10                          	       0        1        0        0        1
29747 libcgal12                          	       0        4        0        0        4
29748 libcgal13                          	       0        9        0        0        9
29749 libcggl                            	       0       10        0        0       10
29750 libcgi-ajax-perl                   	       0        1        1        0        0
29751 libcgi-application-dispatch-perl   	       0        2        2        0        0
29752 libcgi-application-perl            	       0       10       10        0        0
29753 libcgi-compress-gzip-perl          	       0        1        1        0        0
29754 libcgi-expand-perl                 	       0        2        2        0        0
29755 libcgi-formbuilder-perl            	       0        6        6        0        0
29756 libcgi-formbuilder-source-perl-perl	       0        1        1        0        0
29757 libcgi-psgi-perl                   	       0        1        1        0        0
29758 libcgi-session-driver-memcached-perl	       0        2        2        0        0
29759 libcgi-session-perl                	       0       11       11        0        0
29760 libcgi-struct-xs-perl              	       0        3        0        0        3
29761 libcgic-dev                        	       0        1        1        0        0
29762 libcgic2                           	       0        1        0        0        1
29763 libcgicc-dev                       	       0        2        2        0        0
29764 libcgicc-doc                       	       0        1        1        0        0
29765 libcgicc3                          	       0        2        0        0        2
29766 libcgif-dev                        	       0        4        4        0        0
29767 libcgif0                           	       0       14        1        0       13
29768 libcglib-java                      	       0      283        0        0      283
29769 libcglib-nodep-java                	       0        2        0        0        2
29770 libcglib3-java                     	       0        4        0        0        4
29771 libcglm-dev                        	       0        1        1        0        0
29772 libcglm0                           	       0        1        0        0        1
29773 libcgns-dev                        	       0        2        2        0        0
29774 libcgns3.3                         	       0        1        0        0        1
29775 libcgns3.4                         	       0        4        0        0        4
29776 libcgraph5                         	       0        3        0        0        3
29777 libcgraph6                         	       0     1744        0        0     1744
29778 libcgroup-dev                      	       0        5        5        0        0
29779 libcgroup1                         	       0       18        0        0       18
29780 libcgroup2                         	       0       30        0        0       30
29781 libcgroup3                         	       0        5        0        0        5
29782 libchafa-dev                       	       0        2        2        0        0
29783 libchafa0                          	       0      385        0        0      385
29784 libchafa0t64                       	       0       34        0        0       34
29785 libchamplain-0.12-dev              	       0        2        2        0        0
29786 libchamplain-gtk-0.12-dev          	       0        2        0        0        2
29787 libchardet1                        	       0        6        0        0        6
29788 libchardet1t64                     	       0        3        0        0        3
29789 libcharls-dev                      	       0       16       16        0        0
29790 libcharls1                         	       0        7        0        0        7
29791 libcharls2                         	       0      536        4        0      532
29792 libchart-gnuplot-perl              	       0        1        1        0        0
29793 libchart-perl                      	       0        1        1        0        0
29794 libchatbot-eliza-perl              	       0        1        1        0        0
29795 libchealpix-dev                    	       0        3        3        0        0
29796 libchealpix0                       	       0        3        0        0        3
29797 libcheck-isa-perl                  	       0        2        2        0        0
29798 libchecker-framework-java          	       0        2        0        0        2
29799 libcheese-dev                      	       0        1        1        0        0
29800 libcheese-doc                      	       0        3        0        0        3
29801 libcheese-gtk-dev                  	       0        1        1        0        0
29802 libcheese-gtk25                    	       0      538        2        0      536
29803 libcheese8                         	       0      553        2        0      551
29804 libchemps2-3                       	       0        1        0        0        1
29805 libcherokee-base0                  	       0        2        0        0        2
29806 libcherokee-mod-libssl             	       0        2        0        0        2
29807 libcherokee-mod-mysql              	       0        2        0        0        2
29808 libcherokee-server0                	       0        2        0        0        2
29809 libcheshire-clojure                	       0        1        0        0        1
29810 libchewing3                        	       0        4        0        0        4
29811 libchewing3-data                   	       0        4        0        0        4
29812 libchi-perl                        	       0        6        6        0        0
29813 libchicken-dev                     	       0        7        7        0        0
29814 libchicken11                       	       0        6        0        0        6
29815 libchicken11t64                    	       0        1        0        0        1
29816 libchipcard-data                   	       0        4        0        0        4
29817 libchipcard-libgwenhywfar60-plugins	       0        2        0        0        2
29818 libchipcard-tools                  	       0        2        2        0        0
29819 libchipcard6                       	       0        3        0        0        3
29820 libchipmunk-dev                    	       0        1        1        0        0
29821 libchipmunk7                       	       0       11        0        0       11
29822 libchm-bin                         	       0        7        7        0        0
29823 libchm-dev                         	       0        5        5        0        0
29824 libchm1                            	       0      336        2        0      334
29825 libcholmod1.7.1                    	       0        2        0        0        2
29826 libcholmod2.1.2                    	       0       25        0        0       25
29827 libcholmod4                        	       0       13        0        0       13
29828 libcholmod5                        	       0      120        1        0      119
29829 libchromaprint-dev                 	       0       20       20        0        0
29830 libchromaprint0                    	       0       27        0        0       27
29831 libcib27                           	       0        2        2        0        0
29832 libcib4                            	       0        4        0        0        4
29833 libcifpp-data                      	       0        1        0        0        1
29834 libcifpp5                          	       0        1        0        0        1
29835 libciftools-java                   	       0        1        0        0        1
29836 libcilkrts5                        	       0      421        0        0      421
29837 libcilkrts5-dbg                    	       0        3        3        0        0
29838 libcimcclient0                     	       0        1        0        0        1
29839 libcinnamon-control-center-dev     	       0        1        1        0        0
29840 libcinnamon-desktop-dev            	       0        2        2        0        0
29841 libcinnamon-menu-3-dev             	       0        1        1        0        0
29842 libcitadel2                        	       0        1        0        0        1
29843 libcitadel3                        	       0        1        0        0        1
29844 libcitadel4                        	       0        4        0        0        4
29845 libcitygml-dev                     	       0        1        1        0        0
29846 libcitygml2                        	       0        1        0        0        1
29847 libcjose0                          	       0        1        0        0        1
29848 libcjs-dev                         	       0        1        1        0        0
29849 libcjson-dev                       	       0       10        9        1        0
29850 libck-connector-dev                	       0        1        1        0        0
29851 libckyapplet1                      	       0        6        0        0        6
29852 libclalsadrv-dev                   	       0        7        7        0        0
29853 libclalsadrv2                      	       0       22        0        0       22
29854 libclamav11t64                     	       0        1        0        0        1
29855 libclamav5                         	       0        1        0        0        1
29856 libclamav6                         	       0        3        0        0        3
29857 libclamav7                         	       0        6        0        0        6
29858 libclamunrar                       	       0       19        0        0       19
29859 libclamunrar6                      	       0        1        0        0        1
29860 libclamunrar9                      	       0        9        1        0        8
29861 libclan2c2a-mikmod                 	       0        1        0        0        1
29862 libclan2c2a-sound                  	       0        1        0        0        1
29863 libclanapp-1.0v5                   	       0        5        0        0        5
29864 libclang-13-dev                    	       0        3        3        0        0
29865 libclang-15-dev                    	       0        2        2        0        0
29866 libclang-16-dev                    	       0        6        6        0        0
29867 libclang-17-dev                    	       0        3        3        0        0
29868 libclang-18-dev                    	       0        1        1        0        0
29869 libclang-20-dev                    	       0        1        1        0        0
29870 libclang-7-dev                     	       0        1        1        0        0
29871 libclang-9-dev                     	       0        1        1        0        0
29872 libclang-common-10-dev             	       0        5        5        0        0
29873 libclang-common-13-dev             	       0       28       28        0        0
29874 libclang-common-17-dev             	       0       16       12        4        0
29875 libclang-common-18-dev             	       0       12       11        1        0
29876 libclang-common-20-dev             	       0        2        2        0        0
29877 libclang-common-3.5-dev            	       0       10       10        0        0
29878 libclang-common-3.6-dev            	       0        1        1        0        0
29879 libclang-common-3.8-dev            	       0        5        5        0        0
29880 libclang-common-3.9-dev            	       0        2        2        0        0
29881 libclang-common-6.0-dev            	       0        7        7        0        0
29882 libclang-common-7-dev              	       0       18       18        0        0
29883 libclang-common-8-dev              	       0        2        2        0        0
29884 libclang-common-9-dev              	       0       33       33        0        0
29885 libclang-cpp-dev                   	       0        8        0        0        8
29886 libclang-cpp10                     	       0        5        5        0        0
29887 libclang-cpp11-dev                 	       0        2        2        0        0
29888 libclang-cpp13-dev                 	       0        3        3        0        0
29889 libclang-cpp16-dev                 	       0        3        3        0        0
29890 libclang-cpp17                     	       0        1        1        0        0
29891 libclang-cpp17-dev                 	       0        3        3        0        0
29892 libclang-cpp18-dev                 	       0        1        1        0        0
29893 libclang-cpp20                     	       0        2        1        0        1
29894 libclang-dev                       	       0       60        0        0       60
29895 libclang-perl                      	       0        3        0        0        3
29896 libclang-rt-14-dev-wasm32          	       0        3        3        0        0
29897 libclang-rt-15-dev                 	       0        7        7        0        0
29898 libclang-rt-17-dev                 	       0       14       10        4        0
29899 libclang-rt-18-dev                 	       0       11       11        0        0
29900 libclang-rt-19-dev-wasm32          	       0        1        1        0        0
29901 libclang-rt-20-dev                 	       0        2        2        0        0
29902 libclang-rt-dev                    	       0        2        0        0        2
29903 libclang-rt-dev-wasm32             	       0        3        0        0        3
29904 libclang1                          	       0       10        0        0       10
29905 libclang1-10                       	       0        5        5        0        0
29906 libclang1-17                       	       0        1        1        0        0
29907 libclang1-20                       	       0        2        2        0        0
29908 libclang1-3.5                      	       0       12       12        0        0
29909 libclang1-3.8                      	       0        5        5        0        0
29910 libclang1-3.9                      	       0       11       11        0        0
29911 libclang1-6.0                      	       0       21       21        0        0
29912 libclang1-8                        	       0        2        2        0        0
29913 libclang1-9                        	       0        4        4        0        0
29914 libclanlib-dev                     	       0        1        1        0        0
29915 libclanlib2c2a                     	       0        1        0        0        1
29916 libclansdl-1.0v5                   	       0        2        0        0        2
29917 libclass-accessor-lite-perl        	       0        7        7        0        0
29918 libclass-adapter-perl              	       0        3        3        0        0
29919 libclass-autouse-perl              	       0        2        2        0        0
29920 libclass-c3-xs-perl                	       0      650        0        0      650
29921 libclass-container-perl            	       0        3        3        0        0
29922 libclass-csv-perl                  	       0        1        1        0        0
29923 libclass-data-accessor-perl        	       0        3        3        0        0
29924 libclass-dbi-abstractsearch-perl   	       0       24       24        0        0
29925 libclass-dbi-pg-perl               	       0        2        2        0        0
29926 libclass-dbi-plugin-perl           	       0        1        1        0        0
29927 libclass-dbi-sqlite-perl           	       0        4        4        0        0
29928 libclass-errorhandler-perl         	       0       14       14        0        0
29929 libclass-inner-perl                	       0        1        1        0        0
29930 libclass-load-xs-perl              	       0      108        0        0      108
29931 libclass-loader-dev                	       0        1        0        0        1
29932 libclass-loader1d                  	       0        1        0        0        1
29933 libclass-loader3d                  	       0        2        0        0        2
29934 libclass-measure-perl              	       0        6        6        0        0
29935 libclass-methodmaker-perl          	       0       34        0        0       34
29936 libclass-mix-perl                  	       0       24       24        0        0
29937 libclass-std-fast-perl             	       0       11       11        0        0
29938 libclass-std-perl                  	       0       12       12        0        0
29939 libclass-throwable-perl            	       0        1        1        0        0
29940 libclass-tiny-chained-perl         	       0        2        2        0        0
29941 libclass-virtual-perl              	       0        2        2        0        0
29942 libclassmate-java                  	       0        2        0        0        2
29943 libclassworlds-java                	       0        5        0        0        5
29944 libclassworlds-java-doc            	       0        2        0        0        2
29945 libclassycle-java                  	       0        1        0        0        1
29946 libclaw-application1               	       0        1        0        0        1
29947 libclaw-application1v5             	       0        3        0        0        3
29948 libclaw-configuration-file1        	       0        1        0        0        1
29949 libclaw-configuration-file1v5      	       0        3        0        0        3
29950 libclaw-dev                        	       0        1        1        0        0
29951 libclaw-dynamic-library1           	       0        1        0        0        1
29952 libclaw-dynamic-library1v5         	       0        3        0        0        3
29953 libclaw-graphic1                   	       0        1        0        0        1
29954 libclaw-graphic1v5                 	       0        4        0        0        4
29955 libclaw-logger1                    	       0        1        0        0        1
29956 libclaw-logger1v5                  	       0        3        0        0        3
29957 libclaw-net1                       	       0        1        0        0        1
29958 libclaw-net1v5                     	       0        3        0        0        3
29959 libclaw-tween1                     	       0        1        0        0        1
29960 libclaw-tween1v5                   	       0        3        0        0        3
29961 libclaws-mail-dev                  	       0        2        1        1        0
29962 libclblas-dev                      	       0        4        4        0        0
29963 libclblas-doc                      	       0        2        0        0        2
29964 libclblas2                         	       0        4        0        0        4
29965 libclblast-dev                     	       0        3        3        0        0
29966 libclblast1                        	       0        3        0        0        3
29967 libclc-16                          	       0        1        1        0        0
29968 libclc-16-dev                      	       0        2        2        0        0
29969 libclc-17                          	       0        1        1        0        0
29970 libclc-18                          	       0        1        1        0        0
29971 libclc-18-dev                      	       0        1        1        0        0
29972 libclc-19                          	       0        4        3        1        0
29973 libclc-19-dev                      	       0        4        3        1        0
29974 libclc-amdgcn                      	       0       12       12        0        0
29975 libclc-dev                         	       0       15       15        0        0
29976 libclc-r600                        	       0       12       12        0        0
29977 libcld2-0                          	       0       15        1        0       14
29978 libcld2-dev                        	       0        4        4        0        0
29979 libclfft-dev                       	       0        3        3        0        0
29980 libclfft-doc                       	       0        1        0        0        1
29981 libclfft2                          	       0        5        0        0        5
29982 libcli-osprey-perl                 	       0        3        3        0        0
29983 libcli1.10                         	       0        5        0        0        5
29984 libcli1.10t64                      	       0        2        0        0        2
29985 libcli1.9                          	       0        4        0        0        4
29986 libcli11-dev                       	       0        1        0        0        1
29987 libclipboard-perl                  	       0        7        7        0        0
29988 libclipper-dev                     	       0        1        1        0        0
29989 libclipper2                        	       0        1        0        0        1
29990 libclippoly0                       	       0        1        0        0        1
29991 libclips                           	       0        5        0        0        5
29992 libcliquer-dev                     	       0        8        8        0        0
29993 libcliquer1                        	       0       14        0        0       14
29994 libclj-digest-clojure              	       0        1        0        0        1
29995 libclj-time-clojure                	       0        3        0        0        3
29996 libclj-yaml-clojure                	       0        1        0        0        1
29997 libcln4                            	       0        1        0        0        1
29998 libcln5                            	       0        1        0        0        1
29999 libclojure-java                    	       0       18        0        0       18
30000 libclojure-maven-plugin-java       	       0        1        0        0        1
30001 libclone-pp-perl                   	       0        1        1        0        0
30002 libcloog-isl4                      	       0      162        0        0      162
30003 libcloog-ppl-dev                   	       0        1        1        0        0
30004 libcloog-ppl1                      	       0        5        0        0        5
30005 libclosure-compiler-java           	       0        6        0        0        6
30006 libclosure-compiler-java-doc       	       0        1        0        0        1
30007 libcloudflare-ddns2                	       0        1        0        0        1
30008 libcloudproviders-dev              	       0       30       29        1        0
30009 libcloudproviders-doc              	       0        1        0        0        1
30010 libclout-clojure                   	       0        1        0        0        1
30011 libclsparse-dev                    	       0        1        1        0        0
30012 libclsparse1                       	       0        1        0        0        1
30013 libclthreads-dev                   	       0        5        5        0        0
30014 libclthreads2                      	       0       51        0        0       51
30015 libclthreads2t64                   	       0        2        0        0        2
30016 libclucene-contribs1               	       0       13        0        0       13
30017 libclucene-core1                   	       0       16        0        0       16
30018 libclucene-dev                     	       0        2        2        0        0
30019 libclucene0ldbl                    	       0        5        0        0        5
30020 libclustalo-dev                    	       0        1        1        0        0
30021 libclustalo-doc                    	       0        1        0        0        1
30022 libclutter-1.0-dev                 	       0        6        6        0        0
30023 libclutter-1.0-doc                 	       0        3        0        0        3
30024 libclutter-gst-1.0-0               	       0        4        0        0        4
30025 libclutter-gst-2.0-0               	       0       10        0        0       10
30026 libclutter-gst-3.0-0               	       0      580        2        0      578
30027 libclutter-gst-3.0-dev             	       0        1        1        0        0
30028 libclutter-gst-3.0-doc             	       0        1        0        0        1
30029 libclutter-gtk-0.10-0              	       0        1        0        0        1
30030 libclutter-gtk-1.0-dev             	       0        6        6        0        0
30031 libclutter-gtk-1.0-doc             	       0        2        0        0        2
30032 libclutter-imcontext-0.1-0         	       0       70        0        0       70
30033 libcluttergesture-0.0.2-0          	       0        6        0        0        6
30034 libclxclient-dev                   	       0        5        5        0        0
30035 libclxclient3                      	       0       50        0        0       50
30036 libclxclient3t64                   	       0        2        0        0        2
30037 libcmark-dev                       	       0        4        4        0        0
30038 libcmark-gfm-dev                   	       0        2        2        0        0
30039 libcmark-gfm-extensions-dev        	       0        2        2        0        0
30040 libcmark-gfm-extensions0           	       0       48        0        0       48
30041 libcmark-gfm-extensions0.29.0.gfm.13	       0        1        0        0        1
30042 libcmark-gfm-extensions0.29.0.gfm.2	       0        2        0        0        2
30043 libcmark-gfm-extensions0.29.0.gfm.3	       0        4        0        0        4
30044 libcmark-gfm-extensions0.29.0.gfm.6	       0       24        0        0       24
30045 libcmark-gfm0                      	       0       48        0        0       48
30046 libcmark-gfm0.29.0.gfm.13          	       0        1        0        0        1
30047 libcmark-gfm0.29.0.gfm.2           	       0        2        0        0        2
30048 libcmark-gfm0.29.0.gfm.3           	       0        4        0        0        4
30049 libcmark-gfm0.29.0.gfm.6           	       0       24        0        0       24
30050 libcmark0                          	       0        4        0        0        4
30051 libcmark0.29.0                     	       0       18        0        0       18
30052 libcmdliner-ocaml-dev              	       0        1        1        0        0
30053 libcminpack-dev                    	       0        4        4        0        0
30054 libcminpack1                       	       0       19        0        0       19
30055 libcmis-0.2-0                      	       0        8        0        0        8
30056 libcmis-0.4-4                      	       0       14        0        0       14
30057 libcmis-0.5-5v5                    	       0      635        0        0      635
30058 libcmis-0.6-6                      	       0        5        0        0        5
30059 libcmis-0.6-6t64                   	       0      196        0        0      196
30060 libcmis-dev                        	       0        1        1        0        0
30061 libcmlxom-java                     	       0        2        0        0        2
30062 libcmocka0                         	       0       22        0        0       22
30063 libcmocka0-dbgsym                  	       0        1        1        0        0
30064 libcmpicppimpl0                    	       0        1        0        0        1
30065 libcneartree-dev                   	       0        1        1        0        0
30066 libcneartree7                      	       0        4        0        0        4
30067 libcoarrays-openmpi-dev            	       0      100        0        0      100
30068 libcob4                            	       0        5        0        0        5
30069 libcob4-dev                        	       0       11       11        0        0
30070 libcob4t64                         	       0        6        0        0        6
30071 libcob5                            	       0        2        0        0        2
30072 libcob5-dev                        	       0        4        4        0        0
30073 libcob5t64                         	       0        2        0        0        2
30074 libcobertura-java                  	       0        1        0        0        1
30075 libcobra-java                      	       0        1        0        0        1
30076 libcoda-dev                        	       0        1        1        0        0
30077 libcoda15                          	       0        4        0        0        4
30078 libcoda16                          	       0        1        0        0        1
30079 libcode-tidyall-perl               	       0        1        1        0        0
30080 libcode-tidyall-plugin-clangformat-perl	       0        1        1        0        0
30081 libcodeblocks0                     	       0       63        2        0       61
30082 libcodeblocks0t64                  	       0        4        0        0        4
30083 libcodec2-0.4                      	       0        4        0        0        4
30084 libcodec2-dev                      	       0       24       22        2        0
30085 libcodec21                         	       0        1        0        0        1
30086 libcodecserver                     	       0        1        0        0        1
30087 libcodemodel-java                  	       0      332        0        0      332
30088 libcodenarc-groovy-java            	       0        1        0        0        1
30089 libcodesize-java                   	       0        4        0        0        4
30090 libcofoja-java                     	       0        1        0        0        1
30091 libcogl-dev                        	       0        7        7        0        0
30092 libcogl-doc                        	       0        1        0        0        1
30093 libcogl-pango-dev                  	       0        6        6        0        0
30094 libcogl-pango0                     	       0        1        0        0        1
30095 libcogl-path-dev                   	       0        7        0        0        7
30096 libcogl9                           	       0        1        0        0        1
30097 libcoin-dev                        	       0        7        7        0        0
30098 libcoin80                          	       0        1        0        0        1
30099 libcoin80t64                       	       0       31        0        0       31
30100 libcoin80v5                        	       0        7        0        0        7
30101 libcolamd2.7.1                     	       0        7        0        0        7
30102 libcolamd2.8.0                     	       0       30        0        0       30
30103 libcolamd3                         	       0      220        1        0      219
30104 libcollada-dom-dev                 	       0        2        2        0        0
30105 libcollada-dom2.4-dp-dev           	       0        1        0        0        1
30106 libcollada-dom2.4-dp0              	       0        1        0        0        1
30107 libcollada-dom2.5-dp0              	       0      162        0        0      162
30108 libcollada-parser-dev              	       0        1        1        0        0
30109 libcollada-parser1d                	       0        1        0        0        1
30110 libcollada-urdf-dev                	       0        1        1        0        0
30111 libcollada-urdf1d                  	       0        1        0        0        1
30112 libcollada2gltfconvert-dev         	       0        1        1        0        0
30113 libcollectdclient1                 	       0        6        0        0        6
30114 libcollection4                     	       0       13        7        0        6
30115 libcolor-ansi-util-perl            	       0        1        1        0        0
30116 libcolor-rgb-util-perl             	       0        1        1        0        0
30117 libcolorblind0                     	       0        3        0        0        3
30118 libcolord-dev                      	       0        8        7        1        0
30119 libcolord-gtk-dev                  	       0        2        0        0        2
30120 libcolord-gtk-headers              	       0        3        3        0        0
30121 libcolord-gtk1                     	       0      213        2        0      211
30122 libcolord-gtk1t64                  	       0       20        0        0       20
30123 libcolord-gtk4-1                   	       0      308        6        0      302
30124 libcolord-gtk4-1t64                	       0       20        0        0       20
30125 libcolord-gtk4-dev                 	       0        1        0        0        1
30126 libcolord1                         	       0       14        0        0       14
30127 libcolorhug2                       	       0     2873        0        0     2873
30128 libcolorpicker-java                	       0        5        0        0        5
30129 libcolpack-dev                     	       0        2        2        0        0
30130 libcolpack0t64                     	       0        1        0        0        1
30131 libcolpack0v5                      	       0        2        0        0        2
30132 libcolt-free-java                  	       0        1        0        0        1
30133 libcom-dev                         	       0        2        0        0        2
30134 libcom-err2t64                     	       0       20        1        0       19
30135 libcom3.17.6                       	       0        2        0        0        2
30136 libcombblas1.16.0                  	       0       59        0        0       59
30137 libcombblas2.0.0                   	       0        2        0        0        2
30138 libcombblas2.0.0t64                	       0        2        0        0        2
30139 libcomedi-dev                      	       0        1        1        0        0
30140 libcomerr2                         	       0      734        0        0      734
30141 libcomidi-clojure                  	       0        1        0        0        1
30142 libcommon-sense-perl               	       0     1049        0        0     1049
30143 libcommoncpp2-dev                  	       0        1        1        0        0
30144 libcommons-beanutils-java          	       0       32        0        0       32
30145 libcommons-cli-java                	       0      504        0        0      504
30146 libcommons-codec-java              	       0      537        0        0      537
30147 libcommons-codec-java-doc          	       0        1        0        0        1
30148 libcommons-collections-java        	       0        1        0        0        1
30149 libcommons-collections3-java       	       0      788        0        0      788
30150 libcommons-collections3-java-doc   	       0        7        0        0        7
30151 libcommons-collections4-java       	       0      293        0        0      293
30152 libcommons-compress-java           	       0      495        0        0      495
30153 libcommons-configuration-java      	       0       12        0        0       12
30154 libcommons-configuration-java-doc  	       0        1        0        0        1
30155 libcommons-configuration2-java     	       0       21        0        0       21
30156 libcommons-csv-java                	       0       15        0        0       15
30157 libcommons-daemon-java             	       0       14        1        0       13
30158 libcommons-dbcp-java               	       0       25        0        0       25
30159 libcommons-dbcp-java-doc           	       0        1        0        0        1
30160 libcommons-dbcp2-java              	       0       20        0        0       20
30161 libcommons-digester-java           	       0       31        0        0       31
30162 libcommons-discovery-java          	       0        3        0        0        3
30163 libcommons-el-java                 	       0        2        0        0        2
30164 libcommons-exec-java               	       0       98        0        0       98
30165 libcommons-fileupload-java         	       0        7        0        0        7
30166 libcommons-httpclient-java         	       0       27        0        0       27
30167 libcommons-httpclient-java-doc     	       0        2        0        0        2
30168 libcommons-io-java                 	       0      918        0        0      918
30169 libcommons-io-java-doc             	       0       10        0        0       10
30170 libcommons-jexl-java               	       0        2        0        0        2
30171 libcommons-jexl2-java              	       0       12        0        0       12
30172 libcommons-jxpath-java             	       0        2        0        0        2
30173 libcommons-lang-java               	       0      110        0        0      110
30174 libcommons-lang-java-doc           	       0        3        0        0        3
30175 libcommons-lang3-java              	       0      709        0        0      709
30176 libcommons-lang3-java-doc          	       0        2        0        0        2
30177 libcommons-launcher-java           	       0        1        1        0        0
30178 libcommons-logging-java            	       0     1092        0        0     1092
30179 libcommons-logging-java-doc        	       0       10        0        0       10
30180 libcommons-math-java               	       0       35        0        0       35
30181 libcommons-math3-java              	       0      299        0        0      299
30182 libcommons-modeler-java            	       0        1        0        0        1
30183 libcommons-net-java                	       0      131        0        0      131
30184 libcommons-net-java-doc            	       0        4        0        0        4
30185 libcommons-net1-java               	       0        1        0        0        1
30186 libcommons-net2-java               	       0        1        0        0        1
30187 libcommons-parent-java             	       0     1186        0        0     1186
30188 libcommons-pool-java               	       0       26        0        0       26
30189 libcommons-pool-java-doc           	       0        1        0        0        1
30190 libcommons-pool2-java              	       0       23        0        0       23
30191 libcommons-text-java               	       0       52        0        0       52
30192 libcommons-validator-java          	       0       13        0        0       13
30193 libcommons-vfs-java                	       0        3        0        0        3
30194 libcompel1                         	       0       13        0        0       13
30195 libcompfaceg1-dev                  	       0        6        6        0        0
30196 libcompiler-libs-ocaml-dev         	       0       11       11        0        0
30197 libcompizconfig0-trinity           	       0        2        2        0        0
30198 libcompojure-clojure               	       0        1        0        0        1
30199 libcompress-bzip2-perl             	       0       56        0        0       56
30200 libcompress-lz4-perl               	       0        7        0        0        7
30201 libcompress-lzf-java               	       0        5        0        0        5
30202 libcompress-snappy-perl            	       0        7        0        0        7
30203 libcomps0                          	       0        3        0        0        3
30204 libconcord4                        	       0        1        0        0        1
30205 libconcord6                        	       0        2        0        0        2
30206 libconcurrent-java                 	       0        2        0        0        2
30207 libconfdb-dev                      	       0        1        1        0        0
30208 libconfdb4                         	       0        1        0        0        1
30209 libconfig++-dev                    	       0        5        0        0        5
30210 libconfig++11                      	       0       51        0        0       51
30211 libconfig++9                       	       0        1        0        0        1
30212 libconfig++9v5                     	       0      272        2        0      270
30213 libconfig-apacheformat-perl        	       0        1        1        0        0
30214 libconfig-autoconf-perl            	       0        1        1        0        0
30215 libconfig-crontab-perl             	       0        1        1        0        0
30216 libconfig-doc                      	       0       17        0        0       17
30217 libconfig-find-perl                	       0        2        2        0        0
30218 libconfig-gitlike-perl             	       0        3        3        0        0
30219 libconfig-identity-perl            	       0        1        1        0        0
30220 libconfig-ini-perl                 	       0       11       11        0        0
30221 libconfig-ini-reader-ordered-perl  	       0        1        1        0        0
30222 libconfig-inihash-perl             	       0        1        1        0        0
30223 libconfig-model-backend-yaml-perl  	       0       21       20        1        0
30224 libconfig-model-cursesui-perl      	       0        1        1        0        0
30225 libconfig-mvp-perl                 	       0        1        1        0        0
30226 libconfig-mvp-reader-ini-perl      	       0        1        1        0        0
30227 libconfig-onion-perl               	       0        2        2        0        0
30228 libconfig-std-perl                 	       0        1        1        0        0
30229 libconfig-yaml-perl                	       0        3        3        0        0
30230 libconfigreader-perl               	       0        1        1        0        0
30231 libconfigreader-simple-perl        	       0        3        3        0        0
30232 libconfuse-common                  	       0      292        0        0      292
30233 libconfuse-dev                     	       0       12       12        0        0
30234 libconfuse-doc                     	       0        9        0        0        9
30235 libconfuse0                        	       0        5        0        0        5
30236 libconfuse1                        	       0        7        0        0        7
30237 libconsole                         	       0       10        0        0       10
30238 libconsole-bridge-dev              	       0        3        3        0        0
30239 libconsole-bridge0.4               	       0        2        0        0        2
30240 libconsole-bridge1.0               	       0        3        0        0        3
30241 libconsolekit1                     	       0        2        0        0        2
30242 libconstant-defer-perl             	       0       28       27        1        0
30243 libconstantine-java                	       0        2        0        0        2
30244 libcontrolsfx-java                 	       0       21        0        0       21
30245 libconversant-disruptor-java       	       0        5        0        0        5
30246 libconvert-base32-perl             	       0        3        3        0        0
30247 libconvert-ber-perl                	       0        1        1        0        0
30248 libconvert-binary-c-perl           	       0        4        4        0        0
30249 libconvert-color-perl              	       0       44       44        0        0
30250 libconvert-pem-perl                	       0        1        1        0        0
30251 libconvert-scalar-perl             	       0        4        0        0        4
30252 libconvert-uulib-perl              	       0       30        0        0       30
30253 libcookie-baker-xs-perl            	       0       11        2        0        9
30254 libcoq-core-ocaml                  	       0        3        3        0        0
30255 libcoq-stdlib                      	       0        3        3        0        0
30256 libcore-async-clojure              	       0        1        0        0        1
30257 libcore-cache-clojure              	       0        1        0        0        1
30258 libcore-memoize-clojure            	       0        1        0        0        1
30259 libcore-specs-alpha-clojure        	       0       18        0        0       18
30260 libcoroipcc-dev                    	       0        1        1        0        0
30261 libcoroipcc4                       	       0        6        0        0        6
30262 libcoroipcs-dev                    	       0        1        1        0        0
30263 libcoroipcs4                       	       0        1        0        0        1
30264 libcorosync-common-dev             	       0       12       12        0        0
30265 libcorosync-dev                    	       0        1        0        0        1
30266 libcortado-java                    	       0       18        0        0       18
30267 libcos4-2                          	       0        2        0        0        2
30268 libcotp3                           	       0        4        0        0        4
30269 libcourier-unicode1                	       0        2        0        0        2
30270 libcoverart-dev                    	       0        2        2        0        0
30271 libcoverart1                       	       0        7        0        0        7
30272 libcoverartcc1v5                   	       0        7        0        0        7
30273 libcpan-audit-perl                 	       0        1        1        0        0
30274 libcpan-common-index-perl          	       0        4        4        0        0
30275 libcpan-distnameinfo-perl          	       0       43       43        0        0
30276 libcpan-meta-check-perl            	       0       41       41        0        0
30277 libcpan-meta-requirements-perl     	       0        5        5        0        0
30278 libcpan-meta-yaml-perl             	       0        3        3        0        0
30279 libcpan-mini-perl                  	       0        1        1        0        0
30280 libcpan-perl-releases-perl         	       0        6        5        1        0
30281 libcpan-sqlite-perl                	       0        1        1        0        0
30282 libcpandb-perl                     	       0        1        1        0        0
30283 libcpanplus-dist-build-perl        	       0        3        3        0        0
30284 libcpanplus-perl                   	       0        3        2        1        0
30285 libcpath-clojure                   	       0        1        0        0        1
30286 libcpdb-dev                        	       0        1        1        0        0
30287 libcpdb-frontend-dev               	       0        1        1        0        0
30288 libcpdb-frontend2t64               	       0       38        0        0       38
30289 libcpdb-libs-common1               	       0        4        0        0        4
30290 libcpdb2t64                        	       0       39        0        0       39
30291 libcpg-dev                         	       0       12       12        0        0
30292 libcpg4                            	       0       21        2        0       19
30293 libcpgplot0                        	       0        6        0        0        6
30294 libcpl-dev                         	       0        2        2        0        0
30295 libcplcore26                       	       0        3        0        0        3
30296 libcpldfs26                        	       0        3        0        0        3
30297 libcpldrs26                        	       0        3        0        0        3
30298 libcplui26                         	       0        3        0        0        3
30299 libcpp-common0d                    	       0        4        0        0        4
30300 libcpp-hocon-dev                   	       0        1        1        0        0
30301 libcpp-hocon0.1.6                  	       0        1        0        0        1
30302 libcpp-hocon0.1.7                  	       0       10        0        0       10
30303 libcpp-hocon0.3.0                  	       0        4        0        0        4
30304 libcpp-httplib-dev                 	       0        1        1        0        0
30305 libcpp-httplib0.13                 	       0        2        0        0        2
30306 libcpp-httplib0.14t64              	       0        1        0        0        1
30307 libcpp-httplib0.16                 	       0        2        1        0        1
30308 libcpp-httplib0.18                 	       0        1        0        0        1
30309 libcppad-dev                       	       0        2        0        0        2
30310 libcppad-lib1456.0                 	       0        1        0        0        1
30311 libcppad-lib340.3                  	       0        1        0        0        1
30312 libcppgenerate-dev                 	       0        1        1        0        0
30313 libcppgenerate-dev-dbgsym          	       0        1        1        0        0
30314 libcppnetlib-doc                   	       0        1        1        0        0
30315 libcpprest-dev                     	       0        5        5        0        0
30316 libcpprest2.10                     	       0       28        1        0       27
30317 libcpprest2.9                      	       0        1        0        0        1
30318 libcpprspserver3                   	       0        2        0        0        2
30319 libcpptest-dev                     	       0        1        1        0        0
30320 libcpptest1                        	       0        1        0        0        1
30321 libcppunit-1.13-0v5                	       0        2        0        0        2
30322 libcppunit-1.14-0                  	       0       13        0        0       13
30323 libcppunit-1.15-0                  	       0       71        0        0       71
30324 libcppunit-doc                     	       0        4        4        0        0
30325 libcpputest-dev                    	       0        9        9        0        0
30326 libcpu-features-dev                	       0        1        1        0        0
30327 libcpufreq-dev                     	       0        4        4        0        0
30328 libcpuid-dev                       	       0        3        2        1        0
30329 libcpuid15                         	       0        6        0        0        6
30330 libcpuid16                         	       0       52        0        0       52
30331 libcpuid17                         	       0        4        0        0        4
30332 libcpuinfo-dev                     	       0        1        1        0        0
30333 libcpupower-dev                    	       0        2        2        0        0
30334 libcpuset-dev                      	       0        2        2        0        0
30335 libcpuset1                         	       0        2        0        0        2
30336 libcql-parser-perl                 	       0        3        3        0        0
30337 libcqrlib2                         	       0        4        0        0        4
30338 libcr-dev                          	       0        2        2        0        0
30339 libcr0                             	       0        7        0        0        7
30340 libcrack2-dev                      	       0        9        8        1        0
30341 libcreaterepo-c0                   	       0        2        0        0        2
30342 libcreg-utils                      	       0        2        2        0        0
30343 libcreg1                           	       0       15        0        0       15
30344 libcriterion-dev                   	       0        1        1        0        0
30345 libcriterion3                      	       0        2        0        0        2
30346 libcriticism-perl                  	       0        1        1        0        0
30347 libcriu2                           	       0        4        0        0        4
30348 libcrmcluster29                    	       0        2        2        0        0
30349 libcrmcluster4                     	       0        4        0        0        4
30350 libcrmcommon3                      	       0        4        0        0        4
30351 libcrmcommon34                     	       0        2        2        0        0
30352 libcrmservice28                    	       0        2        2        0        0
30353 libcrmservice3                     	       0        4        0        0        4
30354 libcroco-tools                     	       0        1        1        0        0
30355 libcroco3-dev                      	       0        2        2        0        0
30356 libcrossguid-dev                   	       0        1        1        0        0
30357 libcrypt-blowfish-perl             	       0       11        0        0       11
30358 libcrypt-cast5-perl                	       0        2        0        0        2
30359 libcrypt-ciphersaber-perl          	       0        1        1        0        0
30360 libcrypt-cracklib-perl             	       0        2        0        0        2
30361 libcrypt-des-ede3-perl             	       0        1        1        0        0
30362 libcrypt-des-perl                  	       0       15        0        0       15
30363 libcrypt-dh-gmp-perl               	       0        5        0        0        5
30364 libcrypt-dh-perl                   	       0        3        3        0        0
30365 libcrypt-dsa-perl                  	       0        1        1        0        0
30366 libcrypt-ecb-perl                  	       0        1        1        0        0
30367 libcrypt-format-perl               	       0        1        1        0        0
30368 libcrypt-gcrypt-perl               	       0        1        0        0        1
30369 libcrypt-generatepassword-perl     	       0        1        1        0        0
30370 libcrypt-gpg-perl                  	       0        2        2        0        0
30371 libcrypt-hcesha-perl               	       0        2        2        0        0
30372 libcrypt-jwt-perl                  	       0        5        5        0        0
30373 libcrypt-mysql-perl                	       0        4        0        0        4
30374 libcrypt-openssl-dsa-perl          	       0        8        0        0        8
30375 libcrypt-openssl-pkcs10-perl       	       0        2        0        0        2
30376 libcrypt-openssl-random-perl       	       0      156        0        0      156
30377 libcrypt-openssl-x509-perl         	       0       12        0        0       12
30378 libcrypt-pbkdf2-perl               	       0        8        8        0        0
30379 libcrypt-rijndael-perl             	       0       43        0        0       43
30380 libcrypt-rsa-parse-perl            	       0        1        1        0        0
30381 libcrypt-saltedhash-perl           	       0        1        1        0        0
30382 libcrypt-simple-perl               	       0        1        1        0        0
30383 libcrypt-smime-perl                	       0        3        0        0        3
30384 libcrypt-ssleay-perl               	       0      195        0        0      195
30385 libcrypt-twofish-perl              	       0        2        0        0        2
30386 libcrypt-u2f-server-perl           	       0        1        0        0        1
30387 libcrypt-unixcrypt-perl            	       0        1        1        0        0
30388 libcrypt-unixcrypt-xs-perl         	       0        4        0        0        4
30389 libcrypt-x509-perl                 	       0        2        2        0        0
30390 libcrypt2                          	       0        2        0        0        2
30391 libcryptgps-ocaml-dev              	       0        2        2        0        0
30392 libcrypto++-dev                    	       0       23       23        0        0
30393 libcrypto++-doc                    	       0        2        0        0        2
30394 libcrypto++-utils                  	       0        5        5        0        0
30395 libcrypto++6                       	       0       30        0        0       30
30396 libcrypto++6-dbg                   	       0        1        1        0        0
30397 libcrypto++8t64                    	       0       20        2        0       18
30398 libcrypto++9                       	       0        8        1        0        7
30399 libcrypto-equality-clojure         	       0        2        0        0        2
30400 libcrypto-random-clojure           	       0        2        0        0        2
30401 libcryptokit-ocaml                 	       0        2        1        0        1
30402 libcryptokit-ocaml-dev             	       0        2        2        0        0
30403 libcryptominisat5-5.11             	       0        1        0        0        1
30404 libcryptopant1                     	       0        1        0        0        1
30405 libcryptsetup-dev                  	       0        4        4        0        0
30406 libcryptsetup4                     	       0      112        0        0      112
30407 libcryptui-dev                     	       0        1        1        0        0
30408 libcryptui-doc                     	       0        1        0        0        1
30409 libcryptui0a                       	       0       68        0        0       68
30410 libcrystalhd-dev                   	       0       11       11        0        0
30411 libcsdr0                           	       0        1        0        0        1
30412 libcsfml-audio2.5                  	       0        4        0        0        4
30413 libcsfml-audio2.6                  	       0        1        0        0        1
30414 libcsfml-dev                       	       0        5        5        0        0
30415 libcsfml-doc                       	       0        1        0        0        1
30416 libcsfml-graphics2.5               	       0        4        0        0        4
30417 libcsfml-graphics2.6               	       0        1        0        0        1
30418 libcsfml-network2.5                	       0        4        0        0        4
30419 libcsfml-network2.6                	       0        1        0        0        1
30420 libcsfml-system2.5                 	       0        4        0        0        4
30421 libcsfml-system2.6                 	       0        1        0        0        1
30422 libcsfml-window2.5                 	       0        4        0        0        4
30423 libcsfml-window2.6                 	       0        1        0        0        1
30424 libcsiro0                          	       0        1        0        0        1
30425 libcsirocsa0                       	       0        9        0        0        9
30426 libcsironn0                        	       0        9        0        0        9
30427 libcsmith0                         	       0        3        0        0        3
30428 libcsnd6-6.0v5                     	       0        7        0        0        7
30429 libcsnd6-java                      	       0        2        1        0        1
30430 libcsound64-6.0                    	       0       35        2        0       33
30431 libcsound64-dev                    	       0        1        1        0        0
30432 libcsparse2.2.3                    	       0        1        0        0        1
30433 libcsparse3.1.2                    	       0        1        0        0        1
30434 libcss-dom-perl                    	       0        3        3        0        0
30435 libcss-minifier-xs-perl            	       0        1        0        0        1
30436 libcss-parser-pp0v5                	       0        4        0        0        4
30437 libcss-parser0                     	       0        5        0        0        5
30438 libcss-perl                        	       0        3        3        0        0
30439 libcss-squish-perl                 	       0        1        1        0        0
30440 libcsv-java                        	       0        9        0        0        9
30441 libcsv-ocaml-dev                   	       0        1        1        0        0
30442 libcsv3                            	       0       20        0        0       20
30443 libcsxcad0                         	       0        6        0        0        6
30444 libct3                             	       0        1        0        0        1
30445 libct4                             	       0       64        1        0       63
30446 libctemplate-dev                   	       0        3        3        0        0
30447 libctemplate2                      	       0        3        0        0        3
30448 libctemplate3                      	       0        7        0        0        7
30449 libctemplate3t64                   	       0        1        0        0        1
30450 libctf-nobfd0                      	       0     2531        0        0     2531
30451 libctf0                            	       0     2530        0        0     2530
30452 libctl7                            	       0        6        0        0        6
30453 libctl7t64                         	       0        2        0        0        2
30454 libctpl-data                       	       0       59        0        0       59
30455 libctpl-dev                        	       0        1        1        0        0
30456 libctpl2                           	       0       67        0        0       67
30457 libctpl2t64                        	       0        2        0        0        2
30458 libctpp2-2v5                       	       0        1        0        0        1
30459 libctpp2-dev                       	       0        1        1        0        0
30460 libctypes-ocaml                    	       0        3        2        0        1
30461 libctypes-ocaml-dev                	       0        3        3        0        0
30462 libcu++-dev                        	       0       19       18        1        0
30463 libcub-dev                         	       0       25        0        0       25
30464 libcubeb-dev                       	       0        2        2        0        0
30465 libcubeb-doc                       	       0        2        0        0        2
30466 libcubeb0                          	       0       15        0        0       15
30467 libcublas-11-7                     	       0        1        0        0        1
30468 libcublas-12-4                     	       0        2        0        0        2
30469 libcublas-12-6                     	       0        4        0        0        4
30470 libcublas-12-8                     	       0        2        0        0        2
30471 libcublas-dev-11-7                 	       0        1        1        0        0
30472 libcublas-dev-12-4                 	       0        2        2        0        0
30473 libcublas-dev-12-6                 	       0        4        3        1        0
30474 libcublas-dev-12-8                 	       0        2        1        1        0
30475 libcublas11                        	       0       19        0        0       19
30476 libcublas12                        	       0        4        0        0        4
30477 libcublas4                         	       0        1        0        0        1
30478 libcublas5.5                       	       0        1        0        0        1
30479 libcublas6.0                       	       0        1        0        0        1
30480 libcublas6.5                       	       0        1        0        0        1
30481 libcublas8.0                       	       0        1        0        0        1
30482 libcublas9.2                       	       0        2        0        0        2
30483 libcublaslt11                      	       0       19        0        0       19
30484 libcublaslt12                      	       0        4        0        0        4
30485 libcucul0                          	       0        1        0        0        1
30486 libcucumber-tagexpressions-perl    	       0        1        1        0        0
30487 libcuda1-i386                      	       0        4        0        0        4
30488 libcudadebugger1                   	       0       11        0        0       11
30489 libcudart-old-versions             	       0        1        0        0        1
30490 libcudart11.0                      	       0       19        0        0       19
30491 libcudart12                        	       0        4        0        0        4
30492 libcudart4                         	       0        2        0        0        2
30493 libcudart5.5                       	       0        1        0        0        1
30494 libcudart6.0                       	       0        1        0        0        1
30495 libcudart6.5                       	       0        1        0        0        1
30496 libcudart8.0                       	       0        1        0        0        1
30497 libcudart9.2                       	       0        2        0        0        2
30498 libcudnn8                          	       0        2        0        0        2
30499 libcudnn8-dev                      	       0        2        2        0        0
30500 libcudnn8-samples                  	       0        1        1        0        0
30501 libcudnn9-cuda-12                  	       0        2        0        0        2
30502 libcudnn9-dev-cuda-12              	       0        2        0        2        0
30503 libcudnn9-samples                  	       0        2        0        2        0
30504 libcudnn9-static-cuda-12           	       0        2        0        0        2
30505 libcue-dev                         	       0        2        2        0        0
30506 libcue1                            	       0       16        0        0       16
30507 libcue2                            	       0      759        2        0      757
30508 libcufft-11-7                      	       0        1        0        0        1
30509 libcufft-12-4                      	       0        2        0        0        2
30510 libcufft-12-6                      	       0        4        0        0        4
30511 libcufft-12-8                      	       0        2        0        0        2
30512 libcufft-dev-11-7                  	       0        1        1        0        0
30513 libcufft-dev-12-4                  	       0        2        2        0        0
30514 libcufft-dev-12-6                  	       0        4        3        1        0
30515 libcufft-dev-12-8                  	       0        2        1        1        0
30516 libcufft10                         	       0       20        0        0       20
30517 libcufft11                         	       0        4        0        0        4
30518 libcufft4                          	       0        1        0        0        1
30519 libcufft5.5                        	       0        1        0        0        1
30520 libcufft6.0                        	       0        1        0        0        1
30521 libcufft6.5                        	       0        1        0        0        1
30522 libcufft8.0                        	       0        1        0        0        1
30523 libcufft9.2                        	       0        1        0        0        1
30524 libcufftw10                        	       0       19        0        0       19
30525 libcufftw11                        	       0        4        0        0        4
30526 libcufftw5.5                       	       0        1        0        0        1
30527 libcufftw6.0                       	       0        1        0        0        1
30528 libcufftw6.5                       	       0        1        0        0        1
30529 libcufftw8.0                       	       0        1        0        0        1
30530 libcufftw9.2                       	       0        1        0        0        1
30531 libcufile-11-7                     	       0        1        0        0        1
30532 libcufile-12-4                     	       0        2        0        0        2
30533 libcufile-12-6                     	       0        4        0        0        4
30534 libcufile-12-8                     	       0        2        0        0        2
30535 libcufile-dev-11-7                 	       0        1        1        0        0
30536 libcufile-dev-12-4                 	       0        2        2        0        0
30537 libcufile-dev-12-6                 	       0        4        3        1        0
30538 libcufile-dev-12-8                 	       0        2        1        1        0
30539 libcuinj64-11.2                    	       0        4        0        0        4
30540 libcuinj64-11.8                    	       0       15        0        0       15
30541 libcuinj64-12.2                    	       0        3        0        0        3
30542 libcuneiform-dev                   	       0        2        2        0        0
30543 libcuneiform0                      	       0       20        0        0       20
30544 libcunit1                          	       0       10        0        0       10
30545 libcunit1-doc                      	       0        1        1        0        0
30546 libcunit1-ncurses                  	       0        2        0        0        2
30547 libcunit1-ncurses-dev              	       0        2        2        0        0
30548 libcups2-dev                       	       0       67       66        1        0
30549 libcupscgi1                        	       0       72        0        0       72
30550 libcupsdriver1                     	       0        2        0        0        2
30551 libcupsfilters-dev                 	       0       55       52        3        0
30552 libcupsfilters2                    	       0        5        0        0        5
30553 libcupsfilters2-common             	       0        5        0        0        5
30554 libcupsimage2                      	       0      802        1        0      801
30555 libcupsimage2-dev                  	       0       67       66        1        0
30556 libcupsimage2t64                   	       0       45        0        0       45
30557 libcupsppdc1                       	       0       73        0        0       73
30558 libcupt-common                     	       0        6        0        0        6
30559 libcupt3-0                         	       0        2        2        0        0
30560 libcupt3-0-downloadmethod-curl     	       0        1        1        0        0
30561 libcupt4-2                         	       0        3        3        0        0
30562 libcupt4-2-downloadmethod-curl     	       0        2        2        0        0
30563 libcupt4-2-downloadmethod-wget     	       0        2        2        0        0
30564 libcupt4-2t64                      	       0        3        3        0        0
30565 libcupti-dev                       	       0       25       23        2        0
30566 libcupti-doc                       	       0       23       21        2        0
30567 libcupti11.2                       	       0        5        0        0        5
30568 libcupti11.8                       	       0       17        0        0       17
30569 libcupti12                         	       0        5        0        0        5
30570 libcupti6.0                        	       0        1        0        0        1
30571 libcupti6.5                        	       0        1        0        0        1
30572 libcupti7.5                        	       0        1        0        0        1
30573 libcurand-11-7                     	       0        1        0        0        1
30574 libcurand-12-4                     	       0        2        0        0        2
30575 libcurand-12-6                     	       0        4        0        0        4
30576 libcurand-12-8                     	       0        2        0        0        2
30577 libcurand-dev-11-7                 	       0        1        1        0        0
30578 libcurand-dev-12-4                 	       0        2        2        0        0
30579 libcurand-dev-12-6                 	       0        4        3        1        0
30580 libcurand-dev-12-8                 	       0        2        1        1        0
30581 libcurand10                        	       0       23        0        0       23
30582 libcurand4                         	       0        1        0        0        1
30583 libcurand5.5                       	       0        1        0        0        1
30584 libcurand6.0                       	       0        1        0        0        1
30585 libcurand6.5                       	       0        1        0        0        1
30586 libcurand8.0                       	       0        1        0        0        1
30587 libcurand9.2                       	       0        1        0        0        1
30588 libcurl-ocaml                      	       0        1        1        0        0
30589 libcurl3-nss                       	       0      313        0        0      313
30590 libcurl4-doc                       	       0       22        0        0       22
30591 libcurl4-nss-dev                   	       0       11       10        1        0
30592 libcurlpp-dev                      	       0        4        4        0        0
30593 libcurlpp0                         	       0        4        0        0        4
30594 libcurlpp0t64                      	       0        2        0        0        2
30595 libcurry-perl                      	       0        3        3        0        0
30596 libcurses-ocaml                    	       0        1        1        0        0
30597 libcurses-perl                     	       0      232        0        0      232
30598 libcurvesapi-java                  	       0      291        0        0      291
30599 libcusolver-11-7                   	       0        1        0        0        1
30600 libcusolver-12-4                   	       0        2        0        0        2
30601 libcusolver-12-6                   	       0        4        0        0        4
30602 libcusolver-12-8                   	       0        2        0        0        2
30603 libcusolver-dev-11-7               	       0        1        1        0        0
30604 libcusolver-dev-12-4               	       0        2        2        0        0
30605 libcusolver-dev-12-6               	       0        4        3        1        0
30606 libcusolver-dev-12-8               	       0        2        1        1        0
30607 libcusolver11                      	       0       23        0        0       23
30608 libcusolver8.0                     	       0        1        0        0        1
30609 libcusolver9.2                     	       0        1        0        0        1
30610 libcusolvermg11                    	       0       23        0        0       23
30611 libcusparse-11-7                   	       0        1        0        0        1
30612 libcusparse-12-4                   	       0        2        0        0        2
30613 libcusparse-12-6                   	       0        4        0        0        4
30614 libcusparse-12-8                   	       0        2        0        0        2
30615 libcusparse-dev-11-7               	       0        1        1        0        0
30616 libcusparse-dev-12-4               	       0        2        2        0        0
30617 libcusparse-dev-12-6               	       0        4        3        1        0
30618 libcusparse-dev-12-8               	       0        2        1        1        0
30619 libcusparse11                      	       0       19        0        0       19
30620 libcusparse12                      	       0        5        0        0        5
30621 libcusparse4                       	       0        1        0        0        1
30622 libcusparse5.5                     	       0        1        0        0        1
30623 libcusparse6.0                     	       0        1        0        0        1
30624 libcusparse8.0                     	       0        1        0        0        1
30625 libcusparse9.2                     	       0        1        0        0        1
30626 libcutl-1.10                       	       0        1        0        0        1
30627 libcutlass-dev                     	       0        1        1        0        0
30628 libcv-bridge2d                     	       0        1        0        0        1
30629 libcv-dev                          	       0        1        1        0        0
30630 libcvaux-dev                       	       0        1        1        0        0
30631 libcvc4-7                          	       0        2        0        0        2
30632 libcvc4parser7                     	       0        2        0        0        2
30633 libcvc5-1                          	       0        1        0        0        1
30634 libcvc5parser1                     	       0        1        0        0        1
30635 libcvd-dev                         	       0        1        1        0        0
30636 libcvd2                            	       0        2        0        0        2
30637 libcvector2                        	       0        4        0        0        4
30638 libcvm1                            	       0        2        0        0        2
30639 libcvs-perl                        	       0        1        1        0        0
30640 libcvsservice0-trinity             	       0       26       24        2        0
30641 libcw8                             	       0        3        1        0        2
30642 libcwidget-dev                     	       0        8        8        0        0
30643 libcwidget-doc                     	       0        5        0        0        5
30644 libcwidget3                        	       0       57        0        0       57
30645 libcwidget3v5                      	       0      168        0        0      168
30646 libcwidget4-dbgsym                 	       0        1        1        0        0
30647 libcwiid1t64                       	       0        3        0        0        3
30648 libcxl1                            	       0        1        0        0        1
30649 libcxsparse2.2.3                   	       0        2        0        0        2
30650 libcxsparse3                       	       0      104        0        0      104
30651 libcxsparse3.1.2                   	       0        1        0        0        1
30652 libcxsparse4                       	       0       10        0        0       10
30653 libcxx-serial1                     	       0        5        0        0        5
30654 libcxxopts-dev                     	       0        1        1        0        0
30655 libcxxtools-dev                    	       0        3        3        0        0
30656 libcxxtools10                      	       0        1        0        0        1
30657 libcxxtools8                       	       0        1        0        0        1
30658 libcxxtools9                       	       0        1        0        0        1
30659 libcxxtools9v5                     	       0        3        0        0        3
30660 libcypher-parser8                  	       0        1        0        0        1
30661 libcyrus-imap-perl                 	       0        3        3        0        0
30662 libczmq-dev                        	       0        2        2        0        0
30663 libczmq4                           	       0        5        0        0        5
30664 libczmq4-dbgsym                    	       0        1        1        0        0
30665 libd3dadapter9-mesa                	       0       12        0        0       12
30666 libd3dadapter9-mesa-dev            	       0        2        2        0        0
30667 libdaemon-control-perl             	       0        1        1        0        0
30668 libdaemon-dev                      	       0        4        4        0        0
30669 libdaemon-doc                      	       0        1        0        0        1
30670 libdaemon-generic-perl             	       0        1        1        0        0
30671 libdancer-perl                     	       0        1        1        0        0
30672 libdancer-plugin-dbic-perl         	       0        1        1        0        0
30673 libdancer-xml0                     	       0        1        0        0        1
30674 libdanga-socket-perl               	       0        2        2        0        0
30675 libdap-bin                         	       0        1        1        0        0
30676 libdap-dev                         	       0       16       16        0        0
30677 libdap11                           	       0        5        0        0        5
30678 libdap23                           	       0       14        0        0       14
30679 libdap25                           	       0       56        0        0       56
30680 libdap27                           	       0      157        2        0      155
30681 libdap27t64                        	       0        1        0        0        1
30682 libdapclient3                      	       0        4        0        0        4
30683 libdapclient6t64                   	       0        1        0        0        1
30684 libdapclient6v5                    	       0      191        2        0      189
30685 libdapserver7                      	       0        1        0        0        1
30686 libdapserver7t64                   	       0        1        0        0        1
30687 libdapserver7v5                    	       0       81        0        0       81
30688 libdaq0                            	       0        1        0        0        1
30689 libdar-dev                         	       0        1        0        1        0
30690 libdar64-5000                      	       0        2        0        0        2
30691 libdar64-6000                      	       0       17        0        0       17
30692 libdar64-6000t64                   	       0        2        0        0        2
30693 libdata-alias-perl                 	       0        1        0        0        1
30694 libdata-amf-perl                   	       0        5        5        0        0
30695 libdata-binary-perl                	       0        1        1        0        0
30696 libdata-bitmask-perl               	       0        3        3        0        0
30697 libdata-buffer-perl                	       0        1        1        0        0
30698 libdata-csv-clojure                	       0        1        0        0        1
30699 libdata-dump-streamer-perl         	       0        1        0        0        1
30700 libdata-dumper-simple-perl         	       0        4        4        0        0
30701 libdata-entropy-perl               	       0       13       13        0        0
30702 libdata-float-perl                 	       0       13       13        0        0
30703 libdata-format-html-perl           	       0        1        1        0        0
30704 libdata-generators-clojure         	       0        1        0        0        1
30705 libdata-hexdump-perl               	       0        1        1        0        0
30706 libdata-ieee754-perl               	       0        5        5        0        0
30707 libdata-integer-perl               	       0        3        3        0        0
30708 libdata-json-clojure               	       0        1        0        0        1
30709 libdata-messagepack-perl           	       0      622        0        0      622
30710 libdata-objectdriver-perl          	       0        2        2        0        0
30711 libdata-password-perl              	       0        8        8        0        0
30712 libdata-peek-perl                  	       0        3        0        0        3
30713 libdata-printer-perl               	       0        8        8        0        0
30714 libdata-priority-map-clojure       	       0        1        0        0        1
30715 libdata-random-perl                	       0        5        5        0        0
30716 libdata-rmap-perl                  	       0        1        1        0        0
30717 libdata-section-simple-perl        	       0        2        2        0        0
30718 libdata-serializer-perl            	       0        8        8        0        0
30719 libdata-stag-perl                  	       0        4        4        0        0
30720 libdata-stream-bulk-perl           	       0        2        2        0        0
30721 libdata-streamdeserializer-perl    	       0        2        0        0        2
30722 libdata-streamserializer-perl      	       0        2        0        0        2
30723 libdata-structure-util-perl        	       0        1        0        0        1
30724 libdata-table-perl                 	       0        1        1        0        0
30725 libdata-tablereader-perl           	       0        1        1        0        0
30726 libdata-treedumper-oo-perl         	       0        1        1        0        0
30727 libdata-treedumper-perl            	       0        2        2        0        0
30728 libdata-treedumper-renderer-gtk-perl	       0        1        1        0        0
30729 libdata-url-java                   	       0       22        0        0       22
30730 libdata-util-perl                  	       0        2        2        0        0
30731 libdata-uuid-libuuid-perl          	       0        1        0        0        1
30732 libdata-uuid-perl                  	       0        5        0        0        5
30733 libdata-visitor-perl-build-deps    	       0        1        0        0        1
30734 libdatachannel0.22                 	       0        2        0        0        2
30735 libdataobjects-postgres-ruby1.9.1  	       0        1        0        0        1
30736 libdataobjects-ruby1.9.1           	       0        1        0        0        1
30737 libdataobjects-sqlite3-ruby1.9.1   	       0        1        0        0        1
30738 libdataquay-dev                    	       0        1        1        0        0
30739 libdataquay0                       	       0        2        0        0        2
30740 libdate-extract-perl               	       0        1        1        0        0
30741 libdate-iso8601-perl               	       0        1        1        0        0
30742 libdate-pcalc-perl                 	       0        2        0        0        2
30743 libdate-simple-perl                	       0      118        0        0      118
30744 libdatetime-event-ical-perl        	       0        7        7        0        0
30745 libdatetime-event-recurrence-perl  	       0        7        7        0        0
30746 libdatetime-event-sunrise-perl     	       0        3        3        0        0
30747 libdatetime-format-dateparse-perl  	       0        7        7        0        0
30748 libdatetime-format-duration-perl   	       0        1        1        0        0
30749 libdatetime-format-flexible-perl   	       0       16       16        0        0
30750 libdatetime-format-http-perl       	       0        2        2        0        0
30751 libdatetime-format-human-duration-perl	       0        1        1        0        0
30752 libdatetime-format-ical-perl       	       0        7        7        0        0
30753 libdatetime-format-mysql-perl      	       0        8        8        0        0
30754 libdatetime-format-natural-perl    	       0       15       15        0        0
30755 libdatetime-format-pg-perl         	       0        2        2        0        0
30756 libdatetime-format-rfc3339-perl    	       0        1        1        0        0
30757 libdatetime-format-w3cdtf-perl     	       0       27       27        0        0
30758 libdatetime-hires-perl             	       0       10       10        0        0
30759 libdatetime-set-perl               	       0       10       10        0        0
30760 libdatetimex-easy-perl             	       0        4        4        0        0
30761 libdatovka8                        	       0        1        0        0        1
30762 libdatrie-doc                      	       0        4        0        0        4
30763 libdav1d1                          	       0        8        0        0        8
30764 libdavix0v5                        	       0        1        0        0        1
30765 libdavs2-dev                       	       0        2        2        0        0
30766 libdazzle-1.0-dev                  	       0        2        2        0        0
30767 libdazzle-common                   	       0       77        0        0       77
30768 libdazzle-doc                      	       0        1        0        0        1
30769 libdazzle-tools                    	       0        1        1        0        0
30770 libdb++-dev                        	       0        8        0        0        8
30771 libdb-dev                          	       0       67        0        0       67
30772 libdb-file-lock-perl               	       0        3        3        0        0
30773 libdb-java                         	       0        7        0        0        7
30774 libdb-je-java                      	       0       15        0        0       15
30775 libdb-sql-dev                      	       0        1        0        0        1
30776 libdb1-compat                      	       0        2        2        0        0
30777 libdb3                             	       0        1        0        0        1
30778 libdb4.2                           	       0        3        0        0        3
30779 libdb4.4                           	       0        2        0        0        2
30780 libdb4.5                           	       0        6        0        0        6
30781 libdb4.6                           	       0       23        0        0       23
30782 libdb4.7                           	       0       17        0        0       17
30783 libdb4.7-java                      	       0        1        0        0        1
30784 libdb4.8++                         	       0        5        0        0        5
30785 libdb4.8++-dev                     	       0        5        4        1        0
30786 libdb4.8-dev                       	       0        5        4        1        0
30787 libdb4o8.0-cil                     	       0        5        4        1        0
30788 libdb5.1++                         	       0        1        0        0        1
30789 libdb5.1-java                      	       0        2        0        0        2
30790 libdb5.1-java-jni                  	       0        6        0        0        6
30791 libdb5.1-sql                       	       0        1        0        0        1
30792 libdb5.3++-dev                     	       0       11       11        0        0
30793 libdb5.3++t64                      	       0        2        0        0        2
30794 libdb5.3-dbg                       	       0        1        1        0        0
30795 libdb5.3-java                      	       0       12        0        0       12
30796 libdb5.3-java-dev                  	       0        1        0        0        1
30797 libdb5.3-java-jni                  	       0       12        0        0       12
30798 libdb5.3-sql                       	       0        5        0        0        5
30799 libdb5.3-sql-dev                   	       0        2        2        0        0
30800 libdb5.3-stl                       	       0        2        0        0        2
30801 libdb5.3-stl-dev                   	       0        2        2        0        0
30802 libdb5.3-tcl                       	       0        2        0        0        2
30803 libdballe8                         	       0        1        0        0        1
30804 libdbd-csv-perl                    	       0       12       12        0        0
30805 libdbd-ldap-perl                   	       0        1        1        0        0
30806 libdbd-mariadb-perl                	       0      178        0        0      178
30807 libdbd-mock-perl                   	       0        2        2        0        0
30808 libdbd-mysql-ruby1.9.1             	       0        1        0        0        1
30809 libdbd-odbc-perl                   	       0        4        0        0        4
30810 libdbd-pg-ruby1.9.1                	       0        2        0        0        2
30811 libdbd-sqlite                      	       0        1        0        0        1
30812 libdbd-sqlite2-perl                	       0        1        0        0        1
30813 libdbd-sqlite3-perl                	       0      226        2        0      224
30814 libdbd-sqlite3-ruby1.9.1           	       0        1        0        0        1
30815 libdbd-sybase-perl                 	       0        3        0        0        3
30816 libdbi-dev                         	       0        8        8        0        0
30817 libdbi-ruby1.9.1                   	       0        1        0        0        1
30818 libdbi-test-perl                   	       0        8        8        0        0
30819 libdbi0                            	       0        3        3        0        0
30820 libdbi1t64                         	       0       18        1        0       17
30821 libdbicx-sugar-perl                	       0        1        1        0        0
30822 libdbix-class-dynamicdefault-perl  	       0        2        2        0        0
30823 libdbix-class-schema-populatemore-perl	       0        2        2        0        0
30824 libdbix-class-timestamp-perl       	       0        2        2        0        0
30825 libdbix-class-uuidcolumns-perl     	       0        3        3        0        0
30826 libdbix-connector-perl             	       0        7        7        0        0
30827 libdbix-dbschema-perl              	       0        2        2        0        0
30828 libdbix-multistatementdo-perl      	       0        1        1        0        0
30829 libdbix-runsql-perl                	       0        2        2        0        0
30830 libdbix-safe-perl                  	       0        1        1        0        0
30831 libdbix-searchbuilder-perl         	       0        2        2        0        0
30832 libdbus-1-3-dbgsym                 	       0        1        1        0        0
30833 libdbus-1-tqt-dev                  	       0        2        2        0        0
30834 libdbus-c++-bin                    	       0        4        4        0        0
30835 libdbus-c++-dev                    	       0        4        4        0        0
30836 libdbus-c++-doc                    	       0        1        0        0        1
30837 libdbus-cpp-dev                    	       0        1        1        0        0
30838 libdbus-cpp5                       	       0        2        0        0        2
30839 libdbus-glib-1-doc                 	       0        4        0        0        4
30840 libdbus-glib1.0-cil                	       0        1        0        0        1
30841 libdbus-glib2.0-cil                	       0       16        0        0       16
30842 libdbus-glib2.0-cil-dev            	       0        2        2        0        0
30843 libdbus-java                       	       0        2        0        0        2
30844 libdbus-tqt-1-1c2                  	       0        4        0        0        4
30845 libdbus1.0-cil                     	       0        1        0        0        1
30846 libdbus2.0-cil                     	       0       17        0        0       17
30847 libdbus2.0-cil-dev                 	       0        2        2        0        0
30848 libdbusextended-qt5-1              	       0        2        0        0        2
30849 libdbusextended-qt5-dev            	       0        1        1        0        0
30850 libdbuskit0                        	       0        2        2        0        0
30851 libdbusmenu-glib-dev               	       0       21       21        0        0
30852 libdbusmenu-glib3                  	       0        1        0        0        1
30853 libdbusmenu-gtk-dev                	       0        1        1        0        0
30854 libdbusmenu-gtk-doc                	       0        1        0        0        1
30855 libdbusmenu-gtk3                   	       0        1        0        0        1
30856 libdbusmenu-gtk3-dev               	       0        7        7        0        0
30857 libdbusmenu-qt2                    	       0       45        1        0       44
30858 libdbusmenu-qt5-dev                	       0        9        9        0        0
30859 libdbusmenu-qt5-doc                	       0        2        0        0        2
30860 libdbustest1                       	       0        2        0        0        2
30861 libdc1394-13                       	       0        3        0        0        3
30862 libdc1394-22                       	       0      310        0        0      310
30863 libdc1394-22-dev                   	       0        6        3        0        3
30864 libdc1394-dev                      	       0       61       59        2        0
30865 libdc1394-utils                    	       0        2        2        0        0
30866 libdca-dev                         	       0       26       26        0        0
30867 libdca-utils                       	       0        2        2        0        0
30868 libdcmtk-dev                       	       0        7        5        2        0
30869 libdcmtk14                         	       0       19        0        0       19
30870 libdcmtk16                         	       0        1        0        0        1
30871 libdcmtk17                         	       0      140        2        0      138
30872 libdcmtk17t64                      	       0        4        0        0        4
30873 libdcmtk18                         	       0        6        0        0        6
30874 libdcmtk19                         	       0        7        0        0        7
30875 libdcmtk2                          	       0        5        0        0        5
30876 libdcmtk2-dev                      	       0        1        1        0        0
30877 libdcmtk8                          	       0        3        0        0        3
30878 libdconf-dev                       	       0        2        2        0        0
30879 libdconf-doc                       	       0        2        0        0        2
30880 libdconf0                          	       0       12        0        0       12
30881 libdconf1-dbgsym                   	       0        1        1        0        0
30882 libdd-plist-java                   	       0       47        0        0       47
30883 libddcutil-dev                     	       0        4        3        1        0
30884 libdds0                            	       0        9        0        0        9
30885 libddsc0debian                     	       0        1        0        0        1
30886 libde265-examples                  	       0        2        2        0        0
30887 libdebconf-kde0                    	       0        1        0        0        1
30888 libdebconf-kde1                    	       0      547        0        0      547
30889 libdebconfclient0                  	       0     4178        0        0     4178
30890 libdebian-copyright-perl           	       0        4        4        0        0
30891 libdebian-installer-extra4         	       0       17        0        0       17
30892 libdebian-installer4               	       0       27        0        0       27
30893 libdebian-source-perl              	       0       15       14        1        0
30894 libdebug-client-perl               	       0        1        1        0        0
30895 libdebug-trace-perl                	       0        1        1        0        0
30896 libdebug0                          	       0        2        0        0        2
30897 libdebuginfod-common               	       0      916        0        0      916
30898 libdebuginfod1t64                  	       0       97        0        0       97
30899 libdecaf0                          	       0       32        1        0       31
30900 libdecor-0-plugin-1-cairo          	       0     2391        0        0     2391
30901 libdecor-0-plugin-1-gtk            	       0      118        0        0      118
30902 libdecoration0-trinity             	       0        3        0        0        3
30903 libdee-dev                         	       0        1        1        0        0
30904 libdeflate-tools                   	       0        1        1        0        0
30905 libdelimmatch-perl                 	       0        1        1        0        0
30906 libdeprecated-ruby1.9.1            	       0        1        0        0        1
30907 libdevel-caller-perl               	       0      580        0        0      580
30908 libdevel-confess-perl              	       0        3        3        0        0
30909 libdevel-cover-perl                	       0        4        4        0        0
30910 libdevel-cycle-perl                	       0        3        3        0        0
30911 libdevel-declare-perl              	       0        4        0        0        4
30912 libdevel-dprof-perl                	       0        8        7        1        0
30913 libdevel-dumpvar-perl              	       0        1        1        0        0
30914 libdevel-gdb-perl                  	       0        1        1        0        0
30915 libdevel-lexalias-perl             	       0      579        0        0      579
30916 libdevel-mat-dumper-perl           	       0       18        0        0       18
30917 libdevel-nytprof-perl              	       0        3        3        0        0
30918 libdevel-patchperl-perl            	       0        6        6        0        0
30919 libdevel-profile-perl              	       0        6        5        1        0
30920 libdevel-ptkdb-perl                	       0        1        1        0        0
30921 libdevel-refactor-perl             	       0        1        1        0        0
30922 libdevel-repl-perl                 	       0        1        1        0        0
30923 libdevel-size-perl                 	       0      626        0        0      626
30924 libdevel-trace-perl                	       0        1        1        0        0
30925 libdevhelp-3-0                     	       0        1        0        0        1
30926 libdevhelp-3-2                     	       0        3        0        0        3
30927 libdevhelp-3-6                     	       0       59        0        0       59
30928 libdevice-serialport-perl          	       0       32       32        0        0
30929 libdeviceinfo0                     	       0        1        0        0        1
30930 libdevil-dev                       	       0        6        6        0        0
30931 libdevil1c2                        	       0       20       20        0        0
30932 libdevmapper-dev                   	       0       33       30        3        0
30933 libdex-1-1                         	       0        1        0        0        1
30934 libdexx-java                       	       0        2        0        0        2
30935 libdfu1                            	       0        1        0        0        1
30936 libdhash1                          	       0       13       10        0        3
30937 libdi6                             	       0        1        0        0        1
30938 libdiagnostic-msgs-dev             	       0        1        1        0        0
30939 libdialog15                        	       0      120        1        0      119
30940 libdico2t64                        	       0        2        1        0        1
30941 libdicomscope-jni                  	       0       11        0        0       11
30942 libdictzip-java                    	       0        5        0        0        5
30943 libdieharder3                      	       0        9        0        0        9
30944 libdifflcs-ruby1.9.1               	       0        1        0        0        1
30945 libdiffutils-java                  	       0        2        0        0        2
30946 libdigest-bcrypt-perl              	       0        2        2        0        0
30947 libdigest-crc-perl                 	       0        9        0        0        9
30948 libdigest-jhash-perl               	       0        7        0        0        7
30949 libdigest-md2-perl                 	       0        1        0        0        1
30950 libdigest-md4-perl                 	       0       17        0        0       17
30951 libdigest-md5-file-perl            	       0       25       25        0        0
30952 libdigest-murmurhash3-pureperl-perl	       0        1        1        0        0
30953 libdigest-whirlpool-perl           	       0        1        1        0        0
30954 libdigidocpp-common                	       0        1        0        0        1
30955 libdigidocpp-tools                 	       0        1        1        0        0
30956 libdigidocpp1                      	       0        1        0        0        1
30957 libdigiham0                        	       0        1        0        0        1
30958 libdime-dev                        	       0        3        3        0        0
30959 libdime1                           	       0        5        0        0        5
30960 libdirac-decoder0                  	       0       18        0        0       18
30961 libdirac-dev                       	       0        5        5        0        0
30962 libdirac-doc                       	       0        1        0        0        1
30963 libdirac-encoder0                  	       0       43        0        0       43
30964 libdirectfb-0.9-25                 	       0        1        1        0        0
30965 libdirectfb-1.0-0                  	       0        4        0        0        4
30966 libdirectfb-1.2-0                  	       0        1        0        0        1
30967 libdirectfb-1.2-9                  	       0      117        0        0      117
30968 libdirectfb-1.7-7                  	       0     2593        3        0     2590
30969 libdirectfb-1.7-7t64               	       0      126        1        0      125
30970 libdirectfb-bin                    	       0        4        4        0        0
30971 libdirectfb-dev                    	       0       17       17        0        0
30972 libdirectfb-extra                  	       0       31        0        0       31
30973 libdirectory-scratch-perl          	       0        1        1        0        0
30974 libdirectory-scratch-structured-perl	       0        1        1        0        0
30975 libdirgra-java                     	       0        4        0        0        4
30976 libdirgra-java-doc                 	       0        1        0        0        1
30977 libdisasm-dev                      	       0        1        0        1        0
30978 libdisasm0                         	       0       36        0        0       36
30979 libdiscid-dev                      	       0        3        3        0        0
30980 libdiscover-dev                    	       0        1        1        0        0
30981 libdiscover2                       	       0     3988        0        0     3988
30982 libdislocker0-dev                  	       0        1        0        1        0
30983 libdislocker0.7                    	       0       26        0        0       26
30984 libdislocker0.7t64                 	       0        2        0        0        2
30985 libdisorder0                       	       0        1        0        0        1
30986 libdispatch-class-perl             	       0        2        2        0        0
30987 libdispatch1                       	       0        1        0        0        1
30988 libdisplay-info-bin                	       0        7        2        5        0
30989 libdisplay-info-dev                	       0        3        2        1        0
30990 libdisplay-info1                   	       0        6        0        0        6
30991 libdisruptor-java                  	       0        6        0        0        6
30992 libdistorm3-3                      	       0        7        0        0        7
30993 libdivsufsort-dev                  	       0        2        2        0        0
30994 libdivsufsort3                     	       0        5        0        0        5
30995 libdjinterop0                      	       0        4        0        0        4
30996 libdjvulibre-dev                   	       0       80       79        1        0
30997 libdjvulibre-text                  	       0     3408        0        0     3408
30998 libdjvulibre15                     	       0        1        0        0        1
30999 libdleyna-connector-dbus-1.0-1     	       0      104        0        0      104
31000 libdleyna-core-1.0-3               	       0       31        0        0       31
31001 libdleyna-core-1.0-5               	       0       76        0        0       76
31002 libdleyna-core-1.0-6               	       0        1        0        0        1
31003 libdlib-data                       	       0        8        0        0        8
31004 libdlib-dev                        	       0        2        2        0        0
31005 libdlib19                          	       0        3        0        0        3
31006 libdlib19.1                        	       0        5        4        0        1
31007 libdlm3                            	       0        7        2        0        5
31008 libdlmcontrol3                     	       0        1        0        0        1
31009 libdlrestrictions-dev              	       0        1        1        0        0
31010 libdlt-dev                         	       0        1        1        0        0
31011 libdm0                             	       0        1        0        0        1
31012 libdmalloc-dev                     	       0        2        2        0        0
31013 libdmalloc5                        	       0        2        0        0        2
31014 libdmapsharing-4.0-3               	       0        2        0        0        2
31015 libdmapsharing-4.0-3t64            	       0       34        0        0       34
31016 libdmlc0                           	       0        1        0        0        1
31017 libdmr0.1                          	       0        5        0        0        5
31018 libdmraid1.0.0.rc16                	       0       32        0        0       32
31019 libdmrconf0                        	       0        4        0        0        4
31020 libdmrconf0.10                     	       0        3        0        0        3
31021 libdmtx-dev                        	       0        3        3        0        0
31022 libdmtx-utils                      	       0        1        0        0        1
31023 libdmx1                            	       0       58        0        0       58
31024 libdnet                            	       0        8        0        0        8
31025 libdnet-dev                        	       0        2        2        0        0
31026 libdnf2                            	       0        3        0        0        3
31027 libdnf2-common                     	       0        3        0        0        3
31028 libdnlib2.1-cil                    	       0       19       19        0        0
31029 libdnnl2                           	       0       13        0        0       13
31030 libdnnl3                           	       0       43        0        0       43
31031 libdnnl3.6                         	       0       48        0        0       48
31032 libdns-export100                   	       0      217        0        0      217
31033 libdns-export1100                  	       0        1        0        0        1
31034 libdns-export1104                  	       0      521        1        0      520
31035 libdns-export1109                  	       0        1        1        0        0
31036 libdns-export162                   	       0      473        1        0      472
31037 libdns-export169                   	       0        1        0        0        1
31038 libdns-export190                   	       0        1        0        0        1
31039 libdns-ruby                        	       0        1        0        0        1
31040 libdns100                          	       0       85        0        0       85
31041 libdns1104                         	       0      299        0        0      299
31042 libdns1109                         	       0        1        0        0        1
31043 libdns1110                         	       0       57        0        0       57
31044 libdns162                          	       0      186        0        0      186
31045 libdns22                           	       0        4        0        0        4
31046 libdns69                           	       0       18        0        0       18
31047 libdns81                           	       0        2        0        0        2
31048 libdns88                           	       0       21        0        0       21
31049 libdnsjava-java                    	       0        3        0        0        3
31050 libdnssec2                         	       0        1        0        0        1
31051 libdnssec6                         	       0        2        0        0        2
31052 libdnssec7                         	       0        1        0        0        1
31053 libdnssec8                         	       0        4        0        0        4
31054 libdnssec9t64                      	       0        2        2        0        0
31055 libdnswire-dev                     	       0        1        1        0        0
31056 libdnswire1t64                     	       0        1        0        0        1
31057 libdockapp-dev                     	       0        7        7        0        0
31058 libdockapp2                        	       0        2        0        0        2
31059 libdocopt0                         	       0       11        0        0       11
31060 libdogleg-dev                      	       0        1        1        0        0
31061 libdogleg2                         	       0        1        0        0        1
31062 libdokujclient-java                	       0        3        0        0        3
31063 libdolfinx-dev                     	       0        1        1        0        0
31064 libdolfinx-real-dev                	       0        1        0        0        1
31065 libdolfinx-real0.5                 	       0        1        0        0        1
31066 libdolphinvcs-dev                  	       0        1        0        1        0
31067 libdom4j-java                      	       0      469        0        0      469
31068 libdom4j-java-doc                  	       0        1        0        0        1
31069 libdomain-publicsuffix-perl        	       0        9        9        0        0
31070 libdoodle1                         	       0        1        0        0        1
31071 libdotconf-dev                     	       0        1        1        0        0
31072 libdotconf1.0                      	       0        4        0        0        4
31073 libdouble-conversion-dev           	       0       29       28        1        0
31074 libdovi3                           	       0        4        0        0        4
31075 libdoxia-core-java                 	       0       17        0        0       17
31076 libdoxia-java                      	       0       12        0        0       12
31077 libdoxia-java-doc                  	       0        1        0        0        1
31078 libdoxia-sitetools-java            	       0       10        0        0       10
31079 libdoxygen-filter-perl             	       0        1        1        0        0
31080 libdpdk-dev                        	       0        2        2        0        0
31081 libdpkg-dev                        	       0        5        4        1        0
31082 libdpkg-parse-perl                 	       0        6        6        0        0
31083 libdraco-dev                       	       0        8        8        0        0
31084 libdraco1                          	       0        1        0        0        1
31085 libdraco1a                         	       0        1        0        0        1
31086 libdraco3                          	       0        2        0        0        2
31087 libdraco4                          	       0        1        0        0        1
31088 libdraco8                          	       0       10        0        0       10
31089 libdrilbo-common                   	       0        5        0        0        5
31090 libdrilbo-dev                      	       0        1        1        0        0
31091 libdrm-amdgpu-common               	       0       17        0        0       17
31092 libdrm-amdgpu-dev                  	       0        6        6        0        0
31093 libdrm-amdgpu-static               	       0        1        0        0        1
31094 libdrm-amdgpu-utils                	       0        1        1        0        0
31095 libdrm-common                      	       0     3665        0        0     3665
31096 libdrm-etnaviv1                    	       0        5        0        0        5
31097 libdrm-exynos1                     	       0        1        0        0        1
31098 libdrm-freedreno1                  	       0        3        0        0        3
31099 libdrm-nouveau1                    	       0        1        0        0        1
31100 libdrm-nouveau1a                   	       0       13        0        0       13
31101 libdrm-omap1                       	       0        1        0        0        1
31102 libdrm-tegra0                      	       0        3        0        0        3
31103 libdrmaa1.0-java                   	       0        1        0        0        1
31104 libdropwizard-metrics-java         	       0        4        0        0        4
31105 libdrpm0                           	       0        2        0        0        2
31106 libdrumstick-alsa1                 	       0        7        0        0        7
31107 libdrumstick-alsa2                 	       0       24        0        0       24
31108 libdrumstick-alsa2t64              	       0        6        0        0        6
31109 libdrumstick-dev                   	       0        2        1        1        0
31110 libdrumstick-file1                 	       0        3        0        0        3
31111 libdrumstick-file2                 	       0        8        0        0        8
31112 libdrumstick-file2t64              	       0        1        0        0        1
31113 libdrumstick-plugins               	       0        9        0        0        9
31114 libdrumstick-rt-backends           	       0       24        0        0       24
31115 libdrumstick-rt1                   	       0        5        0        0        5
31116 libdrumstick-rt2                   	       0       18        0        0       18
31117 libdrumstick-rt2t64                	       0        6        0        0        6
31118 libdrumstick-widgets2              	       0       18        0        0       18
31119 libdrumstick-widgets2t64           	       0        6        0        0        6
31120 libdsdcc1                          	       0        4        0        0        4
31121 libdsdcc1t64                       	       0        2        0        0        2
31122 libdsdp-5.8gf                      	       0       10        0        0       10
31123 libdsfmt-19937-1                   	       0       17        0        0       17
31124 libdsfmt-216091-1                  	       0        1        0        0        1
31125 libdshconfig1                      	       0        5        0        0        5
31126 libdsk4                            	       0        8        0        0        8
31127 libdsk4-dev                        	       0        1        1        0        0
31128 libdsm3                            	       0       11        0        0       11
31129 libdsocksd0                        	       0        5        0        0        5
31130 libdsocksd0t64                     	       0        2        0        0        2
31131 libdspam7                          	       0        1        0        0        1
31132 libdspam7-drv-mysql                	       0        1        0        0        1
31133 libdspy-1-1                        	       0        1        0        0        1
31134 libdssialsacompat-dev              	       0        1        1        0        0
31135 libdssialsacompat0                 	       0        1        0        0        1
31136 libdtd-parser-java                 	       0      335        0        0      335
31137 libdtdinst-java                    	       0        1        0        0        1
31138 libdtkcommon                       	       0       14        0        0       14
31139 libdtkcore2                        	       0        4        0        0        4
31140 libdtkwidget2                      	       0        4        0        0        4
31141 libdtkwm2                          	       0        2        0        0        2
31142 libdtkwm5                          	       0        5        0        0        5
31143 libdts-dev                         	       0        5        5        0        0
31144 libdublintraceroute0               	       0        4        0        0        4
31145 libdujour-version-check-clojure    	       0        1        0        0        1
31146 libduktape202                      	       0        1        0        0        1
31147 libduktape203                      	       0       50        0        0       50
31148 libduktape206                      	       0        4        0        0        4
31149 libdumb1                           	       0       86        0        0       86
31150 libdumb1-dbgsym                    	       0        1        1        0        0
31151 libdumb1-dev                       	       0        8        8        0        0
31152 libdumb1t64                        	       0        5        0        0        5
31153 libdumbnet-dev                     	       0        2        2        0        0
31154 libdune-geometry-dev               	       0        3        3        0        0
31155 libdune-grid-dev                   	       0        3        2        1        0
31156 libdune-grid-glue-dev              	       0        1        0        0        1
31157 libdune-uggrid-dev                 	       0        3        3        0        0
31158 libdv4-dev                         	       0       11       11        0        0
31159 libdv4t64                          	       0      235        2        0      233
31160 libdvbcsa-dev                      	       0        7        7        0        0
31161 libdvbpsi-dev                      	       0       13       13        0        0
31162 libdvbpsi4                         	       0        1        0        0        1
31163 libdvbpsi6                         	       0        3        0        0        3
31164 libdvbpsi7                         	       0        6        0        0        6
31165 libdvbv5-0                         	       0       35        0        0       35
31166 libdvbv5-0t64                      	       0        4        0        0        4
31167 libdvbv5-doc                       	       0        2        0        0        2
31168 libdvdcss                          	       0        1        1        0        0
31169 libdvdcss-dev                      	       0      162      161        1        0
31170 libdvdcss2-dbgsym                  	       0      150      148        1        1
31171 libdvdnav-dev                      	       0       40       40        0        0
31172 libdvdnav-doc                      	       0        5        0        0        5
31173 libdvdread-dev                     	       0       45       45        0        0
31174 libdvdread3                        	       0        1        0        0        1
31175 libdvdread4                        	       0      301        1        0      300
31176 libdvdread7                        	       0        2        0        0        2
31177 libdvilib2-16                      	       0        1        0        0        1
31178 libdwarf++0                        	       0        6        0        0        6
31179 libdwarf-dev                       	       0        8        8        0        0
31180 libdwarf1                          	       0       17        0        0       17
31181 libdx4                             	       0        7        7        0        0
31182 libdx4-dev                         	       0        1        1        0        0
31183 libdxflib-2.2.0.0                  	       0        1        0        0        1
31184 libdxflib-dev                      	       0        4        4        0        0
31185 libdxflib3                         	       0       22        0        0       22
31186 libdxfrw-bin                       	       0        1        1        0        0
31187 libdxfrw-dev                       	       0        1        1        0        0
31188 libdxfrw0                          	       0        1        0        0        1
31189 libdynamic-reconfigure-config-init-mutex-dev	       0        1        1        0        0
31190 libdynamic-reconfigure-config-init-mutex0d	       0        2        0        0        2
31191 libdynamite0                       	       0        6        0        0        6
31192 libdynapath-clojure                	       0        1        0        0        1
31193 libdynarmic-dev                    	       0        1        0        1        0
31194 libdynarmic6                       	       0        4        0        0        4
31195 libdynarmic6.7                     	       0        1        0        0        1
31196 libe-book-0.0-0                    	       0        1        0        0        1
31197 libeantic-dev                      	       0        1        1        0        0
31198 libeantic1                         	       0       10        0        0       10
31199 libeantic3                         	       0        2        0        0        2
31200 libear                             	       0       12        0        0       12
31201 libeasybind-java                   	       0        1        0        0        1
31202 libeasyloggingpp-dev               	       0        1        1        0        0
31203 libeasymock-java                   	       0       10        0        0       10
31204 libeasymock-java-doc               	       0        1        0        0        1
31205 libeb16t64                         	       0        4        0        0        4
31206 libebackend-1.2-2                  	       0        4        0        0        4
31207 libebackend-1.2-6                  	       0        1        0        0        1
31208 libebackend-1.2-7                  	       0        8        0        0        8
31209 libebackend1.2-dev                 	       0        4        4        0        0
31210 libebml-dev                        	       0       14       13        1        0
31211 libebml0                           	       0        3        0        0        3
31212 libebml3                           	       0        5        0        0        5
31213 libebml4v5                         	       0      171        0        0      171
31214 libebook-1.2-13                    	       0        2        0        0        2
31215 libebook-1.2-14                    	       0        3        0        0        3
31216 libebook-1.2-16                    	       0       12        0        0       12
31217 libebook-1.2-19                    	       0       13        1        0       12
31218 libebook-contacts-1.2-0            	       0        3        0        0        3
31219 libebook-contacts-1.2-2            	       0       34        1        0       33
31220 libebook-contacts1.2-dev           	       0        4        4        0        0
31221 libebook-tools-perl                	       0        3        3        0        0
31222 libebook1.2-dev                    	       0        4        4        0        0
31223 libebur128-dev                     	       0        6        6        0        0
31224 libec-dev                          	       0        9        9        0        0
31225 libec10                            	       0       10        0        0       10
31226 libec4                             	       0        1        0        0        1
31227 libecal-1.2-11                     	       0        5        0        0        5
31228 libecal-1.2-16                     	       0        6        0        0        6
31229 libecal-1.2-19                     	       0       28        1        0       27
31230 libecap2                           	       0        2        0        0        2
31231 libecasoundc-dev                   	       0        1        1        0        0
31232 libecasoundc1v5                    	       0       10        0        0       10
31233 libeccodes-data                    	       0       12        0        0       12
31234 libeccodes-dev                     	       0        4        4        0        0
31235 libeccodes-tools                   	       0        3        3        0        0
31236 libeccodes0                        	       0       12        0        0       12
31237 libecholib1.3                      	       0        2        0        0        2
31238 libecholib1.3t64                   	       0        2        0        0        2
31239 libechonest2.1                     	       0        1        0        0        1
31240 libecj-java                        	       0       48        0        0       48
31241 libecj-java-gcj                    	       0        5        5        0        0
31242 libeckit-dev                       	       0        1        1        0        0
31243 libeckit-utils                     	       0        1        1        0        0
31244 libeckit0d                         	       0        6        0        0        6
31245 libecl-dev                         	       0       18       18        0        0
31246 libecl21.2                         	       0       18        0        0       18
31247 libecl21.2t64                      	       0        1        0        0        1
31248 libecl24.5t64                      	       0        1        0        0        1
31249 libeclipse-aether-java             	       0        2        0        0        2
31250 libeclipse-cdt-java                	       0        1        0        0        1
31251 libeclipse-core-contenttype-java   	       0        5        5        0        0
31252 libeclipse-core-databinding-java   	       0        5        5        0        0
31253 libeclipse-core-databinding-observable-java	       0        5        5        0        0
31254 libeclipse-core-databinding-property-java	       0        5        5        0        0
31255 libeclipse-core-expressions-java   	       0        5        5        0        0
31256 libeclipse-core-filesystem-java    	       0        5        5        0        0
31257 libeclipse-core-jobs-java          	       0        5        5        0        0
31258 libeclipse-core-net-java           	       0        1        1        0        0
31259 libeclipse-core-resources-java     	       0        5        5        0        0
31260 libeclipse-e4-core-commands-java   	       0        5        5        0        0
31261 libeclipse-e4-core-contexts-java   	       0        5        5        0        0
31262 libeclipse-e4-core-di-annotations-java	       0        5        5        0        0
31263 libeclipse-e4-core-di-extensions-java	       0        5        5        0        0
31264 libeclipse-e4-core-di-extensions-supplier-java	       0        5        5        0        0
31265 libeclipse-e4-core-di-java         	       0        5        5        0        0
31266 libeclipse-e4-core-services-java   	       0        5        5        0        0
31267 libeclipse-e4-emf-xpath-java       	       0        5        5        0        0
31268 libeclipse-e4-ui-bindings-java     	       0        5        5        0        0
31269 libeclipse-e4-ui-css-core-java     	       0        5        5        0        0
31270 libeclipse-e4-ui-css-swt-java      	       0        5        5        0        0
31271 libeclipse-e4-ui-css-swt-theme-java	       0        5        5        0        0
31272 libeclipse-e4-ui-di-java           	       0        5        5        0        0
31273 libeclipse-e4-ui-dialogs-java      	       0        5        5        0        0
31274 libeclipse-e4-ui-ide-java          	       0        1        1        0        0
31275 libeclipse-e4-ui-model-workbench-java	       0        5        5        0        0
31276 libeclipse-e4-ui-services-java     	       0        5        5        0        0
31277 libeclipse-e4-ui-workbench-addons-swt-java	       0        5        5        0        0
31278 libeclipse-e4-ui-workbench-java    	       0        5        5        0        0
31279 libeclipse-e4-ui-workbench-renderers-swt-java	       0        5        5        0        0
31280 libeclipse-e4-ui-workbench-swt-java	       0        5        5        0        0
31281 libeclipse-e4-ui-workbench3-java   	       0        5        5        0        0
31282 libeclipse-emf-common-java         	       0        5        5        0        0
31283 libeclipse-emf-ecore-java          	       0        5        5        0        0
31284 libeclipse-emf-ecore-xmi-java      	       0        5        5        0        0
31285 libeclipse-help-java               	       0        5        5        0        0
31286 libeclipse-jdt-core-compiler-batch-java	       0        8        8        0        0
31287 libeclipse-jface-databinding-java  	       0        5        5        0        0
31288 libeclipse-jface-notifications-java	       0        5        5        0        0
31289 libeclipse-jface-text-java         	       0        5        5        0        0
31290 libeclipse-osgi-services-java      	       0        5        5        0        0
31291 libeclipse-swtchart-java           	       0        4        0        0        4
31292 libeclipse-text-java               	       0        5        5        0        0
31293 libeclipse-ui-ide-application-java 	       0        1        1        0        0
31294 libeclipse-ui-ide-java             	       0        1        1        0        0
31295 libeclipse-ui-java                 	       0        1        1        0        0
31296 libeclipse-ui-navigator-java       	       0        1        1        0        0
31297 libeclipse-ui-views-java           	       0        1        1        0        0
31298 libeclipse-ui-workbench-java       	       0        5        5        0        0
31299 libeclipse-urischeme-java          	       0        5        5        0        0
31300 libeclipselink-java                	       0        3        0        0        3
31301 libeclipselink-java-doc            	       0        1        0        0        1
31302 libecm-dev                         	       0       11        0        0       11
31303 libecm1                            	       0       18        0        0       18
31304 libecm1-dev                        	       0       14        0        0       14
31305 libecm1-dev-common                 	       0       14       14        0        0
31306 libecore-con1t64                   	       0        4        1        0        3
31307 libecore-fb1                       	       0       39        0        0       39
31308 libecpg-compat3                    	       0        9        0        0        9
31309 libecpg-dev                        	       0        9        8        1        0
31310 libecpg6                           	       0       10        0        0       10
31311 libectrans-0                       	       0        1        0        0        1
31312 libedac1                           	       0       29        0        0       29
31313 libedata-book-1.2-20               	       0        3        0        0        3
31314 libedata-book-1.2-25               	       0       25        1        0       24
31315 libedata-book1.2-dev               	       0        4        4        0        0
31316 libedata-cal-1.2-15                	       0        3        0        0        3
31317 libedata-cal-1.2-23                	       0        5        0        0        5
31318 libedata-cal-1.2-28                	       0        6        0        0        6
31319 libedata-cal-1.2-29                	       0        9        1        0        8
31320 libedataserver-1.2-16              	       0        8        0        0        8
31321 libedataserver-1.2-17              	       0        1        0        0        1
31322 libedataserver-1.2-18              	       0        8        0        0        8
31323 libedataserver-1.2-21              	       0        1        0        0        1
31324 libedataserver-1.2-22              	       0       15        0        0       15
31325 libedataserver-1.2-23              	       0       23        1        0       22
31326 libedataserver-1.2-24              	       0        1        0        0        1
31327 libedataserver-1.2-26              	       0        5        1        0        4
31328 libedataserver1.2-dev              	       0        5        5        0        0
31329 libedataserverui-1.2-1             	       0        6        0        0        6
31330 libedataserverui-1.2-3             	       0        1        0        0        1
31331 libedataserverui-3.0-1             	       0        2        0        0        2
31332 libedataserverui4-1.0-0t64         	       0        8        0        0        8
31333 libedbus1                          	       0        1        0        0        1
31334 libedf1                            	       0        2        0        0        2
31335 libedfmt0                          	       0        1        0        0        1
31336 libedgetpu-dev                     	       0        1        1        0        0
31337 libedgetpu1-max                    	       0        1        1        0        0
31338 libeditline-dev                    	       0        1        1        0        0
31339 libeditline0                       	       0        4        0        0        4
31340 libeditorconfig-dev                	       0        1        1        0        0
31341 libedlib-dev                       	       0        1        1        0        0
31342 libedlib0                          	       0        1        0        0        1
31343 libedlib1                          	       0        1        0        0        1
31344 libeduvpn-common                   	       0        3        0        0        3
31345 libee0                             	       0        7        0        0        7
31346 libeel2-data                       	       0        1        0        0        1
31347 libeet-bin                         	       0        1        1        0        0
31348 libefiboot-dev                     	       0        1        1        0        0
31349 libefiboot1t64                     	       0      276        0        0      276
31350 libefisec1                         	       0        1        0        0        1
31351 libefisec1t64                      	       0        2        0        0        2
31352 libefivar-dev                      	       0        1        1        0        0
31353 libefivar0                         	       0       10        0        0       10
31354 libefivar1t64                      	       0      276        0        0      276
31355 libeggdbus-1-0                     	       0        4        0        0        4
31356 libegl-nvidia-tesla-450-0          	       0        2        0        0        2
31357 libegl1-amdgpu-mesa-dev            	       0        1        1        0        0
31358 libegl1-amdgpu-mesa-drivers        	       0        7        0        0        7
31359 libegl1-glvnd-nvidia               	       0        2        0        0        2
31360 libegl1-mesa                       	       0      452        0        0      452
31361 libegl1-mesa-dev                   	       0      373      355       18        0
31362 libegl1-mesa-drivers               	       0       39        0        0       39
31363 libegl1-nvidia                     	       0        3        0        0        3
31364 libegl1-nvidia-legacy-390xx        	       0        2        0        0        2
31365 libehcache-java                    	       0      732        0        0      732
31366 libeidviewer0                      	       0        9        0        0        9
31367 libeigen-stl-containers-dev        	       0        1        1        0        0
31368 libeigen2-dev                      	       0        2        2        0        0
31369 libeigen3-doc                      	       0        3        0        0        3
31370 libeina-bin                        	       0        1        1        0        0
31371 libeina1                           	       0        5        0        0        5
31372 libeina1t64                        	       0        4        1        0        3
31373 libeinfo-dev                       	       0        1        1        0        0
31374 libeis-dev                         	       0        1        1        0        0
31375 libeiskaltdcpp2.4                  	       0        8        0        0        8
31376 libel-api-java                     	       0      802        0        0      802
31377 libelemental0                      	       0        7        0        0        7
31378 libelementary2                     	       0        1        0        0        1
31379 libelf++0                          	       0        6        0        0        6
31380 libelfg0                           	       0       37        0        0       37
31381 libelfin-dev                       	       0        1        0        0        1
31382 libelfsh0                          	       0        1        0        0        1
31383 libelk0                            	       0        1        0        0        1
31384 libelocation1                      	       0        2        0        0        2
31385 libelogind-compat                  	       0     2904        0        0     2904
31386 libelogind-dev                     	       0       16       15        1        0
31387 libelogind-dev-doc                 	       0        3        0        0        3
31388 libelogind0-dbgsym                 	       0        1        1        0        0
31389 libelpa15                          	       0        1        0        0        1
31390 libemail-address-list-perl         	       0        1        1        0        0
31391 libemail-date-perl                 	       0        4        4        0        0
31392 libemail-mime-createhtml-perl      	       0        1        1        0        0
31393 libemail-mime-modifier-perl        	       0        1        0        0        1
31394 libemail-outlook-message-perl      	       0        9        9        0        0
31395 libemail-received-perl             	       0        2        2        0        0
31396 libemail-reply-perl                	       0        1        1        0        0
31397 libemail-send-io-perl              	       0        1        1        0        0
31398 libemail-send-perl                 	       0        1        1        0        0
31399 libemail-stuffer-perl              	       0        2        2        0        0
31400 libembree-dev                      	       0        1        1        0        0
31401 libembree-doc                      	       0        1        0        0        1
31402 libembree3-3                       	       0      118        1        0      117
31403 libembree4-4                       	       0       13        0        0       13
31404 libembryo0                         	       0        1        0        0        1
31405 libemf-dev                         	       0        3        3        0        0
31406 libemf-doc                         	       0        1        0        0        1
31407 libemf1                            	       0      271        0        0      271
31408 libemf2svg-dev                     	       0        1        1        0        0
31409 libemf2svg1                        	       0        2        0        0        2
31410 libemma-java                       	       0        1        0        0        1
31411 libemos-bin                        	       0        1        1        0        0
31412 libemos-data                       	       0        3        0        0        3
31413 libemos-dev                        	       0        2        2        0        0
31414 libemos0d                          	       0        2        0        0        2
31415 libenca-dev                        	       0       14       14        0        0
31416 libenchant-2-voikko                	       0        9        1        0        8
31417 libenchant-dev                     	       0        7        7        0        0
31418 libenchant-voikko                  	       0        6        0        0        6
31419 libencode-eucjpascii-perl          	       0      100        0        0      100
31420 libencode-eucjpms-perl             	       0      116        0        0      116
31421 libencode-hanextra-perl            	       0      133        0        0      133
31422 libencode-imaputf7-perl            	       0       10       10        0        0
31423 libencode-jis2k-perl               	       0      116        0        0      116
31424 libenet-dev                        	       0       11       11        0        0
31425 libenet-doc                        	       0        7        0        0        7
31426 libenet1a                          	       0        1        0        0        1
31427 libenet7                           	       0      102        2        0      100
31428 libenet7-dbgsym                    	       0        1        1        0        0
31429 libengine-gost-openssl             	       0       15        0        0       15
31430 libengine-gost-openssl1.1          	       0       19        0        0       19
31431 libengine-pkcs11-openssl           	       0       17        2        0       15
31432 libengine-tpm2-tss-openssl         	       0        1        0        0        1
31433 libenv-path-perl                   	       0        2        2        0        0
31434 libenv-sanctify-perl               	       0       10       10        0        0
31435 libepc-1.0-3                       	       0        4        0        0        4
31436 libepc-common                      	       0        7        0        0        7
31437 libepc-ui-1.0-3                    	       0        3        0        0        3
31438 libepr-api-dev                     	       0        3        3        0        0
31439 libepr-api2                        	       0        3        0        0        3
31440 libepsilon-dev                     	       0       14       14        0        0
31441 libepsilon0                        	       0        1        0        0        1
31442 libepsilon1                        	       0      185        2        0      183
31443 libept1.4.12                       	       0       73        0        0       73
31444 libept1.5.0                        	       0      193        1        0      192
31445 libept1.6.0t64                     	       0      117        2        0      115
31446 libepub-dev                        	       0        2        2        0        0
31447 libepub0                           	       0      842        2        0      840
31448 libepubgen-0.0-0                   	       0        2        0        0        2
31449 libepubgen-dev                     	       0        1        1        0        0
31450 libequeue-ocaml                    	       0        1        0        0        1
31451 libequinox-app-java                	       0        5        5        0        0
31452 libequinox-bidi-java               	       0        5        5        0        0
31453 libequinox-jsp-jasper-java         	       0        1        1        0        0
31454 libequinox-osgi-java               	       0       25        2        0       23
31455 libequinox-p2-artifact-repository-java	       0        1        1        0        0
31456 libequinox-p2-core-java            	       0        1        1        0        0
31457 libequinox-p2-engine-java          	       0        1        1        0        0
31458 libequinox-p2-jarprocessor-java    	       0        1        1        0        0
31459 libequinox-p2-metadata-java        	       0        1        1        0        0
31460 libequinox-p2-metadata-repository-java	       0        1        1        0        0
31461 libequinox-p2-repository-java      	       0        1        1        0        0
31462 libequinox-preferences-java        	       0        5        5        0        0
31463 libequinox-registry-java           	       0        5        5        0        0
31464 libequinox-security-java           	       0        1        1        0        0
31465 liberasurecode-dev                 	       0        1        1        0        0
31466 liberasurecode1                    	       0        1        0        0        1
31467 liberfa-dev                        	       0        5        5        0        0
31468 liberfa1                           	       0       46        0        0       46
31469 liberis-1.3-14                     	       0        1        0        0        1
31470 liberror-prone-java                	       0      239        0        0      239
31471 liberty-jessie-archive-keyring     	       0        1        0        0        1
31472 liberubis-ruby1.9.1                	       0        1        0        0        1
31473 libescapevelocity-java             	       0        2        0        0        2
31474 libescpr1                          	       0        1        0        0        1
31475 libesd0                            	       0       29        0        0       29
31476 libesd0-dev                        	       0        7        7        0        0
31477 libesedb-utils                     	       0        2        2        0        0
31478 libesedb1                          	       0       16        0        0       16
31479 libesmtp-dev                       	       0        2        2        0        0
31480 libesnacc-dev                      	       0        1        1        0        0
31481 libesnacc180                       	       0        1        0        0        1
31482 libespeak-dev                      	       0        1        1        0        0
31483 libespeak-ng-dev                   	       0        1        1        0        0
31484 libespeak-ng-libespeak-dev         	       0        1        1        0        0
31485 libespeak1                         	       0     1066        1        0     1065
31486 libestools2.1                      	       0        7        0        0        7
31487 libestools2.4                      	       0       16        0        0       16
31488 libestools2.5                      	       0       97        1        0       96
31489 libestraier-dev                    	       0        1        1        0        0
31490 libestraier8                       	       0        5        0        0        5
31491 libetonyek-0.0-0                   	       0        1        0        0        1
31492 libetonyek-dev                     	       0        2        2        0        0
31493 libetonyek-tools                   	       0        3        3        0        0
31494 libetpan-doc                       	       0        2        2        0        0
31495 libetpan15                         	       0        1        0        0        1
31496 libetrace0                         	       0        1        0        0        1
31497 libetsf-io-doc                     	       0        1        0        0        1
31498 libeuclid-java                     	       0        2        0        0        2
31499 libeudev1-dbgsym                   	       0        2        2        0        0
31500 libev-dev                          	       0       37       37        0        0
31501 libev-perl                         	       0      270        3        0      267
31502 libeval-context-perl               	       0        2        2        0        0
31503 libeval0                           	       0        3        0        0        3
31504 libevas-loaders                    	       0       37        0        0       37
31505 libevas1-engines-drm               	       0       35        0        0       35
31506 libevas1-engines-fb                	       0       31        0        0       31
31507 libevd-0.2-0                       	       0        1        0        0        1
31508 libevdev-dev                       	       0       83       82        1        0
31509 libevdev-tools                     	       0        9        9        0        0
31510 libevdi0                           	       0        7        0        0        7
31511 libevdi1                           	       0        1        0        0        1
31512 libevdocument3-4t64                	       0       75        1        0       74
31513 libevemu1                          	       0        2        0        0        2
31514 libevemu3                          	       0       84        0        0       84
31515 libevemu3t64                       	       0       17        0        0       17
31516 libevent-1.4-2                     	       0       19        0        0       19
31517 libevent-2.1.8                     	       0        1        1        0        0
31518 libevent-core-1.4-2                	       0        2        0        0        2
31519 libevent-core-2.0-5                	       0       17        0        0       17
31520 libevent-core-2.1-6                	       0      145        0        0      145
31521 libevent-core2                     	       0        1        0        0        1
31522 libevent-execflow-perl             	       0        1        1        0        0
31523 libevent-extra-1.4-2               	       0        2        0        0        2
31524 libevent-extra-2.0-5               	       0       13        0        0       13
31525 libevent-extra-2.1-6               	       0       13        0        0       13
31526 libevent-extra-2.1-7t64            	       0       20        1        0       19
31527 libevent-extra2                    	       0        1        0        0        1
31528 libevent-openssl-2.0-5             	       0       13        0        0       13
31529 libevent-openssl-2.1-6             	       0       13        0        0       13
31530 libevent-openssl-2.1-7t64          	       0       20        1        0       19
31531 libevent-pthreads-2.0-5            	       0       15        0        0       15
31532 libevent-pthreads-2.1-6            	       0      144        1        0      143
31533 libevent-pthreads0                 	       0        1        0        0        1
31534 libevent-rpc-perl                  	       0        3        3        0        0
31535 libevent1                          	       0        6        0        0        6
31536 libevent2                          	       0        1        0        0        1
31537 libeventviews-data                 	       0       10        0        0       10
31538 libeventviews4                     	       0        2        0        0        2
31539 libevocosm-4.0-4                   	       0        1        0        0        1
31540 libevocosm-dev                     	       0        1        1        0        0
31541 libevs-dev                         	       0        1        1        0        0
31542 libevs4                            	       0        1        0        0        1
31543 libevt-utils                       	       0        2        2        0        0
31544 libevt1                            	       0       16        0        0       16
31545 libevtx-utils                      	       0        2        2        0        0
31546 libevtx1                           	       0       16        0        0       16
31547 libevview3-3t64                    	       0       75        1        0       74
31548 libewf-dev                         	       0        1        1        0        0
31549 libewf1                            	       0        2        0        0        2
31550 libewf2                            	       0      181        0        0      181
31551 libex-monkeypatched-perl           	       0        2        2        0        0
31552 libexactimage-perl                 	       0        2        0        0        2
31553 libexadrums2                       	       0        1        0        0        1
31554 libexcalibur-logger-java           	       0        2        0        0        2
31555 libexcalibur-logkit-java           	       0       25        0        0       25
31556 libexcel-template-perl             	       0        1        1        0        0
31557 libexcel-writer-xlsx-perl          	       0        4        4        0        0
31558 libexec-maven-plugin-java          	       0       16        0        0       16
31559 libexecline2.5                     	       0        3        0        0        3
31560 libexecline2.7                     	       0        4        0        0        4
31561 libexecline2.9                     	       0       10        1        0        9
31562 libexecs0                          	       0      549        0        0      549
31563 libexecs1                          	       0       54        0        0       54
31564 libexempi-dev                      	       0        3        3        0        0
31565 libexempi3                         	       0       55        0        0       55
31566 libexif-dev                        	       0      162      160        2        0
31567 libexif-doc                        	       0       38        0        0       38
31568 libexif-gtk-dev                    	       0        1        1        0        0
31569 libexif-gtk3-5                     	       0        3        0        0        3
31570 libexif-gtk5                       	       0       45        0        0       45
31571 libexif-ruby1.9.1                  	       0        1        0        0        1
31572 libexiv2-12                        	       0       16        0        0       16
31573 libexiv2-14                        	       0      292        0        0      292
31574 libexiv2-9                         	       0        7        0        0        7
31575 libexiv2-data                      	       0      146        0        0      146
31576 libexiv2-dev                       	       0       36       31        5        0
31577 libexiv2-doc                       	       0        1        1        0        0
31578 libexo-0.3-0                       	       0        3        0        0        3
31579 libexo-1-0                         	       0      110        0        0      110
31580 libexo-1-dev                       	       0        1        1        0        0
31581 libexo-2-0-dbgsym                  	       0        1        1        0        0
31582 libexo-2-dev                       	       0        5        5        0        0
31583 libexo-common                      	       0     1952        0        0     1952
31584 libexo-helpers                     	       0      116        0        0      116
31585 libexodusii5                       	       0        1        0        0        1
31586 libexosip2-11                      	       0        3        3        0        0
31587 libexosip2-4                       	       0        1        1        0        0
31588 libexosip2-7                       	       0        2        2        0        0
31589 libexosip2-dev                     	       0        1        1        0        0
31590 libexpect-simple-perl              	       0        2        2        0        0
31591 libexperimental-perl               	       0        1        1        0        0
31592 libexplain-dev                     	       0        1        1        0        0
31593 libexplain30                       	       0        1        0        0        1
31594 libexplain51                       	       0        6        0        0        6
31595 libexport-attrs-perl               	       0        1        1        0        0
31596 libexporter-easy-perl              	       0        2        2        0        0
31597 libexporter-tidy-perl              	       0        2        2        0        0
31598 libext2fs-dev                      	       0       35       33        2        0
31599 libextlib-ruby1.9.1                	       0        2        0        0        2
31600 libextractor-dev                   	       0        4        4        0        0
31601 libextractor-plugin-archive        	       0       13        0        0       13
31602 libextractor-plugin-av             	       0        1        0        0        1
31603 libextractor-plugin-exiv2          	       0       13        0        0       13
31604 libextractor-plugin-flac           	       0       13        0        0       13
31605 libextractor-plugin-gif            	       0       13        0        0       13
31606 libextractor-plugin-gstreamer      	       0       14        0        0       14
31607 libextractor-plugin-html           	       0       13        0        0       13
31608 libextractor-plugin-jpeg           	       0       13        0        0       13
31609 libextractor-plugin-midi           	       0       13        0        0       13
31610 libextractor-plugin-mpeg           	       0       13        0        0       13
31611 libextractor-plugin-ogg            	       0       13        0        0       13
31612 libextractor-plugin-ole2           	       0       13        0        0       13
31613 libextractor-plugin-pdf            	       0       13        0        0       13
31614 libextractor-plugin-rpm            	       0       13        0        0       13
31615 libextractor-plugin-thumbnailgtk   	       0       14        0        0       14
31616 libextractor-plugin-tiff           	       0       14        0        0       14
31617 libextractor-plugins-all           	       0       13        0        0       13
31618 libextractor-plugins-misc          	       0       27        0        0       27
31619 libextractor3                      	       0       38        1        0       37
31620 libexttextcat-data                 	       0     2963        0        0     2963
31621 libexttextcat-dev                  	       0        1        1        0        0
31622 libexttextcat0                     	       0        1        0        0        1
31623 libextutils-config-perl            	       0        3        3        0        0
31624 libextutils-f77-perl               	       0        1        1        0        0
31625 libextutils-helpers-perl           	       0        3        3        0        0
31626 libextutils-installpaths-perl      	       0        3        3        0        0
31627 libeztrace0                        	       0        1        0        0        1
31628 libf2c2                            	       0       15        0        0       15
31629 libf2c2-dev                        	       0       14       14        0        0
31630 libf2fs-dev                        	       0        1        1        0        0
31631 libf2fs-format-dev                 	       0        1        1        0        0
31632 libf2fs-format4                    	       0       13        0        0       13
31633 libf2fs0                           	       0        3        0        0        3
31634 libf2fs5                           	       0       13        0        0       13
31635 libf2j-java                        	       0        1        0        0        1
31636 libf95getdata7                     	       0        1        0        0        1
31637 libfaac-dev                        	       0       14       14        0        0
31638 libfaac0                           	       0      131        0        0      131
31639 libfaac0t64                        	       0        1        0        0        1
31640 libfaad-dev                        	       0       40       40        0        0
31641 libfaad-ocaml                      	       0        1        1        0        0
31642 libfaad-ocaml-dev                  	       0        1        1        0        0
31643 libfaad0                           	       0        1        0        0        1
31644 libfabric1                         	       0      345        6        0      339
31645 libfacets-ruby1.9.1                	       0        1        0        0        1
31646 libfacter3.10.0                    	       0        1        0        0        1
31647 libfacter3.11.0                    	       0       10        0        0       10
31648 libfacter3.14.12                   	       0        3        0        0        3
31649 libfaifa0                          	       0        3        0        0        3
31650 libfakechroot                      	       0       78        0        0       78
31651 libfakefs-ruby1.9.1                	       0        1        0        0        1
31652 libfakekey-dev                     	       0        1        1        0        0
31653 libfakeroot                        	       0     2239        0        0     2239
31654 libfaketime                        	       0       32        0        0       32
31655 libfalabaac2                       	       0        1        0        0        1
31656 libfalcosecurity0                  	       0        1        0        0        1
31657 libfam-dev                         	       0        1        1        0        0
31658 libfame-0.9                        	       0        1        0        0        1
31659 libfame-0.9-1                      	       0        4        0        0        4
31660 libfann-dev                        	       0        4        4        0        0
31661 libfann2                           	       0        8        0        0        8
31662 libfarstream-0.2-dev               	       0        3        3        0        0
31663 libfastahack0                      	       0        1        0        0        1
31664 libfastinfoset-java                	       0      333        0        0      333
31665 libfastjet-dev                     	       0        1        1        0        0
31666 libfastjet-fortran-dev             	       0        1        0        0        1
31667 libfastjet-fortran0                	       0        1        0        0        1
31668 libfastjet0v5                      	       0        1        0        0        1
31669 libfastjettools-dev                	       0        1        0        0        1
31670 libfastjettools0                   	       0        1        0        0        1
31671 libfastlz                          	       0        1        1        0        0
31672 libfasttext0                       	       0        2        0        0        2
31673 libfastutil-java                   	       0       10        0        0       10
31674 libfathom1                         	       0        3        0        0        3
31675 libfaudio-dev                      	       0        2        2        0        0
31676 libfaudio0                         	       0      114        0        0      114
31677 libfaust2                          	       0       23        0        0       23
31678 libfaust2t64                       	       0        5        0        0        5
31679 libfbembed2.5                      	       0       16        0        0       16
31680 libfcft3                           	       0        1        1        0        0
31681 libfcft4t64                        	       0       11        2        0        9
31682 libfcgi-async-perl                 	       0        1        1        0        0
31683 libfcgi-dev                        	       0        5        5        0        0
31684 libfcgi-ruby1.9.1                  	       0        1        0        0        1
31685 libfcgi0t64                        	       0       86        0        0       86
31686 libfcitx-qt5-1                     	       0       24        0        0       24
31687 libfcitx-qt5-data                  	       0       24        0        0       24
31688 libfcitx5-qt-data                  	       0       24        0        0       24
31689 libfcitx5-qt6-1                    	       0       16        0        0       16
31690 libfcitx5-qt6-dev                  	       0        1        1        0        0
31691 libfcitx5config-dev                	       0        2        1        1        0
31692 libfcitx5core-dev                  	       0        2        1        1        0
31693 libfcitx5gclient1                  	       0        4        0        0        4
31694 libfcitx5utils-dev                 	       0        2        1        1        0
31695 libfckit0d                         	       0        1        0        0        1
31696 libfcl0.7                          	       0        1        0        0        1
31697 libfcml0                           	       0        2        0        0        2
31698 libfcml0t64                        	       0        1        0        0        1
31699 libfdb5-0d                         	       0        1        0        0        1
31700 libfdisk-dev                       	       0        4        4        0        0
31701 libfdisk1-dbgsym                   	       0        1        1        0        0
31702 libfdk-aac-dev                     	       0       23       23        0        0
31703 libfdk-aac0                        	       0       10        0        0       10
31704 libfdk-aac1                        	       0       30        0        0       30
31705 libfdkaac-ocaml                    	       0        1        1        0        0
31706 libfdkaac-ocaml-dev                	       0        1        1        0        0
31707 libfdkaac-ocaml-dynlink            	       0        1        1        0        0
31708 libfdt-dev                         	       0       21       21        0        0
31709 libfec-dev                         	       0        1        1        0        0
31710 libfec0                            	       0        9        0        0        9
31711 libfeed-find-perl                  	       0       12       12        0        0
31712 libfelix-bundlerepository-java     	       0        9        0        0        9
31713 libfelix-framework-java            	       0       63        0        0       63
31714 libfelix-framework-java-doc        	       0        6        0        0        6
31715 libfelix-gogo-command-java         	       0        5        0        0        5
31716 libfelix-gogo-runtime-java         	       0       54        0        0       54
31717 libfelix-gogo-shell-java           	       0        5        0        0        5
31718 libfelix-main-java                 	       0       20        0        0       20
31719 libfelix-main-java-doc             	       0        6        0        0        6
31720 libfelix-osgi-obr-java             	       0       49        0        0       49
31721 libfelix-resolver-java             	       0       51        0        0       51
31722 libfelix-shell-java                	       0        6        0        0        6
31723 libfelix-utils-java                	       0        8        0        0        8
31724 libfetk1.9                         	       0        4        0        0        4
31725 libffado-dev                       	       0        2        2        0        0
31726 libffcall-dev                      	       0        2        2        0        0
31727 libffcall1                         	       0        8        0        0        8
31728 libffcall1-dev                     	       0        1        0        0        1
31729 libffcall1b                        	       0       44        1        0       43
31730 libffi-checklib-perl               	       0        3        3        0        0
31731 libffi-platypus-perl               	       0        2        2        0        0
31732 libffi-ruby1.9.1                   	       0        1        0        0        1
31733 libffi5                            	       0       38        0        0       38
31734 libffi7-dbgsym                     	       0        1        1        0        0
31735 libffindex0                        	       0        3        0        0        3
31736 libffmpeg-nvenc-dev                	       0       17       17        0        0
31737 libffmpeg-ocaml                    	       0        2        2        0        0
31738 libffmpegthumbnailer-dev           	       0        9        9        0        0
31739 libffmpegthumbnailer4              	       0        4        0        0        4
31740 libffms2-4                         	       0       33        0        0       33
31741 libffms2-5                         	       0       85        0        0       85
31742 libffms2-dev                       	       0        3        3        0        0
31743 libfftw3-3                         	       0        8        0        0        8
31744 libfftw3-doc                       	       0        8        0        0        8
31745 libfftw3-long3                     	       0      230        0        0      230
31746 libfftw3-mpi-dev                   	       0        3        3        0        0
31747 libfftw3-mpi3                      	       0       62        0        0       62
31748 libfftw3-quad3                     	       0      182        0        0      182
31749 libfgetdata6                       	       0        1        0        0        1
31750 libfiat-0                          	       0        1        0        0        1
31751 libfido2-1                         	       0     3671        2        0     3669
31752 libfido2-dev                       	       0        8        8        0        0
31753 libfido2-doc                       	       0        2        0        0        2
31754 libfifechan-dev                    	       0        1        0        0        1
31755 libfifechan0.1.3                   	       0        1        0        0        1
31756 libfifechan0.1.5                   	       0        6        0        0        6
31757 libfifechan0.1.5t64                	       0        1        0        0        1
31758 libfile-bom-perl                   	       0        2        2        0        0
31759 libfile-chmod-perl                 	       0       17       17        0        0
31760 libfile-fcntllock-perl             	       0     2650        0        0     2650
31761 libfile-find-object-perl           	       0        1        1        0        0
31762 libfile-find-rule-perl-perl        	       0        3        3        0        0
31763 libfile-find-rule-vcs-perl         	       0        1        1        0        0
31764 libfile-find-wanted-perl           	       0        3        3        0        0
31765 libfile-flat-perl                  	       0        2        2        0        0
31766 libfile-flock-perl                 	       0        1        1        0        0
31767 libfile-fnmatch-perl               	       0      221        0        0      221
31768 libfile-grep-perl                  	       0        1        1        0        0
31769 libfile-inplace-perl               	       0        2        2        0        0
31770 libfile-keepass-perl               	       0       11       11        0        0
31771 libfile-lchown-perl                	       0        1        0        0        1
31772 libfile-libmagic-perl              	       0       18        0        0       18
31773 libfile-map-perl                   	       0        2        0        0        2
31774 libfile-mmagic-perl                	       0        5        5        0        0
31775 libfile-nfslock-perl               	       0       17       17        0        0
31776 libfile-path-expand-perl           	       0        2        2        0        0
31777 libfile-pid-perl                   	       0        2        2        0        0
31778 libfile-policy-perl                	       0        1        1        0        0
31779 libfile-rsync-perl                 	       0        2        2        0        0
31780 libfile-rsyncp-perl                	       0        1        0        0        1
31781 libfile-scan-perl                  	       0        1        1        0        0
31782 libfile-searchpath-perl            	       0        2        2        0        0
31783 libfile-share-perl                 	       0        7        7        0        0
31784 libfile-sharedir-install-perl      	       0        2        2        0        0
31785 libfile-slurp-tiny-perl            	       0        9        9        0        0
31786 libfile-slurp-unicode-perl         	       0        4        4        0        0
31787 libfile-sort-perl                  	       0        3        3        0        0
31788 libfile-spec-native-perl           	       0        1        1        0        0
31789 libfile-tail-perl                  	       0       23       22        1        0
31790 libfile-treecreate-perl            	       0        1        1        0        0
31791 libfile-type-perl                  	       0        4        4        0        0
31792 libfile-userconfig-perl            	       0        1        1        0        0
31793 libfile-xdg-perl                   	       0        2        2        0        0
31794 libfile-zglob-perl                 	       0        1        1        0        0
31795 libfileclasses1                    	       0        7        0        0        7
31796 libfilehandle-fmode-perl           	       0        1        0        0        1
31797 libfilesys-diskspace-perl          	       0        2        2        0        0
31798 libfilesys-smbclient-perl          	       0        1        0        0        1
31799 libfilesystem-ruby1.9.1            	       0        1        1        0        0
31800 libfileutils-ocaml-dev             	       0        1        1        0        0
31801 libfilezilla-common                	       0      219        0        0      219
31802 libfilezilla-dev                   	       0        3        0        0        3
31803 libfilezilla0                      	       0       20        0        0       20
31804 libfilezilla11                     	       0       60        1        0       59
31805 libfilezilla22                     	       0        2        0        0        2
31806 libfilezilla23                     	       0        1        0        0        1
31807 libfilezilla24                     	       0        2        0        0        2
31808 libfilezilla25                     	       0        1        0        0        1
31809 libfilezilla30                     	       0        1        0        0        1
31810 libfilezilla32                     	       0        1        0        0        1
31811 libfilezilla40                     	       0        3        0        0        3
31812 libfilezilla41                     	       0        5        0        0        5
31813 libfilezilla42                     	       0        1        0        0        1
31814 libfilezilla42t64                  	       0        1        0        0        1
31815 libfilezilla43t64                  	       0        1        0        0        1
31816 libfilezilla45                     	       0        1        0        0        1
31817 libfilezilla46                     	       0       14        0        0       14
31818 libfilter-perl                     	       0       15       15        0        0
31819 libfilter-signatures-perl          	       0        2        2        0        0
31820 libfinance-yahooquote-perl         	       0        2        2        0        0
31821 libfindbin-libs-perl               	       0        5        5        0        0
31822 libfindbugs-annotations-java       	       0        3        0        0        3
31823 libfindbugs-ant-java               	       0        3        0        0        3
31824 libfindbugs-java                   	       0       47        0        0       47
31825 libfindlib-ocaml                   	       0       41       37        0        4
31826 libfindlib-ocaml-dev               	       0       36       36        0        0
31827 libfirefox-marionette-perl         	       0        1        0        1        0
31828 libfishsound1                      	       0       28        0        0       28
31829 libfishsound1-dev                  	       0        1        1        0        0
31830 libfits-java                       	       0        2        0        0        2
31831 libfiu0                            	       0        1        1        0        0
31832 libfixbuf-tools                    	       0        1        1        0        0
31833 libfixbuf9t64                      	       0        1        0        0        1
31834 libfixposix-dev                    	       0        6        6        0        0
31835 libfixposix3                       	       0        5        0        0        5
31836 libfixposix4t64                    	       0        1        0        0        1
31837 libfizmo-common                    	       0        5        0        0        5
31838 libfizmo-dev                       	       0        1        1        0        0
31839 libfko0                            	       0        1        0        0        1
31840 libfko3                            	       0        2        0        0        2
31841 libflac++-dev                      	       0       14       12        2        0
31842 libflac++11                        	       0       17        0        0       17
31843 libflac++5                         	       0        1        0        0        1
31844 libflac++6                         	       0        6        0        0        6
31845 libflac++6v5                       	       0      237        0        0      237
31846 libflac-doc                        	       0        2        0        0        2
31847 libflac-ocaml                      	       0        3        2        1        0
31848 libflac-ocaml-dev                  	       0        2        2        0        0
31849 libflac7                           	       0        3        0        0        3
31850 libflake-dev                       	       0        2        2        0        0
31851 libflam3-0                         	       0        2        0        0        2
31852 libflamingo-java                   	       0        3        0        0        3
31853 libflang-17-dev                    	       0        1        1        0        0
31854 libflang-19-dev                    	       0        1        1        0        0
31855 libflann-dev                       	       0        1        1        0        0
31856 libflann1.9                        	       0        6        0        0        6
31857 libflash-swfplayer                 	       0        2        2        0        0
31858 libflash0c2                        	       0        2        0        0        2
31859 libflashrom-dev                    	       0        2        2        0        0
31860 libflashsupport                    	       0        1        0        0        1
31861 libflatpak-dev                     	       0        4        4        0        0
31862 libflatpak-doc                     	       0        1        0        0        1
31863 libflatzebra-0.1-2                 	       0        1        0        0        1
31864 libflatzebra-0.1-2v5               	       0       11        0        0       11
31865 libflatzebra-0.2                   	       0        1        0        0        1
31866 libflatzebra-dev                   	       0        1        1        0        0
31867 libflexdock-java                   	       0       16        0        0       16
31868 libflexmock-ruby1.9.1              	       0        2        0        0        2
31869 libflext-pd0                       	       0        4        0        0        4
31870 libflickcurl-dev                   	       0        1        1        0        0
31871 libflickcurl0                      	       0        8        0        0        8
31872 libflickr-api-perl                 	       0        1        1        0        0
31873 libflickr-upload-perl              	       0        1        1        0        0
31874 libflickrnet2.2-cil                	       0        1        1        0        0
31875 libflif0                           	       0        1        0        0        1
31876 libflightcrew0v5                   	       0        4        0        0        4
31877 libflint-2.5.2                     	       0        3        0        0        3
31878 libflint-2.6.3                     	       0        1        0        0        1
31879 libflint-2.8.5                     	       0        1        0        0        1
31880 libflint-arb-dev                   	       0       10       10        0        0
31881 libflint-arb2                      	       0       13        0        0       13
31882 libflint-dev                       	       0       11       11        0        0
31883 libflint17                         	       0       14        0        0       14
31884 libflint19                         	       0        2        0        0        2
31885 libflorence-1.0-1                  	       0        7        0        0        7
31886 libflowcanvas5v5                   	       0        2        0        0        2
31887 libfltk-cairo1.3                   	       0       49        0        0       49
31888 libfltk-cairo1.3t64                	       0        3        0        0        3
31889 libfltk-forms1.3                   	       0       53        0        0       53
31890 libfltk-forms1.3t64                	       0        3        0        0        3
31891 libfltk-gl1.3                      	       0      144        0        0      144
31892 libfltk-gl1.3t64                   	       0        9        0        0        9
31893 libfltk-images1.3t64               	       0       25        0        0       25
31894 libfltk1.1                         	       0      222        1        0      221
31895 libfltk1.1-dev                     	       0        2        2        0        0
31896 libfltk1.3-compat-headers          	       0        5        5        0        0
31897 libfltk1.3-dev                     	       0       51       50        1        0
31898 libfltk1.3t64                      	       0       61        0        0       61
31899 libfluidsynth-dev                  	       0       44       44        0        0
31900 libfluidsynth1                     	       0      235        0        0      235
31901 libflute-java                      	       0      735        0        0      735
31902 libflute-java-doc                  	       0        1        0        0        1
31903 libflxmlrpc-dev                    	       0        3        3        0        0
31904 libflxmlrpc1                       	       0        8        0        0        8
31905 libfm-dbg                          	       0        1        1        0        0
31906 libfm-dev                          	       0        4        4        0        0
31907 libfm-doc                          	       0        2        0        0        2
31908 libfm-extra-dev                    	       0        4        4        0        0
31909 libfm-gtk-data                     	       0      340        0        0      340
31910 libfm-gtk-dbg                      	       0        1        1        0        0
31911 libfm-gtk-dev                      	       0        4        0        0        4
31912 libfm-gtk3-4                       	       0        2        0        0        2
31913 libfm-modules-dbg                  	       0        1        1        0        0
31914 libfm-qt-dev                       	       0        1        0        1        0
31915 libfm-qt3                          	       0        2        0        0        2
31916 libfm-qt6                          	       0       12        0        0       12
31917 libfm-tools                        	       0       11       10        1        0
31918 libfm-tools-dbgsym                 	       0        1        1        0        0
31919 libfm3                             	       0        1        0        0        1
31920 libfml0                            	       0        1        0        0        1
31921 libfmt-doc                         	       0        1        0        0        1
31922 libfmt-ocaml                       	       0        1        1        0        0
31923 libfmt-ocaml-dev                   	       0        1        1        0        0
31924 libfmt8                            	       0        5        0        0        5
31925 libfnlib0                          	       0        1        0        0        1
31926 libfolia14                         	       0        4        0        0        4
31927 libfolia9                          	       0        1        0        0        1
31928 libfolks-eds25                     	       0       19        0        0       19
31929 libfolks-telepathy25               	       0        7        0        0        7
31930 libfolks-telepathy26               	       0        2        0        0        2
31931 libfolks25                         	       0       22        0        0       22
31932 libfoma0                           	       0        3        0        0        3
31933 libfont-freetype-perl              	       0       26        0        0       26
31934 libfontawesomefx-java              	       0       65        0        0       65
31935 libfontbox-java                    	       0      416        0        0      416
31936 libfontbox-java-doc                	       0        3        0        0        3
31937 libfontbox2-java                   	       0      142        0        0      142
31938 libfontchooser-java                	       0        5        0        0        5
31939 libfontconfig-doc                  	       0        2        0        0        2
31940 libfontembed-dev                   	       0        3        2        1        0
31941 libfontembed1                      	       0     2651        0        0     2651
31942 libfontembed1t64                   	       0      186        0        0      186
31943 libfontenc-dev                     	       0       87       86        1        0
31944 libfontforge1                      	       0        1        0        0        1
31945 libfontforge2                      	       0        8        0        0        8
31946 libfontforge4                      	       0      105        0        0      105
31947 libfontforge4-dbgsym               	       0        1        1        0        0
31948 libfonts-java                      	       0      733        0        0      733
31949 libfonts-java-doc                  	       0        6        0        0        6
31950 libfop-java                        	       0      102        0        0      102
31951 libforge-dev                       	       0        1        1        0        0
31952 libforge1                          	       0        1        0        0        1
31953 libforks-perl                      	       0        4        0        0        4
31954 libforms-bin                       	       0        4        4        0        0
31955 libforms-doc                       	       0        4        0        0        4
31956 libforms2                          	       0       98        0        0       98
31957 libforms2t64                       	       0        5        0        0        5
31958 libformsgl-dev                     	       0        1        1        0        0
31959 libformsgl2                        	       0        1        0        0        1
31960 libformula-java                    	       0      733        0        0      733
31961 libformula-java-doc                	       0        6        0        0        6
31962 libfortune-perl                    	       0        3        3        0        0
31963 libfosfat0                         	       0        2        0        0        2
31964 libfosgra0                         	       0        2        0        0        2
31965 libfox-1.6-dev                     	       0        4        4        0        0
31966 libfox-1.6-doc                     	       0        2        0        0        2
31967 libfparser-dev                     	       0        1        0        0        1
31968 libfparser4                        	       0        7        0        0        7
31969 libfpath-ocaml                     	       0        1        1        0        0
31970 libfpath-ocaml-dev                 	       0        1        1        0        0
31971 libfpga0                           	       0        5        0        0        5
31972 libfplll-dev                       	       0        9        9        0        0
31973 libfplll5                          	       0        1        0        0        1
31974 libfplll8                          	       0       10        0        0       10
31975 libfplll8-data                     	       0       10        0        0       10
31976 libfprint-2-doc                    	       0        1        0        0        1
31977 libfprint-dev                      	       0        1        1        0        0
31978 libfpx1                            	       0        2        0        0        2
31979 libfpzip1                          	       0        1        0        0        1
31980 libfreebob0                        	       0        1        0        0        1
31981 libfreebsd-glue-0                  	       0        4        0        0        4
31982 libfreecad-python2-0.18            	       0        2        2        0        0
31983 libfreecad-python3-0.19            	       0       21       21        0        0
31984 libfreecell-solver-dev             	       0        1        1        0        0
31985 libfreecontact0v5                  	       0        1        0        0        1
31986 libfreefare-bin                    	       0        1        1        0        0
31987 libfreefare0                       	       0        1        0        0        1
31988 libfreefem++                       	       0        1        1        0        0
31989 libfreefem-dev                     	       0        2        0        0        2
31990 libfreefem0                        	       0        4        0        0        4
31991 libfreehand-0.0-0                  	       0        1        0        0        1
31992 libfreehdl0                        	       0        3        3        0        0
31993 libfreehdl0-dev                    	       0        3        3        0        0
31994 libfreehep-export-java             	       0       11        0        0       11
31995 libfreehep-graphics2d-java         	       0       77        0        0       77
31996 libfreehep-graphicsbase-java       	       0       35        0        0       35
31997 libfreehep-graphicsio-emf-java     	       0       43        0        0       43
31998 libfreehep-graphicsio-java         	       0       75        0        0       75
31999 libfreehep-graphicsio-pdf-java     	       0       34        0        0       34
32000 libfreehep-graphicsio-ps-java      	       0       32        0        0       32
32001 libfreehep-graphicsio-svg-java     	       0       68        0        0       68
32002 libfreehep-graphicsio-swf-java     	       0        1        0        0        1
32003 libfreehep-graphicsio-tests-java   	       0       10        0        0       10
32004 libfreehep-io-java                 	       0       77        0        0       77
32005 libfreehep-swing-java              	       0       40        0        0       40
32006 libfreehep-util-java               	       0       45        0        0       45
32007 libfreehep-xml-java                	       0       37        0        0       37
32008 libfreeimage-dev                   	       0       36       36        0        0
32009 libfreeimage3                      	       0      280        6        0      274
32010 libfreeimageplus-dev               	       0        1        1        0        0
32011 libfreeimageplus3                  	       0        3        0        0        3
32012 libfreeipmi16                      	       0       12        0        0       12
32013 libfreemarker-java                 	       0        9        0        0        9
32014 libfreenect-bin                    	       0        1        1        0        0
32015 libfreenect-demos                  	       0        1        0        0        1
32016 libfreenect-dev                    	       0        1        1        0        0
32017 libfreenect-doc                    	       0        1        0        0        1
32018 libfreenect0.5                     	       0        6        6        0        0
32019 libfreeradius-client2              	       0        6        0        0        6
32020 libfreeradius-dev                  	       0        1        1        0        0
32021 libfreerdp-cache1.1                	       0       55        0        0       55
32022 libfreerdp-client1.1               	       0       47        0        0       47
32023 libfreerdp-client2-2t64            	       0        7        0        0        7
32024 libfreerdp-codec1.1                	       0       56        0        0       56
32025 libfreerdp-common1.1.0             	       0       59        0        0       59
32026 libfreerdp-core1.1                 	       0       56        0        0       56
32027 libfreerdp-crypto1.1               	       0       57        0        0       57
32028 libfreerdp-dev                     	       0        2        2        0        0
32029 libfreerdp-gdi1.1                  	       0       54        0        0       54
32030 libfreerdp-locale1.1               	       0       56        0        0       56
32031 libfreerdp-plugins-standard        	       0       27        0        0       27
32032 libfreerdp-primitives1.1           	       0       56        0        0       56
32033 libfreerdp-rail1.1                 	       0       46        0        0       46
32034 libfreerdp-server-proxy3-3         	       0        1        0        0        1
32035 libfreerdp-server2-2t64            	       0        1        0        0        1
32036 libfreerdp-server3-3               	       0       21        0        0       21
32037 libfreerdp-shadow-subsystem3-3     	       0        1        0        0        1
32038 libfreerdp-shadow3-3               	       0        1        0        0        1
32039 libfreerdp-utils1.1                	       0       61        0        0       61
32040 libfreerdp0                        	       0        1        0        0        1
32041 libfreerdp2-2t64                   	       0       12        0        0       12
32042 libfreesrp0                        	       0       42        3        0       39
32043 libfreeswitch1                     	       0        1        0        0        1
32044 libfreetype6-dbgsym                	       0        1        1        0        0
32045 libfreexl-dev                      	       0       44       44        0        0
32046 libfrei0r-ocaml                    	       0        1        0        0        1
32047 libfrei0r-ocaml-dev                	       0        1        1        0        0
32048 libfribidi-bin                     	       0       26       26        0        0
32049 libfrobby-dev                      	       0        1        1        0        0
32050 libfrobby0                         	       0        1        0        0        1
32051 libfrog1                           	       0        1        0        0        1
32052 libfrog2                           	       0        3        0        0        3
32053 libfrontier-rpc-perl               	       0        3        3        0        0
32054 libfs-dev                          	       0       56       55        1        0
32055 libfs6                             	       0      193        0        0      193
32056 libfsapfs-utils                    	       0        2        2        0        0
32057 libfsapfs1                         	       0       16        0        0       16
32058 libfsext-utils                     	       0        1        1        0        0
32059 libfsext1                          	       0       14        0        0       14
32060 libfsharp-core4.3-cil              	       0        3        3        0        0
32061 libfsharp-core4.5-cil              	       0        5        5        0        0
32062 libfsharp-core5.0-cil              	       0        5        5        0        0
32063 libfsharp-data-typeproviders4.4-cil	       0        1        1        0        0
32064 libfshfs-utils                     	       0        2        2        0        0
32065 libfshfs1                          	       0       14        0        0       14
32066 libfshfs1t64                       	       0        1        0        0        1
32067 libfskit                           	       0        1        1        0        0
32068 libfskit-fuse                      	       0        1        1        0        0
32069 libfsntfs-dev                      	       0        2        2        0        0
32070 libfsntfs1                         	       0       35        0        0       35
32071 libfsntfs1t64                      	       0        1        0        0        1
32072 libfsoresource3                    	       0        2        0        0        2
32073 libfsplib0t64                      	       0       12        0        0       12
32074 libfssm-ruby1.9.1                  	       0        1        0        0        1
32075 libfst22                           	       0        3        0        0        3
32076 libfstrcmp-dev                     	       0        1        1        0        0
32077 libfstrm-dev                       	       0        3        3        0        0
32078 libfsverity-dev                    	       0        3        3        0        0
32079 libfsverity0                       	       0      177        0        0      177
32080 libfswatch11                       	       0        1        0        0        1
32081 libfsxfs-dev                       	       0        1        1        0        0
32082 libfsxfs-utils                     	       0        2        2        0        0
32083 libfsxfs1                          	       0       16        0        0       16
32084 libftdi-dev                        	       0       28       27        1        0
32085 libftdi1                           	       0      162        1        0      161
32086 libftdi1-dev                       	       0       16       15        1        0
32087 libftdi1-doc                       	       0       14        0        0       14
32088 libftdipp1-3                       	       0        6        0        0        6
32089 libftdipp1-dev                     	       0        1        0        0        1
32090 libftgl-dev                        	       0       13       13        0        0
32091 libftgl2                           	       0       91        1        0       90
32092 libftl0                            	       0        4        0        0        4
32093 libftp-dev                         	       0        1        1        0        0
32094 libftp4                            	       0      982        0        0      982
32095 libfunction-parameters-perl        	       0        2        0        0        2
32096 libfungw-dev                       	       0        1        1        0        0
32097 libfungw1                          	       0       13        0        0       13
32098 libfuntools1                       	       0       12        0        0       12
32099 libfuntools1t64                    	       0        2        0        0        2
32100 libfuse-dev                        	       0       51       51        0        0
32101 libfuse-perl                       	       0       20        0        0       20
32102 libfuse3-dev                       	       0       18       16        2        0
32103 libfusioninventory-agent-task-deploy-perl	       0        1        0        0        1
32104 libfusioninventory-agent-task-esx-perl	       0        1        0        0        1
32105 libfusioninventory-agent-task-netinventory-perl	       0        1        0        0        1
32106 libfusioninventory-agent-task-network-perl	       0        1        0        0        1
32107 libfusioninventory-agent-task-snmpquery-perl	       0        1        0        0        1
32108 libfuture-asyncawait-perl          	       0      117        0        0      117
32109 libfuture-io-perl                  	       0        1        1        0        0
32110 libfuture-xs-perl                  	       0        8        0        0        8
32111 libfuturesql6-0                    	       0       14        0        0       14
32112 libfuzzer-19-dev                   	       0        1        0        1        0
32113 libfuzzylite6.0                    	       0        3        0        0        3
32114 libfvde-utils                      	       0        1        1        0        0
32115 libfvde1                           	       0       15        0        0       15
32116 libfwnt1                           	       0       14        0        0       14
32117 libfwsi1                           	       0       14        0        0       14
32118 libfwup1                           	       0        2        0        0        2
32119 libfwupd-dev                       	       0        3        2        1        0
32120 libfwupd1                          	       0        5        0        0        5
32121 libfyba-dev                        	       0       40       40        0        0
32122 libfyba0t64                        	       0       61        0        0       61
32123 libg15-dev                         	       0        2        2        0        0
32124 libg15daemon-client-dev            	       0        2        2        0        0
32125 libg15daemon-client1               	       0        9        0        0        9
32126 libg15render-dev                   	       0        2        2        0        0
32127 libg20                             	       0        2        0        0        2
32128 libg2c-dev                         	       0        2        2        0        0
32129 libg2c0d                           	       0        7        0        0        7
32130 libg3d-doc                         	       0        1        0        0        1
32131 libg3d-plugin-gdkpixbuf            	       0        3        0        0        3
32132 libg3d-plugins                     	       0        9        0        0        9
32133 libg3d0                            	       0       10        0        0       10
32134 libg810-led0                       	       0        5        0        0        5
32135 libga-dev                          	       0        2        2        0        0
32136 libga2                             	       0        2        0        0        2
32137 libgadap-dev                       	       0        1        1        0        0
32138 libgadu-dev                        	       0        3        3        0        0
32139 libgail-3-dev                      	       0        2        2        0        0
32140 libgail-3-doc                      	       0        1        0        0        1
32141 libgail-dev                        	       0        8        8        0        0
32142 libgail-doc                        	       0        1        0        0        1
32143 libgail17                          	       0        1        0        0        1
32144 libgalago3                         	       0        2        0        0        2
32145 libgama2                           	       0        1        0        0        1
32146 libgambit4                         	       0        2        0        0        2
32147 libgambit4-dev                     	       0        4        3        1        0
32148 libgambit4t64                      	       0        2        0        0        2
32149 libgamin-dev                       	       0        5        5        0        0
32150 libgaminggear-common               	       0        1        1        0        0
32151 libgaminggear0                     	       0        1        1        0        0
32152 libgammu-i18n                      	       0       13        0        0       13
32153 libgammu0                          	       0        1        0        0        1
32154 libgammu7                          	       0        1        0        0        1
32155 libgammu8                          	       0       11        0        0       11
32156 libgammu8t64                       	       0        2        0        0        2
32157 libganv-1-1v5                      	       0       11        0        0       11
32158 libganv-dev                        	       0        1        1        0        0
32159 libganymed-ssh2-java               	       0        3        0        0        3
32160 libgap8                            	       0       10        0        0       10
32161 libgap9                            	       0        2        0        0        2
32162 libgarcon-1-0-dbgsym               	       0        1        1        0        0
32163 libgarcon-1-0-dev                  	       0        5        0        0        5
32164 libgarcon-1-dev                    	       0        9        9        0        0
32165 libgarcon-common                   	       0     1835        0        0     1835
32166 libgarcon-gtk3-1-0-dbgsym          	       0        1        1        0        0
32167 libgarcon-gtk3-1-dev               	       0        5        5        0        0
32168 libgarmin-dev                      	       0        1        1        0        0
32169 libgarmin0                         	       0       15        0        0       15
32170 libgatbcore3                       	       0        2        0        0        2
32171 libgatk-native-bindings-java       	       0        5        0        0        5
32172 libgatos0                          	       0        1        0        0        1
32173 libgauche-0.97-0                   	       0        1        0        0        1
32174 libgav1-bin                        	       0        2        2        0        0
32175 libgav1-dev                        	       0        1        1        0        0
32176 libgaviotatb1                      	       0       13        0        0       13
32177 libgavl-dev                        	       0        1        1        0        0
32178 libgavl-doc                        	       0        1        0        0        1
32179 libgavl1                           	       0       77        0        0       77
32180 libgavl2                           	       0      203        0        0      203
32181 libgbinder                         	       0        7        0        0        7
32182 libgbm-amdgpu-dev                  	       0        1        1        0        0
32183 libgbtools0                        	       0        2        0        0        2
32184 libgc-dev                          	       0       60       60        0        0
32185 libgc1c2                           	       0      341        0        0      341
32186 libgcab-dev                        	       0        1        1        0        0
32187 libgcab-doc                        	       0        1        0        0        1
32188 libgcab-tests                      	       0        1        0        0        1
32189 libgcc-10-dev                      	       0      989        0        0      989
32190 libgcc-10-dev-alpha-cross          	       0        1        0        0        1
32191 libgcc-10-dev-arm64-cross          	       0        8        0        0        8
32192 libgcc-10-dev-armel-cross          	       0        6        0        0        6
32193 libgcc-10-dev-armhf-cross          	       0        8        0        0        8
32194 libgcc-10-dev-hppa-cross           	       0        1        0        0        1
32195 libgcc-10-dev-i386-cross           	       0        3        0        0        3
32196 libgcc-10-dev-mips-cross           	       0        1        0        0        1
32197 libgcc-10-dev-mipsel-cross         	       0        1        0        0        1
32198 libgcc-10-dev-powerpc-cross        	       0        1        0        0        1
32199 libgcc-10-dev-ppc64-cross          	       0        2        0        0        2
32200 libgcc-10-dev-riscv64-cross        	       0        3        0        0        3
32201 libgcc-10-dev-s390x-cross          	       0        1        0        0        1
32202 libgcc-10-dev-sparc64-cross        	       0        1        0        0        1
32203 libgcc-11-dev                      	       0       97        0        0       97
32204 libgcc-11-dev-armel-cross          	       0        1        0        0        1
32205 libgcc-11-dev-armhf-cross          	       0        1        0        0        1
32206 libgcc-11-dev-i386-cross           	       0        1        0        0        1
32207 libgcc-12-dev                      	       0     1838        0        0     1838
32208 libgcc-12-dev-alpha-cross          	       0        1        0        0        1
32209 libgcc-12-dev-arm64-cross          	       0       19        0        0       19
32210 libgcc-12-dev-armel-cross          	       0       12        0        0       12
32211 libgcc-12-dev-armhf-cross          	       0       13        0        0       13
32212 libgcc-12-dev-hppa-cross           	       0        1        0        0        1
32213 libgcc-12-dev-i386-cross           	       0        4        0        0        4
32214 libgcc-12-dev-m68k-cross           	       0        1        0        0        1
32215 libgcc-12-dev-mips-cross           	       0        4        0        0        4
32216 libgcc-12-dev-mips64-cross         	       0        1        0        0        1
32217 libgcc-12-dev-mips64r6el-cross     	       0        1        0        0        1
32218 libgcc-12-dev-mipsel-cross         	       0        4        0        0        4
32219 libgcc-12-dev-powerpc-cross        	       0        2        0        0        2
32220 libgcc-12-dev-ppc64-cross          	       0        1        0        0        1
32221 libgcc-12-dev-riscv64-cross        	       0        6        0        0        6
32222 libgcc-12-dev-s390x-cross          	       0        2        0        0        2
32223 libgcc-12-dev-sparc64-cross        	       0        1        0        0        1
32224 libgcc-12-dev-x32-cross            	       0        4        0        0        4
32225 libgcc-13-dev                      	       0      148        0        0      148
32226 libgcc-13-dev-arm64-cross          	       0        1        0        0        1
32227 libgcc-13-dev-ppc64el-cross        	       0        1        0        0        1
32228 libgcc-14-dev                      	       0      211        0        0      211
32229 libgcc-14-dev-alpha-cross          	       0        1        0        0        1
32230 libgcc-14-dev-arm64-cross          	       0        5        0        0        5
32231 libgcc-14-dev-armel-cross          	       0        2        0        0        2
32232 libgcc-14-dev-armhf-cross          	       0        5        0        0        5
32233 libgcc-14-dev-hppa-cross           	       0        1        0        0        1
32234 libgcc-14-dev-i386-cross           	       0        2        0        0        2
32235 libgcc-14-dev-powerpc-cross        	       0        2        0        0        2
32236 libgcc-14-dev-ppc64-cross          	       0        3        0        0        3
32237 libgcc-14-dev-ppc64el-cross        	       0        1        0        0        1
32238 libgcc-14-dev-riscv64-cross        	       0        2        0        0        2
32239 libgcc-14-dev-s390x-cross          	       0        1        0        0        1
32240 libgcc-14-dev-sparc64-cross        	       0        1        0        0        1
32241 libgcc-15-dev                      	       0        2        0        0        2
32242 libgcc-4.7-dev                     	       0        7        0        0        7
32243 libgcc-4.8-dev                     	       0       64        0        0       64
32244 libgcc-4.9-dev                     	       0      143        0        0      143
32245 libgcc-5-dev                       	       0        7        0        0        7
32246 libgcc-6-dev                       	       0      376        0        0      376
32247 libgcc-6-dev-arm64-cross           	       0        2        0        0        2
32248 libgcc-6-dev-armhf-cross           	       0        1        0        0        1
32249 libgcc-7-dev                       	       0        8        0        0        8
32250 libgcc-8-dev                       	       0      169        0        0      169
32251 libgcc-8-dev-arm64-cross           	       0        7        0        0        7
32252 libgcc-8-dev-armel-cross           	       0        3        0        0        3
32253 libgcc-8-dev-armhf-cross           	       0        5        0        0        5
32254 libgcc-8-dev-i386-cross            	       0        4        0        0        4
32255 libgcc-8-dev-mips-cross            	       0        1        0        0        1
32256 libgcc-8-dev-mips64el-cross        	       0        1        0        0        1
32257 libgcc-8-dev-mipsel-cross          	       0        1        0        0        1
32258 libgcc-8-dev-x32-cross             	       0        2        0        0        2
32259 libgcc-9-dev                       	       0       35        0        0       35
32260 libgcc-9-dev-arm64-cross           	       0        1        0        0        1
32261 libgcc-9-dev-armel-cross           	       0        1        0        0        1
32262 libgcc-9-dev-armhf-cross           	       0        2        0        0        2
32263 libgcc-s1-alpha-cross              	       0        3        0        0        3
32264 libgcc-s1-arm64-cross              	       0       32        0        0       32
32265 libgcc-s1-armel-cross              	       0       21        0        0       21
32266 libgcc-s1-armhf-cross              	       0       28        0        0       28
32267 libgcc-s1-i386-cross               	       0       13        0        0       13
32268 libgcc-s1-mips-cross               	       0        4        0        0        4
32269 libgcc-s1-mips64-cross             	       0        1        0        0        1
32270 libgcc-s1-mips64r6el-cross         	       0        1        0        0        1
32271 libgcc-s1-mipsel-cross             	       0        5        0        0        5
32272 libgcc-s1-powerpc-cross            	       0        5        0        0        5
32273 libgcc-s1-ppc64-cross              	       0        6        0        0        6
32274 libgcc-s1-ppc64el-cross            	       0        1        0        0        1
32275 libgcc-s1-riscv64-cross            	       0       10        0        0       10
32276 libgcc-s1-s390x-cross              	       0        4        0        0        4
32277 libgcc-s1-sparc64-cross            	       0        3        0        0        3
32278 libgcc-s1-x32-cross                	       0        5        0        0        5
32279 libgcc-s2-m68k-cross               	       0        1        0        0        1
32280 libgcc-s4-hppa-cross               	       0        3        0        0        3
32281 libgcc1                            	       0     1113        2        0     1111
32282 libgcc1-arm64-cross                	       0        5        0        0        5
32283 libgcc1-armel-cross                	       0        3        0        0        3
32284 libgcc1-armhf-cross                	       0        3        0        0        3
32285 libgcc1-dbg                        	       0        9        9        0        0
32286 libgcc1-i386-cross                 	       0        2        0        0        2
32287 libgcc1-mips-cross                 	       0        1        0        0        1
32288 libgcc1-mips64el-cross             	       0        1        0        0        1
32289 libgcc1-mipsel-cross               	       0        1        0        0        1
32290 libgcc1-x32-cross                  	       0        1        0        0        1
32291 libgccjit-10-dev                   	       0        2        0        0        2
32292 libgccjit-11-dev                   	       0        4        0        0        4
32293 libgccjit-12-dev                   	       0       17        0        0       17
32294 libgccjit-13-doc                   	       0        1        0        0        1
32295 libgccjit-9-dev                    	       0        1        0        0        1
32296 libgcin                            	       0        4        1        0        3
32297 libgcj-bc                          	       0       51        0        0       51
32298 libgcj-doc                         	       0        1        0        0        1
32299 libgcj10                           	       0        1        0        0        1
32300 libgcj10-awt                       	       0        1        0        0        1
32301 libgcj12                           	       0        4        0        0        4
32302 libgcj13                           	       0        4        0        0        4
32303 libgcj13-awt                       	       0        2        0        0        2
32304 libgcj14                           	       0        1        0        0        1
32305 libgcj15                           	       0        9        0        0        9
32306 libgcj15-awt                       	       0        3        0        0        3
32307 libgcj15-dbg                       	       0        1        0        0        1
32308 libgcj15-dev                       	       0        1        1        0        0
32309 libgcj17                           	       0       57        0        0       57
32310 libgcj17-awt                       	       0        6        0        0        6
32311 libgcj17-dev                       	       0        2        2        0        0
32312 libgcj6                            	       0        1        0        0        1
32313 libgcj7-1                          	       0        1        0        0        1
32314 libgcj7-1-awt                      	       0        1        0        0        1
32315 libgcj7-jar                        	       0        1        0        0        1
32316 libgcj8-1                          	       0        1        0        0        1
32317 libgcj8-1-awt                      	       0        1        0        0        1
32318 libgcj8-jar                        	       0        1        0        0        1
32319 libgcj9-0                          	       0        1        0        0        1
32320 libgcj9-0-awt                      	       0        1        0        0        1
32321 libgcj9-jar                        	       0        1        0        0        1
32322 libgck-1-dev                       	       0       12       12        0        0
32323 libgck-1-doc                       	       0        1        0        0        1
32324 libgck-2-dev                       	       0        1        0        1        0
32325 libgck-2-doc                       	       0        1        0        0        1
32326 libgclib2                          	       0        1        0        0        1
32327 libgclib3                          	       0        2        0        0        2
32328 libgcobol-15-dev                   	       0        1        0        0        1
32329 libgcobol4                         	       0        1        0        0        1
32330 libgconf2-4                        	       0       10        0        0       10
32331 libgconf2-dev                      	       0       21       21        0        0
32332 libgconf2-doc                      	       0       21        0        0       21
32333 libgconf2.0-cil                    	       0       17        0        0       17
32334 libgconfmm-2.6-1c2                 	       0        1        0        0        1
32335 libgconfmm-2.6-1v5                 	       0        5        0        0        5
32336 libgconfmm-2.6-dev                 	       0        1        1        0        0
32337 libgconfmm-2.6-doc                 	       0        1        0        0        1
32338 libgcr-3-1                         	       0        1        0        0        1
32339 libgcr-3-common                    	       0      108        0        0      108
32340 libgcr-3-dev                       	       0       12       12        0        0
32341 libgcr-3-doc                       	       0        1        0        0        1
32342 libgcr0                            	       0        3        0        0        3
32343 libgcroots-dev                     	       0        1        1        0        0
32344 libgcrypt-bin                      	       0        1        1        0        0
32345 libgcrypt-mingw-w64-dev            	       0        2        2        0        0
32346 libgcrypt11                        	       0       83        0        0       83
32347 libgcrypt11-dev                    	       0       17        0        0       17
32348 libgcrypt20-doc                    	       0        6        0        0        6
32349 libgctp-2.0.0                      	       0        3        0        0        3
32350 libgctp-dev                        	       0        2        2        0        0
32351 libgctp0d                          	       0        1        0        0        1
32352 libgd-barcode-perl                 	       0        5        5        0        0
32353 libgd-securityimage-perl           	       0        1        1        0        0
32354 libgd-svg-perl                     	       0        1        1        0        0
32355 libgd1-noxpm                       	       0        1        0        0        1
32356 libgd2-noxpm                       	       0        2        0        0        2
32357 libgd2-xpm                         	       0       18        0        0       18
32358 libgd2-xpm-dev                     	       0        1        0        0        1
32359 libgda-5.0-4                       	       0       50        6        0       44
32360 libgda-5.0-4t64                    	       0        4        0        0        4
32361 libgda-5.0-bin                     	       0        1        1        0        0
32362 libgda-5.0-common                  	       0       54       14        0       40
32363 libgda-5.0-doc                     	       0        1        0        0        1
32364 libgda-5.0-mysql                   	       0        2        0        0        2
32365 libgda-5.0-postgres                	       0        4        0        0        4
32366 libgda2-3                          	       0        2        2        0        0
32367 libgda2-bin                        	       0        1        1        0        0
32368 libgda2-common                     	       0        2        0        0        2
32369 libgda2-dev                        	       0        1        1        0        0
32370 libgda2-doc                        	       0        1        0        0        1
32371 libgda3-3                          	       0        2        0        0        2
32372 libgda3-bin                        	       0        2        2        0        0
32373 libgda3-common                     	       0        2        0        0        2
32374 libgdal-doc                        	       0        3        0        0        3
32375 libgdal-grass                      	       0       13        3        0       10
32376 libgdal1h                          	       0        1        0        0        1
32377 libgdal20                          	       0       63        0        0       63
32378 libgdal26                          	       0        1        0        0        1
32379 libgdal27                          	       0        1        0        0        1
32380 libgdal28                          	       0      119        2        0      117
32381 libgdal29                          	       0        1        0        0        1
32382 libgdal30                          	       0        6        0        0        6
32383 libgdal31                          	       0        3        0        0        3
32384 libgdal33                          	       0       10        1        0        9
32385 libgdal34                          	       0        8        0        0        8
32386 libgdal34t64                       	       0        2        0        0        2
32387 libgdal35                          	       0       27        0        0       27
32388 libgdal36                          	       0       34        0        0       34
32389 libgdamm-5.0-13                    	       0        1        0        0        1
32390 libgdata-common                    	       0     1683        0        0     1683
32391 libgdata-dev                       	       0        5        5        0        0
32392 libgdata-doc                       	       0        1        0        0        1
32393 libgdata-google1.2-1               	       0        3        0        0        3
32394 libgdata1.2-1                      	       0        3        0        0        3
32395 libgdata1.4-cil                    	       0        1        1        0        0
32396 libgdata1.8-cil                    	       0        1        1        0        0
32397 libgdata13                         	       0        7        0        0        7
32398 libgdata19                         	       0        8        0        0        8
32399 libgdata2.1-cil                    	       0        8        8        0        0
32400 libgdata7                          	       0        1        0        0        1
32401 libgdbm-compat-dev                 	       0       12       12        0        0
32402 libgdbm-compat4                    	       0     3654        0        0     3654
32403 libgdbm-compat4t64                 	       0      291        0        0      291
32404 libgdbm3                           	       0      720        0        0      720
32405 libgdbm5                           	       0        1        0        0        1
32406 libgdbuspp3                        	       0        1        0        0        1
32407 libgdbussyncevo0                   	       0        2        0        0        2
32408 libgdchart-gd2-noxpm               	       0        2        0        0        2
32409 libgdcm-cil                        	       0        1        0        0        1
32410 libgdcm-dev                        	       0       48       46        2        0
32411 libgdcm-java                       	       0        1        0        0        1
32412 libgdcm-tools                      	       0        4        4        0        0
32413 libgdcm2.6                         	       0        2        0        0        2
32414 libgdcm2.6-dbg                     	       0        1        1        0        0
32415 libgdcm2.8                         	       0       35        0        0       35
32416 libgdcm3.0                         	       0      410        2        0      408
32417 libgdcm3.0t64                      	       0       45        0        0       45
32418 libgdf0                            	       0        2        0        0        2
32419 libgdict-1.0-10                    	       0        6        0        0        6
32420 libgdict-1.0-6                     	       0        6        0        0        6
32421 libgdict-common                    	       0       10        0        0       10
32422 libgdk-pixbuf2                     	       0        1        1        0        0
32423 libgdk-pixbuf2-ruby                	       0        1        0        0        1
32424 libgdk-pixbuf2-ruby1.8             	       0        2        1        0        1
32425 libgdk-pixbuf2.0-dev               	       0      154       38        0      116
32426 libgdk-pixbuf2.0-doc               	       0        7        0        0        7
32427 libgdk3.0-cil                      	       0       57        0        0       57
32428 libgdl-1-common                    	       0        1        0        0        1
32429 libgdl-3-5                         	       0      157        0        0      157
32430 libgdl-3-common                    	       0      158        0        0      158
32431 libgdl-3-dev                       	       0        1        1        0        0
32432 libgdm-dev                         	       0        4        3        1        0
32433 libgdome2-cpp-smart0c2a            	       0        1        0        0        1
32434 libgdome2-cpp-smart0v5             	       0        9        0        0        9
32435 libgdraw4                          	       0        1        0        0        1
32436 libgdraw5                          	       0        7        0        0        7
32437 libgdsii0                          	       0        6        0        0        6
32438 libgdu-gtk0                        	       0        4        0        0        4
32439 libgdu0                            	       0        6        0        0        6
32440 libgearman-client-async-perl       	       0        1        1        0        0
32441 libgearman-client-perl             	       0        1        1        0        0
32442 libgearman8                        	       0        1        0        0        1
32443 libgecode41v5                      	       0        1        0        0        1
32444 libgecode49                        	       0        1        0        0        1
32445 libgecode49t64                     	       0        1        0        0        1
32446 libgecodeflatzinc41v5              	       0        1        0        0        1
32447 libgecodeflatzinc49                	       0        1        0        0        1
32448 libgecodegist41v5                  	       0        1        0        0        1
32449 libgecodegist49                    	       0        1        0        0        1
32450 libgecodegist49t64                 	       0        1        0        0        1
32451 libgeda-common                     	       0       13        0        0       13
32452 libgeda-dev                        	       0        1        1        0        0
32453 libgeda42                          	       0       12        0        0       12
32454 libgedit-amtk-5-0                  	       0       33        0        0       33
32455 libgedit-amtk-5-common             	       0       33        0        0       33
32456 libgedit-gfls-1-0                  	       0        8        0        0        8
32457 libgedit-gfls-common               	       0        8        0        0        8
32458 libgedit-gtksourceview-300-0       	       0       27        0        0       27
32459 libgedit-gtksourceview-300-3       	       0        9        0        0        9
32460 libgedit-gtksourceview-300-common  	       0       34        0        0       34
32461 libgedit-tepl-6-2                  	       0        8        0        0        8
32462 libgee-0.8-dev                     	       0       10        8        2        0
32463 libgee2                            	       0        9        0        0        9
32464 libgegl-0.0-0                      	       0        1        1        0        0
32465 libgegl-0.0-doc                    	       0        1        0        0        1
32466 libgegl-0.2-0                      	       0       20        0        0       20
32467 libgegl-0.3-0                      	       0       70        0        0       70
32468 libgegl-0.4-0t64                   	       0      107        1        0      106
32469 libgegl-dev                        	       0       21       21        0        0
32470 libgegl-doc                        	       0        1        0        0        1
32471 libgeier0                          	       0        1        1        0        0
32472 libgemrb                           	       0        1        1        0        0
32473 libgen-ocaml                       	       0        1        1        0        0
32474 libgen-ocaml-dev                   	       0        1        1        0        0
32475 libgenders0                        	       0        6        0        0        6
32476 libgenht1-dev                      	       0        1        1        0        0
32477 libgensio4                         	       0        3        2        0        1
32478 libgeo-calc-perl                   	       0        1        1        0        0
32479 libgeo-coder-osm-perl              	       0        2        2        0        0
32480 libgeo-constants-perl              	       0        1        1        0        0
32481 libgeo-coordinates-transform-perl  	       0        3        3        0        0
32482 libgeo-distance-perl               	       0        3        3        0        0
32483 libgeo-ellipsoids-perl             	       0        1        1        0        0
32484 libgeo-functions-perl              	       0        1        1        0        0
32485 libgeo-google-mapobject-perl       	       0        1        1        0        0
32486 libgeo-googleearth-pluggable-perl  	       0        3        3        0        0
32487 libgeo-gpx-perl                    	       0        3        3        0        0
32488 libgeo-inverse-perl                	       0        1        1        0        0
32489 libgeo-ip-perl                     	       0       10        1        0        9
32490 libgeo-osm-tiles-perl              	       0        2        2        0        0
32491 libgeo-shapelib-perl               	       0        1        0        0        1
32492 libgeoclue-2-dev                   	       0        2        2        0        0
32493 libgeoclue-doc                     	       0        1        0        0        1
32494 libgeoclue0                        	       0       14        0        0       14
32495 libgeocode-glib-dev                	       0        2        2        0        0
32496 libgeocoding8                      	       0        2        0        0        2
32497 libgeographic-dev                  	       0        2        2        0        0
32498 libgeographic19                    	       0        2        0        0        2
32499 libgeographiclib23                 	       0        3        0        0        3
32500 libgeographiclib26                 	       0        1        0        0        1
32501 libgeography-countries-perl        	       0        1        1        0        0
32502 libgeoip1t64                       	       0       82        3        0       79
32503 libgeoip2-perl                     	       0        4        4        0        0
32504 libgeometric-shapes-dev            	       0        1        1        0        0
32505 libgeometric-shapes5d              	       0        1        0        0        1
32506 libgeometry-msgs-dev               	       0        2        2        0        0
32507 libgeomview-1.9.4                  	       0        1        0        0        1
32508 libgeomview-1.9.5                  	       0       17        0        0       17
32509 libgeomview-1.9.5t64               	       0        3        0        0        3
32510 libgeomview-dev                    	       0        1        1        0        0
32511 libgeos++-dev                      	       0        7        6        1        0
32512 libgeos-3.2.0                      	       0        1        0        0        1
32513 libgeos-3.3.3                      	       0        2        0        0        2
32514 libgeos-3.4.2                      	       0        4        0        0        4
32515 libgeos-3.5.1                      	       0       13        0        0       13
32516 libgeos-3.7.1                      	       0       41        0        0       41
32517 libgeos-3.9.0                      	       0      121        2        0      119
32518 libgeos-3.9.1                      	       0        1        0        0        1
32519 libgeos-c1                         	       0        1        0        0        1
32520 libgeos-c1t64                      	       0       65        0        0       65
32521 libgeos-doc                        	       0        2        0        0        2
32522 libgeos3.10.1                      	       0        2        0        0        2
32523 libgeos3.10.2                      	       0        3        0        0        3
32524 libgeos3.11.0                      	       0        2        0        0        2
32525 libgeos3.12.0                      	       0        6        0        0        6
32526 libgeos3.12.1                      	       0        5        0        0        5
32527 libgeos3.12.1t64                   	       0        3        0        0        3
32528 libgeos3.12.2                      	       0        6        0        0        6
32529 libgeos3.13.0                      	       0       49        0        0       49
32530 libgeos3.13.1                      	       0       13        0        0       13
32531 libgeotiff-dev                     	       0       44       44        0        0
32532 libgeotiff-epsg                    	       0        2        0        0        2
32533 libgeotiff2                        	       0       68        0        0       68
32534 libgeotranz3.7                     	       0        5        0        0        5
32535 libgepub-0.6-0                     	       0        7        1        0        6
32536 libgepub0                          	       0        4        0        0        4
32537 libgeronimo-annotation-1.3-spec-java	       0      462        0        0      462
32538 libgeronimo-ejb-3.0-spec-java      	       0        1        0        0        1
32539 libgeronimo-ejb-3.2-spec-java      	       0       10        0        0       10
32540 libgeronimo-interceptor-3.0-spec-java	       0      463        0        0      463
32541 libgeronimo-j2ee-connector-1.5-spec-java	       0       10        0        0       10
32542 libgeronimo-jacc-1.1-spec-java     	       0        1        0        0        1
32543 libgeronimo-jms-1.1-spec-java      	       0       20        0        0       20
32544 libgeronimo-jpa-2.0-spec-java      	       0        5        0        0        5
32545 libgeronimo-jta-1.1-spec-java      	       0        5        0        0        5
32546 libgeronimo-jta-1.2-spec-java      	       0        9        0        0        9
32547 libgeronimo-osgi-support-java      	       0        8        0        0        8
32548 libgeronimo-osgi-support-java-doc  	       0        1        0        0        1
32549 libgeronimo-stax-1.2-spec-java     	       0        1        0        0        1
32550 libgeronimo-validation-1.0-spec-java	       0       74        0        0       74
32551 libgeronimo-validation-1.0-spec-java-doc	       0        1        0        0        1
32552 libgeronimo-validation-1.1-spec-java	       0       58        0        0       58
32553 libgetdata++7                      	       0        3        0        0        3
32554 libgetdata-dev                     	       0        1        1        0        0
32555 libgetdata-perl                    	       0        1        0        0        1
32556 libgetdata-tools                   	       0        1        1        0        0
32557 libgetdata8                        	       0        3        0        0        3
32558 libgetdns1                         	       0        1        0        0        1
32559 libgetdns10                        	       0        5        0        0        5
32560 libgetdns10t64                     	       0        1        0        0        1
32561 libgetopt-euclid-perl              	       0        6        6        0        0
32562 libgetopt-java                     	       0       11        0        0       11
32563 libgetopt-mixed-perl               	       0        3        3        0        0
32564 libgetopt-simple-perl              	       0       11       11        0        0
32565 libgetopt-tabular-perl             	       0        7        7        0        0
32566 libgettext-activerecord-ruby1.9.1  	       0        1        0        0        1
32567 libgettext-commons-java            	       0       80        0        0       80
32568 libgettext-maven-plugin-java       	       0        1        0        0        1
32569 libgettext-ocaml                   	       0        2        2        0        0
32570 libgettext-ocaml-dev               	       0        1        1        0        0
32571 libgettext-ruby1.8                 	       0        1        0        0        1
32572 libgettext-ruby1.9.1               	       0        1        0        0        1
32573 libgettextpo0                      	       0      234        0        0      234
32574 libgexiv2-0                        	       0        1        0        0        1
32575 libgexiv2-1                        	       0        4        0        0        4
32576 libgexiv2-dev                      	       0        5        5        0        0
32577 libgf-complete1                    	       0        1        0        0        1
32578 libgf2x-dev                        	       0        9        9        0        0
32579 libgf2x1                           	       0        4        0        0        4
32580 libgf2x3                           	       0       27        0        0       27
32581 libgfbgraph-0.2-0                  	       0       44        0        0       44
32582 libgfchangelog0                    	       0       12        0        0       12
32583 libgfdb0                           	       0        1        0        0        1
32584 libgflags-dev                      	       0       21       21        0        0
32585 libgflags2.2                       	       0       45        2        0       43
32586 libgfortran-10-dev                 	       0      174        0        0      174
32587 libgfortran-11-dev                 	       0       14        0        0       14
32588 libgfortran-12-dev                 	       0      251        0        0      251
32589 libgfortran-12-dev-x32-cross       	       0        1        0        0        1
32590 libgfortran-13-dev                 	       0       24        0        0       24
32591 libgfortran-14-dev                 	       0       30        0        0       30
32592 libgfortran-15-dev                 	       0        1        0        0        1
32593 libgfortran-4.7-dev                	       0        1        0        0        1
32594 libgfortran-4.8-dev                	       0        1        0        0        1
32595 libgfortran-4.9-dev                	       0       10        0        0       10
32596 libgfortran-5-dev                  	       0        1        0        0        1
32597 libgfortran-6-dev                  	       0       32        0        0       32
32598 libgfortran-8-dev                  	       0       32        0        0       32
32599 libgfortran-9-dev                  	       0        6        0        0        6
32600 libgfortran3                       	       0      185        0        0      185
32601 libgfortran3-dbg                   	       0        6        5        0        1
32602 libgfortran4                       	       0        4        0        0        4
32603 libgfortran5-dbg                   	       0        1        1        0        0
32604 libgfortran5-dbgsym                	       0        1        1        0        0
32605 libgfortran5-x32-cross             	       0        1        0        0        1
32606 libgfs-1.3-2                       	       0        2        0        0        2
32607 libgfsgl0                          	       0        1        0        0        1
32608 libgfshare2                        	       0        3        0        0        3
32609 libgg2                             	       0        1        0        0        1
32610 libggadget-1.0-0b                  	       0        1        0        0        1
32611 libggadget-qt-1.0-0b               	       0        1        0        0        1
32612 libggi-target-x                    	       0        3        3        0        0
32613 libggi2                            	       0        3        0        0        3
32614 libggiwmh0                         	       0        1        0        0        1
32615 libggiwmh0-target-x                	       0        1        1        0        0
32616 libggz-gtk1                        	       0        1        0        0        1
32617 libggzcore9                        	       0        2        0        0        2
32618 libggzdmod++1                      	       0        1        0        0        1
32619 libggzmod4                         	       0        2        0        0        2
32620 libghc-adjunctions-dev             	       0        5        5        0        0
32621 libghc-adjunctions-doc             	       0        2        2        0        0
32622 libghc-adjunctions-prof            	       0        3        0        0        3
32623 libghc-aeson-pretty-dev            	       0        2        2        0        0
32624 libghc-aeson-prof                  	       0        2        0        0        2
32625 libghc-alsa-core-dev               	       0        4        4        0        0
32626 libghc-alsa-mixer-dev              	       0        3        3        0        0
32627 libghc-ansi-terminal-prof          	       0        4        0        0        4
32628 libghc-ansi-wl-pprint-dev          	       0        3        3        0        0
32629 libghc-ansi-wl-pprint-prof         	       0        1        0        0        1
32630 libghc-appar-dev                   	       0        3        3        0        0
32631 libghc-asn1-encoding-dev           	       0        3        3        0        0
32632 libghc-asn1-encoding-prof          	       0        1        0        0        1
32633 libghc-asn1-parse-dev              	       0        3        3        0        0
32634 libghc-asn1-parse-prof             	       0        1        0        0        1
32635 libghc-asn1-types-dev              	       0        3        3        0        0
32636 libghc-asn1-types-prof             	       0        1        0        0        1
32637 libghc-assoc-prof                  	       0        1        0        0        1
32638 libghc-async-doc                   	       0        1        1        0        0
32639 libghc-async-prof                  	       0        6        0        0        6
32640 libghc-attoparsec-doc              	       0        2        2        0        0
32641 libghc-attoparsec-prof             	       0        7        0        0        7
32642 libghc-auto-update-dev             	       0        3        3        0        0
32643 libghc-auto-update-prof            	       0        1        0        0        1
32644 libghc-base-compat-batteries-prof  	       0        1        0        0        1
32645 libghc-base-compat-doc             	       0        1        1        0        0
32646 libghc-base-compat-prof            	       0        4        0        0        4
32647 libghc-base-orphans-prof           	       0        4        0        0        4
32648 libghc-base16-bytestring-dev       	       0        4        4        0        0
32649 libghc-base16-bytestring-prof      	       0        1        0        0        1
32650 libghc-base64-bytestring-dev       	       0        3        3        0        0
32651 libghc-base64-bytestring-prof      	       0        1        0        0        1
32652 libghc-base64-dev                  	       0        1        1        0        0
32653 libghc-base64-doc                  	       0        1        1        0        0
32654 libghc-basement-dev                	       0        3        3        0        0
32655 libghc-bifunctors-doc              	       0        2        2        0        0
32656 libghc-bifunctors-prof             	       0        4        0        0        4
32657 libghc-binary-orphans-dev          	       0        2        2        0        0
32658 libghc-binary-orphans-prof         	       0        1        0        0        1
32659 libghc-blaze-builder-prof          	       0        7        0        0        7
32660 libghc-blaze-html-doc              	       0        1        1        0        0
32661 libghc-blaze-html-prof             	       0        7        0        0        7
32662 libghc-blaze-markup-doc            	       0        1        1        0        0
32663 libghc-blaze-markup-prof           	       0        7        0        0        7
32664 libghc-bmp-dev                     	       0        1        1        0        0
32665 libghc-bmp-prof                    	       0        1        0        0        1
32666 libghc-bsb-http-chunked-dev        	       0        2        2        0        0
32667 libghc-byte-order-dev              	       0        1        1        0        0
32668 libghc-byte-order-prof             	       0        1        0        0        1
32669 libghc-byteable-dev                	       0        1        1        0        0
32670 libghc-byteable-prof               	       0        1        0        0        1
32671 libghc-byteorder-dev               	       0        3        3        0        0
32672 libghc-bytes-dev                   	       0        2        2        0        0
32673 libghc-bytes-prof                  	       0        1        0        0        1
32674 libghc-bytestring-conversion-dev   	       0        1        1        0        0
32675 libghc-bytestring-conversion-prof  	       0        1        0        0        1
32676 libghc-bytestring-to-vector-dev    	       0        1        1        0        0
32677 libghc-bytestring-to-vector-prof   	       0        1        0        0        1
32678 libghc-bzlib-dev                   	       0        2        2        0        0
32679 libghc-bzlib-prof                  	       0        1        0        0        1
32680 libghc-cairo-dev                   	       0        5        5        0        0
32681 libghc-cairo-doc                   	       0        2        2        0        0
32682 libghc-cairo-prof                  	       0        3        0        0        3
32683 libghc-call-stack-dev              	       0        7        7        0        0
32684 libghc-call-stack-doc              	       0        1        1        0        0
32685 libghc-call-stack-prof             	       0        3        0        0        3
32686 libghc-case-insensitive-doc        	       0        1        1        0        0
32687 libghc-case-insensitive-prof       	       0        2        0        0        2
32688 libghc-cereal-dev                  	       0        8        8        0        0
32689 libghc-cereal-prof                 	       0        3        0        0        3
32690 libghc-charsetdetect-ae-dev        	       0        1        1        0        0
32691 libghc-charsetdetect-ae-prof       	       0        1        0        0        1
32692 libghc-citeproc-dev                	       0        2        2        0        0
32693 libghc-colour-prof                 	       0        4        0        0        4
32694 libghc-commonmark-dev              	       0        2        2        0        0
32695 libghc-commonmark-extensions-dev   	       0        2        2        0        0
32696 libghc-commonmark-pandoc-dev       	       0        2        2        0        0
32697 libghc-comonad-doc                 	       0        2        2        0        0
32698 libghc-comonad-prof                	       0        4        0        0        4
32699 libghc-conduit-dev                 	       0       12       12        0        0
32700 libghc-conduit-doc                 	       0        1        1        0        0
32701 libghc-conduit-extra-dev           	       0       10       10        0        0
32702 libghc-conduit-extra-prof          	       0        6        0        0        6
32703 libghc-conduit-prof                	       0        6        0        0        6
32704 libghc-config-ini-dev              	       0        1        1        0        0
32705 libghc-config-ini-doc              	       0        1        1        0        0
32706 libghc-config-ini-prof             	       0        1        0        0        1
32707 libghc-configurator-dev            	       0        1        1        0        0
32708 libghc-configurator-prof           	       0        1        0        0        1
32709 libghc-connection-dev              	       0        3        3        0        0
32710 libghc-connection-prof             	       0        1        0        0        1
32711 libghc-constraints-dev             	       0        2        2        0        0
32712 libghc-contravariant-doc           	       0        2        2        0        0
32713 libghc-contravariant-prof          	       0        4        0        0        4
32714 libghc-convertible-dev             	       0        2        2        0        0
32715 libghc-convertible-doc             	       0        1        1        0        0
32716 libghc-convertible-prof            	       0        1        0        0        1
32717 libghc-cookie-dev                  	       0        4        4        0        0
32718 libghc-cookie-prof                 	       0        1        0        0        1
32719 libghc-cpu-dev                     	       0        1        1        0        0
32720 libghc-cpu-prof                    	       0        1        0        0        1
32721 libghc-crypto-api-dev              	       0        1        1        0        0
32722 libghc-cryptohash-dev              	       0        1        1        0        0
32723 libghc-cryptohash-prof             	       0        1        0        0        1
32724 libghc-cryptonite-dev              	       0        3        3        0        0
32725 libghc-cryptonite-prof             	       0        1        0        0        1
32726 libghc-css-text-dev                	       0        2        2        0        0
32727 libghc-css-text-prof               	       0        2        0        0        2
32728 libghc-csv-dev                     	       0        2        2        0        0
32729 libghc-csv-doc                     	       0        1        1        0        0
32730 libghc-csv-prof                    	       0        2        0        0        2
32731 libghc-data-default-class-dev      	       0       31       31        0        0
32732 libghc-data-default-class-doc      	       0       15       15        0        0
32733 libghc-data-default-class-prof     	       0        9        0        0        9
32734 libghc-data-default-dev            	       0       12       12        0        0
32735 libghc-data-default-doc            	       0        5        5        0        0
32736 libghc-data-default-instances-containers-dev	       0       12       12        0        0
32737 libghc-data-default-instances-containers-doc	       0        1        1        0        0
32738 libghc-data-default-instances-containers-prof	       0        3        0        0        3
32739 libghc-data-default-instances-dlist-dev	       0       12       12        0        0
32740 libghc-data-default-instances-dlist-doc	       0        1        1        0        0
32741 libghc-data-default-instances-dlist-prof	       0        3        0        0        3
32742 libghc-data-default-instances-old-locale-dev	       0       12       12        0        0
32743 libghc-data-default-instances-old-locale-doc	       0        1        1        0        0
32744 libghc-data-default-instances-old-locale-prof	       0        3        0        0        3
32745 libghc-data-default-prof           	       0        2        0        0        2
32746 libghc-data-fix-prof               	       0        1        0        0        1
32747 libghc-dbus-dev                    	       0        1        1        0        0
32748 libghc-dbus-doc                    	       0        1        1        0        0
32749 libghc-dbus-prof                   	       0        1        0        0        1
32750 libghc-diff-dev                    	       0        1        1        0        0
32751 libghc-digest-dev                  	       0        3        3        0        0
32752 libghc-distributive-doc            	       0        2        2        0        0
32753 libghc-distributive-prof           	       0        4        0        0        4
32754 libghc-dlist-doc                   	       0        2        2        0        0
32755 libghc-dlist-prof                  	       0        3        0        0        3
32756 libghc-doclayout-dev               	       0        2        2        0        0
32757 libghc-doctemplates-dev            	       0        2        2        0        0
32758 libghc-doctest-dev                 	       0        1        1        0        0
32759 libghc-doctest-prof                	       0        1        0        0        1
32760 libghc-double-conversion-dev       	       0        2        2        0        0
32761 libghc-double-conversion-prof      	       0        1        0        0        1
32762 libghc-dynamic-state-dev           	       0        2        2        0        0
32763 libghc-dynamic-state-prof          	       0        1        0        0        1
32764 libghc-dyre-dev                    	       0        1        1        0        0
32765 libghc-dyre-doc                    	       0        1        1        0        0
32766 libghc-dyre-prof                   	       0        1        0        0        1
32767 libghc-easy-file-dev               	       0        3        3        0        0
32768 libghc-easy-file-prof              	       0        1        0        0        1
32769 libghc-either-dev                  	       0        1        1        0        0
32770 libghc-either-prof                 	       0        1        0        0        1
32771 libghc-emojis-dev                  	       0        4        4        0        0
32772 libghc-emojis-doc                  	       0        1        1        0        0
32773 libghc-emojis-prof                 	       0        2        0        0        2
32774 libghc-enclosed-exceptions-dev     	       0        3        3        0        0
32775 libghc-enclosed-exceptions-prof    	       0        1        0        0        1
32776 libghc-entropy-dev                 	       0        3        3        0        0
32777 libghc-errors-dev                  	       0        1        1        0        0
32778 libghc-errors-prof                 	       0        1        0        0        1
32779 libghc-exceptions-dev              	       0        8        8        0        0
32780 libghc-exceptions-doc              	       0        1        1        0        0
32781 libghc-exceptions-prof             	       0        5        0        0        5
32782 libghc-executable-path-dev         	       0        1        1        0        0
32783 libghc-executable-path-prof        	       0        1        0        0        1
32784 libghc-extensible-exceptions-dev   	       0       10       10        0        0
32785 libghc-extensible-exceptions-doc   	       0        2        2        0        0
32786 libghc-extensible-exceptions-prof  	       0        2        0        0        2
32787 libghc-fast-logger-dev             	       0        3        3        0        0
32788 libghc-fast-logger-prof            	       0        1        0        0        1
32789 libghc-fgl-dev                     	       0        1        1        0        0
32790 libghc-fgl-doc                     	       0        1        1        0        0
32791 libghc-file-embed-dev              	       0        3        3        0        0
32792 libghc-filestore-dev               	       0        1        1        0        0
32793 libghc-filestore-doc               	       0        1        1        0        0
32794 libghc-fingertree-dev              	       0        2        2        0        0
32795 libghc-fingertree-prof             	       0        1        0        0        1
32796 libghc-fixed-dev                   	       0        1        1        0        0
32797 libghc-fixed-doc                   	       0        1        1        0        0
32798 libghc-flexible-defaults-dev       	       0        1        1        0        0
32799 libghc-flexible-defaults-prof      	       0        1        0        0        1
32800 libghc-floatinghex-dev             	       0        1        1        0        0
32801 libghc-floatinghex-doc             	       0        1        0        0        1
32802 libghc-floatinghex-prof            	       0        1        0        0        1
32803 libghc-fmlist-dev                  	       0        2        2        0        0
32804 libghc-foldable1-classes-compat-dev	       0        1        1        0        0
32805 libghc-foldable1-classes-compat-prof	       0        1        0        0        1
32806 libghc-free-dev                    	       0        5        5        0        0
32807 libghc-free-doc                    	       0        2        2        0        0
32808 libghc-free-prof                   	       0        3        0        0        3
32809 libghc-fsnotify-dev                	       0        3        3        0        0
32810 libghc-fsnotify-prof               	       0        1        0        0        1
32811 libghc-generic-deriving-dev        	       0        2        2        0        0
32812 libghc-ghc-paths-dev               	       0        5        5        0        0
32813 libghc-ghc-paths-prof              	       0        1        0        0        1
32814 libghc-gi-atk-dev                  	       0        2        2        0        0
32815 libghc-gi-atk-doc                  	       0        2        2        0        0
32816 libghc-gi-atk-prof                 	       0        2        0        0        2
32817 libghc-gi-cairo-dev                	       0        3        3        0        0
32818 libghc-gi-cairo-doc                	       0        2        2        0        0
32819 libghc-gi-cairo-prof               	       0        2        0        0        2
32820 libghc-gi-dbusmenu-dev             	       0        2        2        0        0
32821 libghc-gi-dbusmenu-doc             	       0        2        2        0        0
32822 libghc-gi-dbusmenu-prof            	       0        2        0        0        2
32823 libghc-gi-dbusmenugtk3-dev         	       0        2        2        0        0
32824 libghc-gi-dbusmenugtk3-doc         	       0        2        2        0        0
32825 libghc-gi-dbusmenugtk3-prof        	       0        2        0        0        2
32826 libghc-gi-gdk-dev                  	       0        3        3        0        0
32827 libghc-gi-gdk-doc                  	       0        2        2        0        0
32828 libghc-gi-gdk-prof                 	       0        2        0        0        2
32829 libghc-gi-gdkpixbuf-dev            	       0        4        4        0        0
32830 libghc-gi-gdkpixbuf-doc            	       0        3        3        0        0
32831 libghc-gi-gdkpixbuf-prof           	       0        3        0        0        3
32832 libghc-gi-gdkx11-dev               	       0        1        1        0        0
32833 libghc-gi-gio-dev                  	       0        4        4        0        0
32834 libghc-gi-gio-doc                  	       0        3        3        0        0
32835 libghc-gi-gio-prof                 	       0        3        0        0        3
32836 libghc-gi-glib-dev                 	       0        4        4        0        0
32837 libghc-gi-glib-doc                 	       0        3        3        0        0
32838 libghc-gi-glib-prof                	       0        3        0        0        3
32839 libghc-gi-gmodule-dev              	       0        1        1        0        0
32840 libghc-gi-gmodule-prof             	       0        1        0        0        1
32841 libghc-gi-gobject-dev              	       0        4        4        0        0
32842 libghc-gi-gobject-doc              	       0        3        3        0        0
32843 libghc-gi-gobject-prof             	       0        3        0        0        3
32844 libghc-gi-gtk-dev                  	       0        2        2        0        0
32845 libghc-gi-gtk-doc                  	       0        2        2        0        0
32846 libghc-gi-gtk-prof                 	       0        2        0        0        2
32847 libghc-gi-harfbuzz-dev             	       0        3        3        0        0
32848 libghc-gi-harfbuzz-doc             	       0        2        2        0        0
32849 libghc-gi-harfbuzz-prof            	       0        2        0        0        2
32850 libghc-gi-pango-dev                	       0        3        3        0        0
32851 libghc-gi-pango-doc                	       0        2        2        0        0
32852 libghc-gi-pango-prof               	       0        2        0        0        2
32853 libghc-gi-xlib-dev                 	       0        2        2        0        0
32854 libghc-gio-dev                     	       0        6        6        0        0
32855 libghc-gio-doc                     	       0        2        2        0        0
32856 libghc-gio-prof                    	       0        3        0        0        3
32857 libghc-gitit-data                  	       0        2        0        0        2
32858 libghc-glib-dev                    	       0        6        6        0        0
32859 libghc-glib-doc                    	       0        2        2        0        0
32860 libghc-glib-prof                   	       0        3        0        0        3
32861 libghc-glob-dev                    	       0        2        2        0        0
32862 libghc-gluraw-dev                  	       0        1        1        0        0
32863 libghc-gluraw-doc                  	       0        1        0        0        1
32864 libghc-glut-dev                    	       0        1        1        0        0
32865 libghc-glut-doc                    	       0        1        0        0        1
32866 libghc-gtk-dev                     	       0        1        1        0        0
32867 libghc-gtk-prof                    	       0        1        0        0        1
32868 libghc-gtk3-dev                    	       0        4        4        0        0
32869 libghc-gtk3-doc                    	       0        2        2        0        0
32870 libghc-gtk3-prof                   	       0        2        0        0        2
32871 libghc-haddock-library-dev         	       0        2        2        0        0
32872 libghc-hakyll-dev                  	       0        2        2        0        0
32873 libghc-half-dev                    	       0        1        1        0        0
32874 libghc-half-doc                    	       0        1        1        0        0
32875 libghc-hashable-doc                	       0        4        4        0        0
32876 libghc-hashable-prof               	       0        8        0        0        8
32877 libghc-hashtables-dev              	       0        4        4        0        0
32878 libghc-haskell-gi-base-dev         	       0        5        5        0        0
32879 libghc-haskell-gi-base-doc         	       0        3        3        0        0
32880 libghc-haskell-gi-base-prof        	       0        3        0        0        3
32881 libghc-haskell-gi-dev              	       0        5        5        0        0
32882 libghc-haskell-gi-doc              	       0        2        2        0        0
32883 libghc-haskell-gi-prof             	       0        3        0        0        3
32884 libghc-haskell-lexer-dev           	       0        8        8        0        0
32885 libghc-haskell-lexer-doc           	       0        1        1        0        0
32886 libghc-haskell-lexer-prof          	       0        3        0        0        3
32887 libghc-haskell-src-dev             	       0        1        1        0        0
32888 libghc-haskell-src-doc             	       0        1        1        0        0
32889 libghc-hclip-dev                   	       0        2        2        0        0
32890 libghc-hclip-prof                  	       0        1        0        0        1
32891 libghc-hdbc-dev                    	       0        2        2        0        0
32892 libghc-hdbc-doc                    	       0        1        1        0        0
32893 libghc-hdbc-prof                   	       0        1        0        0        1
32894 libghc-hdbc-session-dev            	       0        1        1        0        0
32895 libghc-hdbc-session-prof           	       0        1        0        0        1
32896 libghc-hinotify-dev                	       0        3        3        0        0
32897 libghc-hinotify-prof               	       0        1        0        0        1
32898 libghc-hint-dev                    	       0        4        4        0        0
32899 libghc-hint-doc                    	       0        1        1        0        0
32900 libghc-hint-prof                   	       0        1        0        0        1
32901 libghc-hit-dev                     	       0        1        1        0        0
32902 libghc-hit-prof                    	       0        1        0        0        1
32903 libghc-hjsmin-dev                  	       0        1        1        0        0
32904 libghc-hostname-dev                	       0        1        1        0        0
32905 libghc-hostname-prof               	       0        1        0        0        1
32906 libghc-hourglass-dev               	       0        3        3        0        0
32907 libghc-hourglass-prof              	       0        1        0        0        1
32908 libghc-hslogger-dev                	       0        4        4        0        0
32909 libghc-hslogger-doc                	       0        1        1        0        0
32910 libghc-hslogger-prof               	       0        1        0        0        1
32911 libghc-hslua-aeson-dev             	       0        2        2        0        0
32912 libghc-hslua-classes-dev           	       0        2        2        0        0
32913 libghc-hslua-core-dev              	       0        2        2        0        0
32914 libghc-hslua-dev                   	       0        2        2        0        0
32915 libghc-hslua-marshalling-dev       	       0        2        2        0        0
32916 libghc-hslua-module-path-dev       	       0        2        2        0        0
32917 libghc-hslua-module-system-dev     	       0        2        2        0        0
32918 libghc-hslua-module-text-dev       	       0        2        2        0        0
32919 libghc-hslua-module-version-dev    	       0        2        2        0        0
32920 libghc-hslua-objectorientation-dev 	       0        2        2        0        0
32921 libghc-hslua-packaging-dev         	       0        2        2        0        0
32922 libghc-hsyaml-dev                  	       0        2        2        0        0
32923 libghc-html-dev                    	       0        2        2        0        0
32924 libghc-html-doc                    	       0        1        1        0        0
32925 libghc-http-api-data-dev           	       0        1        1        0        0
32926 libghc-http-api-data-prof          	       0        1        0        0        1
32927 libghc-http-client-dev             	       0        3        3        0        0
32928 libghc-http-client-prof            	       0        1        0        0        1
32929 libghc-http-client-tls-dev         	       0        3        3        0        0
32930 libghc-http-client-tls-prof        	       0        1        0        0        1
32931 libghc-http-conduit-dev            	       0        2        2        0        0
32932 libghc-http-date-dev               	       0        2        2        0        0
32933 libghc-http-dev                    	       0        1        1        0        0
32934 libghc-http-doc                    	       0        1        0        0        1
32935 libghc-http-link-header-dev        	       0        1        1        0        0
32936 libghc-http-link-header-prof       	       0        1        0        0        1
32937 libghc-http-types-dev              	       0        3        3        0        0
32938 libghc-http-types-prof             	       0        1        0        0        1
32939 libghc-http2-dev                   	       0        2        2        0        0
32940 libghc-hunit-dev                   	       0        4        4        0        0
32941 libghc-hunit-doc                   	       0        1        0        0        1
32942 libghc-hunit-prof                  	       0        1        0        0        1
32943 libghc-iconv-dev                   	       0        1        1        0        0
32944 libghc-iconv-prof                  	       0        1        0        0        1
32945 libghc-idna-dev                    	       0        1        1        0        0
32946 libghc-idna-doc                    	       0        1        1        0        0
32947 libghc-indexed-traversable-doc     	       0        1        1        0        0
32948 libghc-indexed-traversable-instances-prof	       0        1        0        0        1
32949 libghc-indexed-traversable-prof    	       0        1        0        0        1
32950 libghc-ini-dev                     	       0        1        1        0        0
32951 libghc-ini-prof                    	       0        1        0        0        1
32952 libghc-integer-logarithms-prof     	       0        7        0        0        7
32953 libghc-invariant-dev               	       0        3        3        0        0
32954 libghc-invariant-doc               	       0        1        1        0        0
32955 libghc-invariant-prof              	       0        2        0        0        2
32956 libghc-io-storage-dev              	       0        1        1        0        0
32957 libghc-io-storage-prof             	       0        1        0        0        1
32958 libghc-iproute-dev                 	       0        3        3        0        0
32959 libghc-ipynb-dev                   	       0        2        2        0        0
32960 libghc-iwlib-dev                   	       0        2        2        0        0
32961 libghc-iwlib-prof                  	       0        1        0        0        1
32962 libghc-jira-wiki-markup-dev        	       0        2        2        0        0
32963 libghc-json-dev                    	       0        1        1        0        0
32964 libghc-json-doc                    	       0        1        1        0        0
32965 libghc-juicypixels-dev             	       0        3        3        0        0
32966 libghc-kan-extensions-dev          	       0        4        4        0        0
32967 libghc-kan-extensions-doc          	       0        1        1        0        0
32968 libghc-kan-extensions-prof         	       0        3        0        0        3
32969 libghc-language-javascript-dev     	       0        1        1        0        0
32970 libghc-lens-dev                    	       0        4        4        0        0
32971 libghc-lens-doc                    	       0        1        1        0        0
32972 libghc-lens-prof                   	       0        3        0        0        3
32973 libghc-libmpd-dev                  	       0        3        3        0        0
32974 libghc-libmpd-doc                  	       0        1        1        0        0
32975 libghc-libyaml-dev                 	       0        4        4        0        0
32976 libghc-lifted-async-dev            	       0        2        2        0        0
32977 libghc-lifted-base-dev             	       0        3        3        0        0
32978 libghc-lifted-base-prof            	       0        1        0        0        1
32979 libghc-linear-dev                  	       0        2        2        0        0
32980 libghc-linear-doc                  	       0        1        1        0        0
32981 libghc-linear-prof                 	       0        1        0        0        1
32982 libghc-listlike-dev                	       0        2        2        0        0
32983 libghc-lpeg-dev                    	       0        2        2        0        0
32984 libghc-lrucache-dev                	       0        2        2        0        0
32985 libghc-lua-dev                     	       0        2        2        0        0
32986 libghc-magic-dev                   	       0        3        3        0        0
32987 libghc-markdown-dev                	       0        1        1        0        0
32988 libghc-markdown-doc                	       0        1        1        0        0
32989 libghc-markdown-prof               	       0        1        0        0        1
32990 libghc-markdown-unlit-dev          	       0        1        1        0        0
32991 libghc-markdown-unlit-doc          	       0        1        1        0        0
32992 libghc-markdown-unlit-prof         	       0        1        0        0        1
32993 libghc-megaparsec-dev              	       0        1        1        0        0
32994 libghc-megaparsec-prof             	       0        1        0        0        1
32995 libghc-memory-dev                  	       0        4        4        0        0
32996 libghc-memory-prof                 	       0        1        0        0        1
32997 libghc-microlens-dev               	       0        3        3        0        0
32998 libghc-microlens-ghc-dev           	       0        2        2        0        0
32999 libghc-microlens-ghc-prof          	       0        1        0        0        1
33000 libghc-microlens-mtl-dev           	       0        2        2        0        0
33001 libghc-microlens-mtl-prof          	       0        1        0        0        1
33002 libghc-microlens-platform-dev      	       0        2        2        0        0
33003 libghc-microlens-platform-prof     	       0        1        0        0        1
33004 libghc-microlens-prof              	       0        1        0        0        1
33005 libghc-microlens-th-dev            	       0        2        2        0        0
33006 libghc-microlens-th-prof           	       0        1        0        0        1
33007 libghc-mime-types-dev              	       0        4        4        0        0
33008 libghc-mime-types-prof             	       0        1        0        0        1
33009 libghc-missingh-dev                	       0        1        1        0        0
33010 libghc-mmorph-dev                  	       0        1        1        0        0
33011 libghc-mmorph-prof                 	       0        1        0        0        1
33012 libghc-monad-control-prof          	       0        1        0        0        1
33013 libghc-monad-logger-dev            	       0        1        1        0        0
33014 libghc-monad-logger-prof           	       0        1        0        0        1
33015 libghc-monad-loops-dev             	       0        1        1        0        0
33016 libghc-monad-loops-prof            	       0        1        0        0        1
33017 libghc-monadrandom-dev             	       0        4        4        0        0
33018 libghc-monadrandom-prof            	       0        4        0        0        4
33019 libghc-mono-traversable-dev        	       0       11       11        0        0
33020 libghc-mono-traversable-prof       	       0        5        0        0        5
33021 libghc-mtl-dev                     	       0        2        2        0        0
33022 libghc-mtl-doc                     	       0        1        1        0        0
33023 libghc-mtl-prof                    	       0        1        0        0        1
33024 libghc-multimap-dev                	       0        1        1        0        0
33025 libghc-multimap-prof               	       0        1        0        0        1
33026 libghc-names-th-dev                	       0        1        1        0        0
33027 libghc-names-th-prof               	       0        1        0        0        1
33028 libghc-network-bsd-dev             	       0        4        4        0        0
33029 libghc-network-bsd-prof            	       0        1        0        0        1
33030 libghc-network-byte-order-dev      	       0        2        2        0        0
33031 libghc-network-dev                 	       0       17       17        0        0
33032 libghc-network-doc                 	       0        2        2        0        0
33033 libghc-network-info-dev            	       0        1        1        0        0
33034 libghc-network-info-doc            	       0        1        1        0        0
33035 libghc-network-prof                	       0        7        0        0        7
33036 libghc-network-uri-doc             	       0        1        1        0        0
33037 libghc-network-uri-prof            	       0        2        0        0        2
33038 libghc-numtype-dev                 	       0        1        1        0        0
33039 libghc-numtype-prof                	       0        1        0        0        1
33040 libghc-objectname-dev              	       0        2        2        0        0
33041 libghc-objectname-doc              	       0        2        0        0        2
33042 libghc-objectname-prof             	       0        1        0        0        1
33043 libghc-old-locale-dev              	       0       16       16        0        0
33044 libghc-old-locale-doc              	       0        3        3        0        0
33045 libghc-old-locale-prof             	       0        4        0        0        4
33046 libghc-old-time-dev                	       0       10       10        0        0
33047 libghc-old-time-doc                	       0        2        2        0        0
33048 libghc-old-time-prof               	       0        1        0        0        1
33049 libghc-onetuple-doc                	       0        1        0        0        1
33050 libghc-onetuple-prof               	       0        1        0        0        1
33051 libghc-only-dev                    	       0        2        2        0        0
33052 libghc-oo-prototypes-dev           	       0        2        2        0        0
33053 libghc-oo-prototypes-prof          	       0        1        0        0        1
33054 libghc-opengl-dev                  	       0        1        1        0        0
33055 libghc-opengl-doc                  	       0        1        0        0        1
33056 libghc-openglraw-dev               	       0        1        1        0        0
33057 libghc-openglraw-doc               	       0        1        0        0        1
33058 libghc-optparse-applicative-dev    	       0        2        2        0        0
33059 libghc-os-string-prof              	       0        1        0        0        1
33060 libghc-pandoc-citeproc-data        	       0        8        0        0        8
33061 libghc-pandoc-dev                  	       0        2        2        0        0
33062 libghc-pandoc-lua-marshal-dev      	       0        2        2        0        0
33063 libghc-pandoc-sidenote-dev         	       0        1        1        0        0
33064 libghc-pandoc-sidenote-prof        	       0        1        0        0        1
33065 libghc-pandoc-types-dev            	       0        3        3        0        0
33066 libghc-pandoc-types-prof           	       0        1        0        0        1
33067 libghc-pango-dev                   	       0        5        5        0        0
33068 libghc-pango-doc                   	       0        2        2        0        0
33069 libghc-pango-prof                  	       0        3        0        0        3
33070 libghc-parallel-doc                	       0        2        2        0        0
33071 libghc-parallel-prof               	       0        3        0        0        3
33072 libghc-parsec3-dev                 	       0        1        1        0        0
33073 libghc-parsec3-prof                	       0        1        0        0        1
33074 libghc-parser-combinators-dev      	       0        1        1        0        0
33075 libghc-parser-combinators-prof     	       0        1        0        0        1
33076 libghc-path-pieces-dev             	       0        1        1        0        0
33077 libghc-path-pieces-prof            	       0        1        0        0        1
33078 libghc-patience-dev                	       0        1        1        0        0
33079 libghc-patience-prof               	       0        1        0        0        1
33080 libghc-pem-dev                     	       0        3        3        0        0
33081 libghc-pem-prof                    	       0        1        0        0        1
33082 libghc-persistable-record-dev      	       0        1        1        0        0
33083 libghc-persistable-record-prof     	       0        1        0        0        1
33084 libghc-persistable-types-hdbc-pg-dev	       0        1        1        0        0
33085 libghc-persistable-types-hdbc-pg-prof	       0        1        0        0        1
33086 libghc-persistent-dev              	       0        1        1        0        0
33087 libghc-persistent-postgresql-dev   	       0        1        1        0        0
33088 libghc-persistent-postgresql-prof  	       0        1        0        0        1
33089 libghc-persistent-prof             	       0        1        0        0        1
33090 libghc-persistent-sqlite-dev       	       0        1        1        0        0
33091 libghc-persistent-sqlite-prof      	       0        1        0        0        1
33092 libghc-pointedlist-dev             	       0        2        2        0        0
33093 libghc-pointedlist-prof            	       0        1        0        0        1
33094 libghc-postgresql-libpq-dev        	       0        2        2        0        0
33095 libghc-postgresql-libpq-prof       	       0        1        0        0        1
33096 libghc-postgresql-simple-dev       	       0        2        2        0        0
33097 libghc-postgresql-simple-prof      	       0        1        0        0        1
33098 libghc-prelude-extras-dev          	       0        1        1        0        0
33099 libghc-prelude-extras-prof         	       0        1        0        0        1
33100 libghc-pretty-show-dev             	       0        8        8        0        0
33101 libghc-pretty-show-doc             	       0        1        1        0        0
33102 libghc-pretty-show-prof            	       0        3        0        0        3
33103 libghc-primitive-doc               	       0        3        3        0        0
33104 libghc-primitive-prof              	       0       12        0        0       12
33105 libghc-primitive-unaligned-dev     	       0        1        1        0        0
33106 libghc-primitive-unaligned-prof    	       0        1        0        0        1
33107 libghc-process-extras-dev          	       0        2        2        0        0
33108 libghc-profunctors-dev             	       0        6        6        0        0
33109 libghc-profunctors-doc             	       0        2        2        0        0
33110 libghc-profunctors-prof            	       0        4        0        0        4
33111 libghc-psqueues-dev                	       0        2        2        0        0
33112 libghc-punycode-dev                	       0        1        1        0        0
33113 libghc-puremd5-dev                 	       0        1        1        0        0
33114 libghc-quickcheck2-doc             	       0        1        0        0        1
33115 libghc-quickcheck2-prof            	       0        2        0        0        2
33116 libghc-random-doc                  	       0       17       17        0        0
33117 libghc-random-prof                 	       0       14        0        0       14
33118 libghc-random-shuffle-dev          	       0        4        4        0        0
33119 libghc-random-shuffle-prof         	       0        4        0        0        4
33120 libghc-reflection-dev              	       0        4        4        0        0
33121 libghc-reflection-doc              	       0        1        1        0        0
33122 libghc-reflection-prof             	       0        3        0        0        3
33123 libghc-regex-base-doc              	       0        2        2        0        0
33124 libghc-regex-base-prof             	       0        5        0        0        5
33125 libghc-regex-compat-dev            	       0        2        2        0        0
33126 libghc-regex-compat-doc            	       0        1        1        0        0
33127 libghc-regex-pcre-dev              	       0        1        1        0        0
33128 libghc-regex-pcre-doc              	       0        1        1        0        0
33129 libghc-regex-pcre-prof             	       0        1        0        0        1
33130 libghc-regex-posix-dev             	       0        6        6        0        0
33131 libghc-regex-posix-doc             	       0        1        1        0        0
33132 libghc-regex-posix-prof            	       0        1        0        0        1
33133 libghc-regex-tdfa-prof             	       0        4        0        0        4
33134 libghc-relational-query-dev        	       0        1        1        0        0
33135 libghc-relational-query-hdbc-dev   	       0        1        1        0        0
33136 libghc-relational-query-hdbc-prof  	       0        1        0        0        1
33137 libghc-relational-query-prof       	       0        1        0        0        1
33138 libghc-relational-schemas-dev      	       0        1        1        0        0
33139 libghc-relational-schemas-prof     	       0        1        0        0        1
33140 libghc-resolv-dev                  	       0        1        1        0        0
33141 libghc-resource-pool-dev           	       0        1        1        0        0
33142 libghc-resource-pool-prof          	       0        1        0        0        1
33143 libghc-resourcet-dev               	       0       13       13        0        0
33144 libghc-resourcet-doc               	       0        1        1        0        0
33145 libghc-resourcet-prof              	       0        7        0        0        7
33146 libghc-safe-dev                    	       0        9        9        0        0
33147 libghc-safe-exceptions-dev         	       0        2        2        0        0
33148 libghc-safe-prof                   	       0        5        0        0        5
33149 libghc-sandi-dev                   	       0        2        2        0        0
33150 libghc-scientific-doc              	       0        2        2        0        0
33151 libghc-scientific-prof             	       0        8        0        0        8
33152 libghc-sdl-dev                     	       0        2        2        0        0
33153 libghc-sdl-doc                     	       0        1        0        0        1
33154 libghc-sdl-gfx-dev                 	       0        1        1        0        0
33155 libghc-sdl-gfx-doc                 	       0        1        0        0        1
33156 libghc-sdl-gfx-prof                	       0        1        0        0        1
33157 libghc-sdl-image-dev               	       0        2        2        0        0
33158 libghc-sdl-image-doc               	       0        1        0        0        1
33159 libghc-sdl-image-prof              	       0        1        0        0        1
33160 libghc-sdl-mixer-dev               	       0        2        2        0        0
33161 libghc-sdl-mixer-doc               	       0        1        0        0        1
33162 libghc-sdl-mixer-prof              	       0        1        0        0        1
33163 libghc-sdl-prof                    	       0        1        0        0        1
33164 libghc-sdl-ttf-dev                 	       0        1        1        0        0
33165 libghc-sdl-ttf-doc                 	       0        1        0        0        1
33166 libghc-sdl-ttf-prof                	       0        1        0        0        1
33167 libghc-sdl2-dev                    	       0        2        2        0        0
33168 libghc-sdl2-doc                    	       0        1        1        0        0
33169 libghc-sdl2-prof                   	       0        1        0        0        1
33170 libghc-sdl2-ttf-dev                	       0        2        2        0        0
33171 libghc-sdl2-ttf-doc                	       0        1        1        0        0
33172 libghc-sdl2-ttf-prof               	       0        1        0        0        1
33173 libghc-semialign-doc               	       0        1        1        0        0
33174 libghc-semialign-prof              	       0        1        0        0        1
33175 libghc-semigroupoids-doc           	       0        3        3        0        0
33176 libghc-semigroupoids-prof          	       0        4        0        0        4
33177 libghc-semigroups-dev              	       0        9        9        0        0
33178 libghc-semigroups-doc              	       0        1        1        0        0
33179 libghc-semigroups-prof             	       0        3        0        0        3
33180 libghc-sendfile-dev                	       0        1        1        0        0
33181 libghc-setlocale-dev               	       0       18       18        0        0
33182 libghc-setlocale-doc               	       0        2        2        0        0
33183 libghc-setlocale-prof              	       0        2        0        0        2
33184 libghc-sha-dev                     	       0        5        5        0        0
33185 libghc-shelly-dev                  	       0        2        2        0        0
33186 libghc-silently-dev                	       0        1        1        0        0
33187 libghc-silently-prof               	       0        1        0        0        1
33188 libghc-simple-sendfile-dev         	       0        2        2        0        0
33189 libghc-skylighting-core-dev        	       0        2        2        0        0
33190 libghc-skylighting-dev             	       0        2        2        0        0
33191 libghc-soap-dev                    	       0        1        1        0        0
33192 libghc-soap-prof                   	       0        1        0        0        1
33193 libghc-soap-tls-dev                	       0        1        1        0        0
33194 libghc-soap-tls-prof               	       0        1        0        0        1
33195 libghc-socks-dev                   	       0        4        4        0        0
33196 libghc-socks-prof                  	       0        1        0        0        1
33197 libghc-split-doc                   	       0        1        1        0        0
33198 libghc-split-prof                  	       0        7        0        0        7
33199 libghc-splitmix-doc                	       0       12       12        0        0
33200 libghc-splitmix-prof               	       0        9        0        0        9
33201 libghc-sql-words-dev               	       0        1        1        0        0
33202 libghc-sql-words-prof              	       0        1        0        0        1
33203 libghc-statevar-doc                	       0        3        0        0        3
33204 libghc-statevar-prof               	       0        4        0        0        4
33205 libghc-status-notifier-item-dev    	       0        1        1        0        0
33206 libghc-status-notifier-item-prof   	       0        1        0        0        1
33207 libghc-stm-chans-dev               	       0        1        1        0        0
33208 libghc-stm-chans-prof              	       0        1        0        0        1
33209 libghc-stm-dev                     	       0        1        1        0        0
33210 libghc-stm-prof                    	       0        1        0        0        1
33211 libghc-streaming-commons-dev       	       0       10       10        0        0
33212 libghc-streaming-commons-prof      	       0        6        0        0        6
33213 libghc-strict-prof                 	       0        2        0        0        2
33214 libghc-stringprep-dev              	       0        1        1        0        0
33215 libghc-syb-dev                     	       0        5        5        0        0
33216 libghc-syb-doc                     	       0        1        1        0        0
33217 libghc-syb-prof                    	       0        2        0        0        2
33218 libghc-system-fileio-dev           	       0        1        1        0        0
33219 libghc-system-fileio-prof          	       0        1        0        0        1
33220 libghc-system-filepath-dev         	       0        2        2        0        0
33221 libghc-system-filepath-prof        	       0        1        0        0        1
33222 libghc-tabular-dev                 	       0        1        1        0        0
33223 libghc-tabular-doc                 	       0        1        1        0        0
33224 libghc-tagged-doc                  	       0        2        2        0        0
33225 libghc-tagged-prof                 	       0        4        0        0        4
33226 libghc-tagsoup-dev                 	       0        5        5        0        0
33227 libghc-tagsoup-prof                	       0        1        0        0        1
33228 libghc-temporary-dev               	       0        6        6        0        0
33229 libghc-test-framework-dev          	       0        1        1        0        0
33230 libghc-test-framework-prof         	       0        1        0        0        1
33231 libghc-test-framework-quickcheck2-dev	       0        1        1        0        0
33232 libghc-test-framework-quickcheck2-prof	       0        1        0        0        1
33233 libghc-texmath-dev                 	       0        2        2        0        0
33234 libghc-text-conversions-dev        	       0        2        2        0        0
33235 libghc-text-dev                    	       0        1        1        0        0
33236 libghc-text-icu-dev                	       0        2        2        0        0
33237 libghc-text-icu-prof               	       0        1        0        0        1
33238 libghc-text-postgresql-dev         	       0        1        1        0        0
33239 libghc-text-postgresql-prof        	       0        1        0        0        1
33240 libghc-text-prof                   	       0        1        0        0        1
33241 libghc-text-short-doc              	       0        1        1        0        0
33242 libghc-text-short-prof             	       0        1        0        0        1
33243 libghc-tf-random-dev               	       0        1        1        0        0
33244 libghc-tf-random-prof              	       0        1        0        0        1
33245 libghc-th-abstraction-prof         	       0        3        0        0        3
33246 libghc-th-compat-doc               	       0        1        1        0        0
33247 libghc-th-compat-prof              	       0        2        0        0        2
33248 libghc-th-data-compat-dev          	       0        1        1        0        0
33249 libghc-th-data-compat-prof         	       0        1        0        0        1
33250 libghc-th-extras-dev               	       0        1        1        0        0
33251 libghc-th-extras-prof              	       0        1        0        0        1
33252 libghc-th-lift-dev                 	       0        4        4        0        0
33253 libghc-th-lift-instances-dev       	       0        3        3        0        0
33254 libghc-th-lift-prof                	       0        1        0        0        1
33255 libghc-th-reify-compat-dev         	       0        1        1        0        0
33256 libghc-th-reify-compat-prof        	       0        1        0        0        1
33257 libghc-these-prof                  	       0        1        0        0        1
33258 libghc-threads-dev                 	       0        1        1        0        0
33259 libghc-time-compat-prof            	       0        1        0        0        1
33260 libghc-time-locale-compat-dev      	       0        4        4        0        0
33261 libghc-time-locale-compat-prof     	       0        1        0        0        1
33262 libghc-time-manager-dev            	       0        2        2        0        0
33263 libghc-tls-dev                     	       0        3        3        0        0
33264 libghc-tls-prof                    	       0        1        0        0        1
33265 libghc-transformers-base-doc       	       0        2        2        0        0
33266 libghc-transformers-base-prof      	       0        4        0        0        4
33267 libghc-transformers-compat-prof    	       0        8        0        0        8
33268 libghc-type-equality-dev           	       0        4        4        0        0
33269 libghc-type-equality-prof          	       0        2        0        0        2
33270 libghc-typed-process-dev           	       0        9        9        0        0
33271 libghc-typed-process-prof          	       0        5        0        0        5
33272 libghc-typst-symbols-dev           	       0        1        1        0        0
33273 libghc-unexceptionalio-dev         	       0        1        1        0        0
33274 libghc-unexceptionalio-prof        	       0        1        0        0        1
33275 libghc-unicode-collation-dev       	       0        2        2        0        0
33276 libghc-unicode-data-dev            	       0        2        2        0        0
33277 libghc-unicode-transforms-dev      	       0        2        2        0        0
33278 libghc-uniplate-dev                	       0        2        2        0        0
33279 libghc-unix-compat-dev             	       0        4        4        0        0
33280 libghc-unix-compat-prof            	       0        1        0        0        1
33281 libghc-unix-time-dev               	       0        3        3        0        0
33282 libghc-unix-time-prof              	       0        1        0        0        1
33283 libghc-unixutils-dev               	       0        1        1        0        0
33284 libghc-unixutils-doc               	       0        1        0        0        1
33285 libghc-unliftio-core-dev           	       0       12       12        0        0
33286 libghc-unliftio-core-doc           	       0        1        1        0        0
33287 libghc-unliftio-core-prof          	       0        6        0        0        6
33288 libghc-unliftio-dev                	       0        2        2        0        0
33289 libghc-unordered-containers-doc    	       0        3        3        0        0
33290 libghc-unordered-containers-prof   	       0        8        0        0        8
33291 libghc-url-dev                     	       0        1        1        0        0
33292 libghc-utf8-string-doc             	       0       12       12        0        0
33293 libghc-utf8-string-prof            	       0        6        0        0        6
33294 libghc-uuid-types-prof             	       0        2        0        0        2
33295 libghc-vault-dev                   	       0        2        2        0        0
33296 libghc-vector-algorithms-dev       	       0       11       11        0        0
33297 libghc-vector-algorithms-prof      	       0        5        0        0        5
33298 libghc-vector-doc                  	       0        3        3        0        0
33299 libghc-vector-prof                 	       0        8        0        0        8
33300 libghc-vector-stream-prof          	       0        1        0        0        1
33301 libghc-void-dev                    	       0        6        6        0        0
33302 libghc-void-prof                   	       0        3        0        0        3
33303 libghc-vty-crossplatform-dev       	       0        1        1        0        0
33304 libghc-vty-dev                     	       0        2        2        0        0
33305 libghc-vty-prof                    	       0        1        0        0        1
33306 libghc-vty-unix-dev                	       0        1        1        0        0
33307 libghc-wai-app-static-dev          	       0        2        2        0        0
33308 libghc-wai-dev                     	       0        2        2        0        0
33309 libghc-wai-extra-dev               	       0        2        2        0        0
33310 libghc-wai-logger-dev              	       0        2        2        0        0
33311 libghc-warp-dev                    	       0        2        2        0        0
33312 libghc-web-routes-dev              	       0        1        1        0        0
33313 libghc-web-routes-prof             	       0        1        0        0        1
33314 libghc-web-routes-th-dev           	       0        1        1        0        0
33315 libghc-web-routes-th-prof          	       0        1        0        0        1
33316 libghc-witherable-prof             	       0        1        0        0        1
33317 libghc-word-trie-dev               	       0        1        1        0        0
33318 libghc-word-trie-prof              	       0        1        0        0        1
33319 libghc-word8-dev                   	       0        2        2        0        0
33320 libghc-x11-dev                     	       0       19       19        0        0
33321 libghc-x11-doc                     	       0       16        3        0       13
33322 libghc-x11-prof                    	       0        2        0        0        2
33323 libghc-x11-xft-dev                 	       0       18       18        0        0
33324 libghc-x11-xft-doc                 	       0        6        3        0        3
33325 libghc-x11-xft-prof                	       0        1        0        0        1
33326 libghc-x509-dev                    	       0        3        3        0        0
33327 libghc-x509-prof                   	       0        1        0        0        1
33328 libghc-x509-store-dev              	       0        3        3        0        0
33329 libghc-x509-store-prof             	       0        1        0        0        1
33330 libghc-x509-system-dev             	       0        3        3        0        0
33331 libghc-x509-system-prof            	       0        1        0        0        1
33332 libghc-x509-validation-dev         	       0        3        3        0        0
33333 libghc-x509-validation-prof        	       0        1        0        0        1
33334 libghc-xdg-basedir-dev             	       0        8        8        0        0
33335 libghc-xdg-basedir-doc             	       0        1        1        0        0
33336 libghc-xdg-basedir-prof            	       0        5        0        0        5
33337 libghc-xdg-desktop-entry-dev       	       0        1        1        0        0
33338 libghc-xdg-desktop-entry-doc       	       0        1        1        0        0
33339 libghc-xdg-desktop-entry-prof      	       0        1        0        0        1
33340 libghc-xml-conduit-dev             	       0       10       10        0        0
33341 libghc-xml-conduit-prof            	       0        6        0        0        6
33342 libghc-xml-conduit-writer-dev      	       0        1        1        0        0
33343 libghc-xml-conduit-writer-prof     	       0        1        0        0        1
33344 libghc-xml-dev                     	       0        4        4        0        0
33345 libghc-xml-prof                    	       0        1        0        0        1
33346 libghc-xml-types-dev               	       0       11       11        0        0
33347 libghc-xml-types-prof              	       0        7        0        0        7
33348 libghc-xmlhtml-dev                 	       0        1        1        0        0
33349 libghc-xmlhtml-doc                 	       0        1        1        0        0
33350 libghc-xmlhtml-prof                	       0        1        0        0        1
33351 libghc-xmonad-contrib-dev          	       0       17       17        0        0
33352 libghc-xmonad-contrib-doc          	       0       16       16        0        0
33353 libghc-xmonad-contrib-prof         	       0        1        0        0        1
33354 libghc-xmonad-dev                  	       0       18       18        0        0
33355 libghc-xmonad-doc                  	       0       16       16        0        0
33356 libghc-xmonad-extras-dev           	       0        3        3        0        0
33357 libghc-xmonad-extras-doc           	       0        2        2        0        0
33358 libghc-xmonad-prof                 	       0        2        0        0        2
33359 libghc-xmonad-wallpaper-dev        	       0        3        3        0        0
33360 libghc-xmonad-wallpaper-doc        	       0        2        2        0        0
33361 libghc-xss-sanitize-dev            	       0        1        1        0        0
33362 libghc-xss-sanitize-prof           	       0        1        0        0        1
33363 libghc-yaml-dev                    	       0        4        4        0        0
33364 libghc-yi-core-dev                 	       0        1        1        0        0
33365 libghc-yi-dev                      	       0        1        1        0        0
33366 libghc-yi-frontend-vty-dev         	       0        1        1        0        0
33367 libghc-yi-keymap-emacs-dev         	       0        1        1        0        0
33368 libghc-yi-language-dev             	       0        2        2        0        0
33369 libghc-yi-language-prof            	       0        1        0        0        1
33370 libghc-yi-misc-modes-dev           	       0        1        1        0        0
33371 libghc-yi-mode-haskell-dev         	       0        1        1        0        0
33372 libghc-yi-prof                     	       0        1        0        0        1
33373 libghc-yi-rope-dev                 	       0        2        2        0        0
33374 libghc-yi-rope-prof                	       0        1        0        0        1
33375 libghc-zip-archive-dev             	       0        3        3        0        0
33376 libghc-zlib-bindings-dev           	       0        1        1        0        0
33377 libghc-zlib-bindings-prof          	       0        1        0        0        1
33378 libghc-zlib-doc                    	       0        1        1        0        0
33379 libghc-zlib-prof                   	       0        7        0        0        7
33380 libghdl-3-0-0                      	       0        1        0        0        1
33381 libghemical-data                   	       0        3        0        0        3
33382 libghemical5v5                     	       0        3        0        0        3
33383 libghmm1                           	       0        1        0        0        1
33384 libgiac-dev                        	       0        8        8        0        0
33385 libgiac0                           	       0       11        0        0       11
33386 libgiac0t64                        	       0        3        0        0        3
33387 libgiftiio-dev                     	       0        1        1        0        0
33388 libgiftiio0                        	       0        1        0        0        1
33389 libgig-dev                         	       0        4        4        0        0
33390 libgig10                           	       0       44        0        0       44
33391 libgig10t64                        	       0        3        0        0        3
33392 libgig6v5                          	       0        1        0        0        1
33393 libgig8                            	       0        1        1        0        0
33394 libgig9                            	       0       11        0        0       11
33395 libgii1                            	       0        4        4        0        0
33396 libgii1-target-x                   	       0        4        4        0        0
33397 libgimp-3.0-0                      	       0       56        0        0       56
33398 libgimp2.0-dev                     	       0       19       19        0        0
33399 libgimp2.0-doc                     	       0        4        0        0        4
33400 libgimp2.0t64                      	       0       72        1        0       71
33401 libginac1.3c2a                     	       0        1        0        0        1
33402 libginac1.4                        	       0        1        0        0        1
33403 libginac1.5                        	       0        1        0        0        1
33404 libginac11                         	       0        2        0        0        2
33405 libginac13                         	       0        2        0        0        2
33406 libgio-cil                         	       0        1        1        0        0
33407 libgio-qt-dev                      	       0        1        1        0        0
33408 libgio-qt0                         	       0        5        0        0        5
33409 libgio2.0-cil-dev                  	       0        1        1        0        0
33410 libgio3.0-cil                      	       0       58        0        0       58
33411 libgirara-dev                      	       0        1        1        0        0
33412 libgirara-gtk2-0                   	       0        1        0        0        1
33413 libgirara-gtk3-1                   	       0        1        0        0        1
33414 libgirara-gtk3-2                   	       0        3        0        0        3
33415 libgirara-gtk3-3t64                	       0        1        0        0        1
33416 libgirara-gtk3-4                   	       0       13        0        0       13
33417 libgirepository-1.0-dev            	       0        9        5        4        0
33418 libgirepository-2.0-0              	       0       89        0        0       89
33419 libgirepository1.0-0               	       0        2        0        0        2
33420 libgirepository1.0-dev             	       0      111      100        2        9
33421 libgirepository1.0-doc             	       0        3        0        0        3
33422 libgis-distance-perl               	       0        6        6        0        0
33423 libgit-repository-perl             	       0        5        5        0        0
33424 libgit-repository-plugin-log-perl  	       0        4        4        0        0
33425 libgit-version-compare-perl        	       0        6        6        0        0
33426 libgit2-1.3                        	       0        2        0        0        2
33427 libgit2-1.7                        	       0       22        0        0       22
33428 libgit2-21                         	       0        2        0        0        2
33429 libgit2-24                         	       0       22        0        0       22
33430 libgit2-28                         	       0        1        0        0        1
33431 libgit2-fixtures                   	       0        1        1        0        0
33432 libgit2-glib-1.0-dev               	       0        1        0        1        0
33433 libgit2-glib-1.0-doc               	       0        2        0        0        2
33434 libgivaro-dev                      	       0       12       12        0        0
33435 libgivaro-doc                      	       0        1        0        0        1
33436 libgivaro9                         	       0       12        0        0       12
33437 libgiza0                           	       0        7        0        0        7
33438 libgjs0c                           	       0        1        1        0        0
33439 libgjs0e                           	       0       15       15        0        0
33440 libgkarrays1                       	       0        1        0        0        1
33441 libgkeyfile1.0-cil                 	       0        8        0        0        8
33442 libgkl-java                        	       0        5        0        0        5
33443 libgkl-jni                         	       0        5        5        0        0
33444 libgksu1.2-0                       	       0        1        1        0        0
33445 libgksu2-dev                       	       0        1        1        0        0
33446 libgksuui1.0-1                     	       0        1        0        0        1
33447 libgl-gst                          	       0        2        2        0        0
33448 libgl-image-display0               	       0        1        0        0        1
33449 libgl1-amber-dri                   	       0        1        0        0        1
33450 libgl1-amdgpu-mesa-dev             	       0        1        0        0        1
33451 libgl1-glvnd-nvidia-glx            	       0        2        0        0        2
33452 libgl1-mesa-dev                    	       0      489        0        0      489
33453 libgl1-mesa-dri-experimental       	       0        1        0        0        1
33454 libgl1-mesa-glx                    	       0      626        0        0      626
33455 libgl1-mesa-swx11                  	       0        1        0        0        1
33456 libgl1-nvidia-glvnd-glx            	       0      173        0        0      173
33457 libgl1-nvidia-glx                  	       0        3        0        0        3
33458 libgl1-nvidia-glx-i386             	       0        1        0        0        1
33459 libgl1-nvidia-legacy-304xx-glx     	       0        1        0        0        1
33460 libgl1-nvidia-legacy-390xx-glvnd-glx	       0       11        0        0       11
33461 libgl1-nvidia-legacy-390xx-glx     	       0        2        0        0        2
33462 libgl1-nvidia-tesla-450-glvnd-glx  	       0        2        0        0        2
33463 libgl1-nvidia-tesla-470-glvnd-glx  	       0       16        0        0       16
33464 libgl2ps-doc                       	       0        1        0        0        1
33465 libgl2ps0                          	       0        5        0        0        5
33466 libgl2ps1                          	       0       15        0        0       15
33467 libgl2ps1.4                        	       0      294        0        0      294
33468 libgl4es-dev                       	       0        1        1        0        0
33469 libgl4es0                          	       0        2        0        0        2
33470 libglade-gnome0                    	       0        1        0        0        1
33471 libglade0                          	       0        1        0        0        1
33472 libglade2-dev                      	       0       23       23        0        0
33473 libglade2.0-cil                    	       0       39        0        0       39
33474 libglade2.0-cil-dev                	       0       10       10        0        0
33475 libglademm-2.4-1c2a                	       0        1        0        0        1
33476 libglademm-2.4-1v5                 	       0       42        0        0       42
33477 libglademm-2.4-dev                 	       0        2        2        0        0
33478 libglademm-2.4-doc                 	       0        2        2        0        0
33479 libgladeui-1-7                     	       0        1        1        0        0
33480 libgladeui-2-13                    	       0       36        0        0       36
33481 libgladeui-2-13t64                 	       0        6        0        0        6
33482 libgladeui-2-6                     	       0        5        0        0        5
33483 libgladeui-common                  	       0       47        0        0       47
33484 libgladeui-dev                     	       0        3        3        0        0
33485 libgladeui-doc                     	       0        1        0        0        1
33486 libglazedlists-java                	       0       25        0        0       25
33487 libglbinding-dev                   	       0        2        2        0        0
33488 libglbinding2                      	       0        2        0        0        2
33489 libglbsp-dev                       	       0        2        2        0        0
33490 libglbsp3                          	       0        5        0        0        5
33491 libglc-dev                         	       0        3        3        0        0
33492 libglc0                            	       0       50        0        0       50
33493 libgle3                            	       0      176        0        0      176
33494 libgle3-dev                        	       0        1        1        0        0
33495 libglee0d1                         	       0        4        0        0        4
33496 libgles-nvidia-legacy-390xx1       	       0       13        0        0       13
33497 libgles-nvidia-legacy-390xx2       	       0       13        0        0       13
33498 libgles-nvidia-tesla-450-1         	       0        2        0        0        2
33499 libgles-nvidia-tesla-450-2         	       0        2        0        0        2
33500 libgles-nvidia-tesla-470-1         	       0       13        0        0       13
33501 libgles-nvidia-tesla-470-2         	       0       13        0        0       13
33502 libgles-nvidia1                    	       0      158        0        0      158
33503 libgles-nvidia2                    	       0      159        0        0      159
33504 libgles1                           	       0      791        0        0      791
33505 libgles1-amdgpu-mesa               	       0        1        0        0        1
33506 libgles1-glvnd-nvidia              	       0        2        0        0        2
33507 libgles1-mesa                      	       0       21        0        0       21
33508 libgles1-nvidia                    	       0        2        0        0        2
33509 libgles1-nvidia-legacy-340xx       	       0        7        0        0        7
33510 libgles2-amdgpu-mesa               	       0        1        0        0        1
33511 libgles2-glvnd-nvidia              	       0        1        0        0        1
33512 libgles2-mesa                      	       0      108        0        0      108
33513 libgles2-mesa-dev                  	       0       69       16        0       53
33514 libgles2-nvidia                    	       0        2        0        0        2
33515 libgles2-nvidia-legacy-340xx       	       0        7        0        0        7
33516 libglew1.10                        	       0       29        0        0       29
33517 libglew1.13                        	       0        2        0        0        2
33518 libglew1.5                         	       0        2        0        0        2
33519 libglew1.6                         	       0        2        0        0        2
33520 libglew1.7                         	       0        8        0        0        8
33521 libglew2.0                         	       0       95        0        0       95
33522 libglewmx-dev                      	       0        2        2        0        0
33523 libglewmx1.10                      	       0        4        0        0        4
33524 libglewmx1.13                      	       0       54        0        0       54
33525 libglewmx1.5                       	       0        2        0        0        2
33526 libglewmx1.7                       	       0        2        0        0        2
33527 libglfw3                           	       0      138        0        0      138
33528 libglfw3-dev                       	       0       48       47        1        0
33529 libglfw3-doc                       	       0        4        0        0        4
33530 libglfw3-wayland                   	       0        3        0        0        3
33531 libglgrib-egl0                     	       0        1        0        0        1
33532 libgli-dev                         	       0        2        2        0        0
33533 libgli-doc                         	       0        1        0        0        1
33534 libglib-testing-0-0                	       0        1        0        0        1
33535 libglib-testing-0-dev              	       0        1        1        0        0
33536 libglib-testing-doc                	       0        1        0        0        1
33537 libglib1.2                         	       0        2        0        0        2
33538 libglib1.2-dev                     	       0        3        3        0        0
33539 libglib2-ruby                      	       0        1        0        0        1
33540 libglib2-ruby1.8                   	       0        2        1        0        1
33541 libglib2.0-0-dbgsym                	       0        1        1        0        0
33542 libglib2.0-bin-dbgsym              	       0        1        1        0        0
33543 libglib2.0-cil                     	       0       99        1        0       98
33544 libglib2.0-cil-dev                 	       0       25       25        0        0
33545 libglib2.0-dev-bin-dbgsym          	       0        1        1        0        0
33546 libglib2.0-doc                     	       0      102        0        0      102
33547 libglib2.0-tests                   	       0        5        5        0        0
33548 libglib3.0-cil                     	       0       60        0        0       60
33549 libglibd-2.0-0                     	       0        4        0        0        4
33550 libglibd-2.0-dev                   	       0        1        0        0        1
33551 libglibmm-2.4-dev                  	       0       68       67        1        0
33552 libglibmm-2.4-doc                  	       0       12       12        0        0
33553 libglibmm-2.68-dev                 	       0       10        9        1        0
33554 libglibmm-2.68-doc                 	       0        2        2        0        0
33555 libglibutil                        	       0        7        0        0        7
33556 libglide3                          	       0        6        6        0        0
33557 libglide3-dev                      	       0        2        2        0        0
33558 libglm-doc                         	       0        2        0        0        2
33559 libglobjects-dev                   	       0        1        1        0        0
33560 libglobjects1                      	       0        1        0        0        1
33561 libglobus-authz-callout-error0     	       0        2        0        0        2
33562 libglobus-authz0                   	       0        2        0        0        2
33563 libglobus-callout-dev              	       0        1        1        0        0
33564 libglobus-callout-doc              	       0        1        0        0        1
33565 libglobus-callout0                 	       0        4        0        0        4
33566 libglobus-common-dev               	       0        3        3        0        0
33567 libglobus-common-doc               	       0        1        0        0        1
33568 libglobus-common0                  	       0        5        5        0        0
33569 libglobus-ftp-client-dev           	       0        1        1        0        0
33570 libglobus-ftp-client-doc           	       0        1        0        0        1
33571 libglobus-ftp-client2              	       0        3        0        0        3
33572 libglobus-ftp-control-dev          	       0        1        1        0        0
33573 libglobus-ftp-control-doc          	       0        1        0        0        1
33574 libglobus-ftp-control1             	       0        3        0        0        3
33575 libglobus-gass-copy2               	       0        2        0        0        2
33576 libglobus-gass-server-ez-dev       	       0        1        1        0        0
33577 libglobus-gass-server-ez2          	       0        1        0        0        1
33578 libglobus-gass-transfer-dev        	       0        1        1        0        0
33579 libglobus-gass-transfer-doc        	       0        1        0        0        1
33580 libglobus-gass-transfer2           	       0        3        0        0        3
33581 libglobus-gfork0                   	       0        2        0        0        2
33582 libglobus-gram-client-dev          	       0        1        1        0        0
33583 libglobus-gram-client-doc          	       0        1        0        0        1
33584 libglobus-gram-client3             	       0        1        0        0        1
33585 libglobus-gram-protocol-dev        	       0        1        1        0        0
33586 libglobus-gram-protocol-doc        	       0        1        0        0        1
33587 libglobus-gram-protocol3           	       0        1        1        0        0
33588 libglobus-gridftp-server-control0  	       0        1        0        0        1
33589 libglobus-gridftp-server6          	       0        1        0        0        1
33590 libglobus-gsi-callback-dev         	       0        3        3        0        0
33591 libglobus-gsi-callback-doc         	       0        1        0        0        1
33592 libglobus-gsi-callback0            	       0        5        0        0        5
33593 libglobus-gsi-cert-utils-dev       	       0        3        3        0        0
33594 libglobus-gsi-cert-utils-doc       	       0        1        0        0        1
33595 libglobus-gsi-cert-utils0          	       0        5        0        0        5
33596 libglobus-gsi-credential-dev       	       0        3        3        0        0
33597 libglobus-gsi-credential-doc       	       0        1        0        0        1
33598 libglobus-gsi-credential1          	       0        5        0        0        5
33599 libglobus-gsi-openssl-error-dev    	       0        3        3        0        0
33600 libglobus-gsi-openssl-error-doc    	       0        1        0        0        1
33601 libglobus-gsi-openssl-error0       	       0        5        0        0        5
33602 libglobus-gsi-proxy-core-dev       	       0        3        3        0        0
33603 libglobus-gsi-proxy-core-doc       	       0        1        0        0        1
33604 libglobus-gsi-proxy-core0          	       0        5        0        0        5
33605 libglobus-gsi-proxy-ssl-dev        	       0        3        3        0        0
33606 libglobus-gsi-proxy-ssl-doc        	       0        1        0        0        1
33607 libglobus-gsi-proxy-ssl1           	       0        5        0        0        5
33608 libglobus-gsi-sysconfig-dev        	       0        3        3        0        0
33609 libglobus-gsi-sysconfig-doc        	       0        1        0        0        1
33610 libglobus-gsi-sysconfig1           	       0        5        0        0        5
33611 libglobus-gss-assist-dev           	       0        1        1        0        0
33612 libglobus-gss-assist-doc           	       0        1        0        0        1
33613 libglobus-gss-assist3              	       0        4        0        0        4
33614 libglobus-gssapi-error-dev         	       0        1        1        0        0
33615 libglobus-gssapi-error-doc         	       0        1        0        0        1
33616 libglobus-gssapi-error2            	       0        3        0        0        3
33617 libglobus-gssapi-gsi-dev           	       0        3        3        0        0
33618 libglobus-gssapi-gsi-doc           	       0        1        0        0        1
33619 libglobus-gssapi-gsi4              	       0        5        0        0        5
33620 libglobus-io-dev                   	       0        1        1        0        0
33621 libglobus-io3                      	       0        3        0        0        3
33622 libglobus-openssl-module-dev       	       0        3        3        0        0
33623 libglobus-openssl-module-doc       	       0        1        0        0        1
33624 libglobus-openssl-module0          	       0        5        0        0        5
33625 libglobus-rsl-dev                  	       0        1        1        0        0
33626 libglobus-rsl-doc                  	       0        1        0        0        1
33627 libglobus-rsl2                     	       0        1        0        0        1
33628 libglobus-usage0                   	       0        2        0        0        2
33629 libglobus-xio-dev                  	       0        1        1        0        0
33630 libglobus-xio-doc                  	       0        1        0        0        1
33631 libglobus-xio-gsi-driver           	       0        3        0        0        3
33632 libglobus-xio-gsi-driver-dev       	       0        1        1        0        0
33633 libglobus-xio-gsi-driver-doc       	       0        1        0        0        1
33634 libglobus-xio-gsi-driver0          	       0        2        0        0        2
33635 libglobus-xio-pipe-driver          	       0        2        0        0        2
33636 libglobus-xio-pipe-driver0         	       0        2        0        0        2
33637 libglobus-xio-popen-driver         	       0        3        0        0        3
33638 libglobus-xio-popen-driver-dev     	       0        1        1        0        0
33639 libglobus-xio-popen-driver0        	       0        2        0        0        2
33640 libglobus-xio0                     	       0        3        0        0        3
33641 libgloo0                           	       0       14        0        0       14
33642 libgloox-dev                       	       0        4        4        0        0
33643 libgloox12                         	       0        1        0        0        1
33644 libgloox14                         	       0        1        0        0        1
33645 libgloox15                         	       0        3        0        0        3
33646 libgloox17                         	       0        4        0        0        4
33647 libgloox18                         	       0       53        1        0       52
33648 libglpk-java                       	       0        1        0        0        1
33649 libglpk0                           	       0        1        0        0        1
33650 libglpk40                          	       0      129        0        0      129
33651 libgltf-0.0-0                      	       0       14        0        0       14
33652 libgltf-0.0-0v5                    	       0       71        0        0       71
33653 libgltf-0.1-1                      	       0        2        0        0        2
33654 libgluegen2-jni                    	       0       20       20        0        0
33655 libgluegen2-rt-java                	       0       20        0        0       20
33656 libgluezilla                       	       0        1        0        0        1
33657 libglusterd0                       	       0       11        0        0       11
33658 libglusterfs-dev                   	       0        7        7        0        0
33659 libglut3                           	       0        1        0        0        1
33660 libglut3.12                        	       0      436        0        0      436
33661 libglvnd0-nvidia                   	       0        2        0        0        2
33662 libglw1-mesa                       	       0        8        0        0        8
33663 libglw1-mesa-dev                   	       0        5        5        0        0
33664 libglw1t64-mesa                    	       0        1        0        0        1
33665 libglx-nvidia-tesla-450-0          	       0        2        1        0        1
33666 libglx0-glvnd-nvidia               	       0        2        0        0        2
33667 libglyr-dev                        	       0        1        1        0        0
33668 libglyr-doc                        	       0        1        0        0        1
33669 libgm2-0                           	       0        1        0        0        1
33670 libgm2-12-dev                      	       0        1        0        0        1
33671 libgm2-13-dev                      	       0        2        0        0        2
33672 libgm2-14-dev                      	       0        3        0        0        3
33673 libgm2-17                          	       0        1        0        0        1
33674 libgm2-18                          	       0        2        0        0        2
33675 libgm2-18-dbgsym                   	       0        1        1        0        0
33676 libgm2-19                          	       0        3        0        0        3
33677 libgm2-9-dev                       	       0        1        0        0        1
33678 libgmbal-commons-java              	       0        3        0        0        3
33679 libgmbal-java                      	       0        3        0        0        3
33680 libgmbal-pfl-java                  	       0        3        0        0        3
33681 libgme-dev                         	       0       23       22        1        0
33682 libgmerlin-avdec-dev               	       0        1        1        0        0
33683 libgmerlin-avdec1                  	       0       11        0        0       11
33684 libgmerlin-avdec2                  	       0       12        0        0       12
33685 libgmerlin-common                  	       0       12        0        0       12
33686 libgmerlin-dev                     	       0        1        1        0        0
33687 libgmerlin0                        	       0        5        0        0        5
33688 libgmerlin2                        	       0        8        0        0        8
33689 libgmerlin2t64                     	       0        1        0        0        1
33690 libgmetrics-groovy-java            	       0        1        0        0        1
33691 libgmic1                           	       0      181        0        0      181
33692 libgmime-2.0-2                     	       0        1        0        0        1
33693 libgmime-2.4-2                     	       0        3        0        0        3
33694 libgmime-2.6-dev                   	       0       11       11        0        0
33695 libgmime-2.6-doc                   	       0        1        0        0        1
33696 libgmime-3.0-dev                   	       0        6        6        0        0
33697 libgmime-3.0-doc                   	       0        1        0        0        1
33698 libgmime2.6-cil                    	       0        7        0        0        7
33699 libgmime2.6-cil-dev                	       0        1        1        0        0
33700 libgmlib1                          	       0       19        0        0       19
33701 libgmm++-dev                       	       0        1        0        0        1
33702 libgmm-dev                         	       0        1        1        0        0
33703 libgmobile-common                  	       0        3        3        0        0
33704 libgmobile0                        	       0        3        1        0        2
33705 libgmock-dev                       	       0       14       14        0        0
33706 libgmp-ocaml                       	       0        1        1        0        0
33707 libgmp-ocaml-dev                   	       0        1        1        0        0
33708 libgmp10-doc                       	       0       16       16        0        0
33709 libgmp3-dev                        	       0       33        0        0       33
33710 libgmp3c2                          	       0       10        0        0       10
33711 libgmsh4                           	       0        3        0        0        3
33712 libgmsh4.13                        	       0        1        0        0        1
33713 libgmsh4.8                         	       0        7        0        0        7
33714 libgmt-dev                         	       0        1        1        0        0
33715 libgmt6                            	       0        6        0        0        6
33716 libgmt6t64                         	       0        3        0        0        3
33717 libgmtk1                           	       0       19        0        0       19
33718 libgmtk1-data                      	       0       21        0        0       21
33719 libgmyth0                          	       0        1        0        0        1
33720 libgnat-10                         	       0       13        0        0       13
33721 libgnat-11                         	       0        2        0        0        2
33722 libgnat-12                         	       0       49        0        0       49
33723 libgnat-12-i386-cross              	       0        1        0        0        1
33724 libgnat-13                         	       0        5        0        0        5
33725 libgnat-13-dbgsym                  	       0        1        1        0        0
33726 libgnat-14                         	       0        2        0        0        2
33727 libgnat-15                         	       0        1        0        0        1
33728 libgnat-4.6                        	       0        1        0        0        1
33729 libgnat-6                          	       0        4        0        0        4
33730 libgnat-8                          	       0        3        0        0        3
33731 libgnat-9                          	       0        1        0        0        1
33732 libgnat-util10                     	       0        8        0        0        8
33733 libgnatcoll-db-bin                 	       0        1        1        0        0
33734 libgnatcoll-db-doc                 	       0        1        0        0        1
33735 libgnatcoll-doc                    	       0        3        0        0        3
33736 libgnatcoll-gtk1.7                 	       0        1        0        0        1
33737 libgnatcoll-iconv1.7               	       0        1        0        0        1
33738 libgnatcoll-iconv18                	       0        1        0        0        1
33739 libgnatcoll-iconv20                	       0        1        0        0        1
33740 libgnatcoll-postgres1              	       0        1        0        0        1
33741 libgnatcoll-postgres3-dev          	       0        1        0        0        1
33742 libgnatcoll-python1.7              	       0        1        0        0        1
33743 libgnatcoll-python17               	       0        1        0        0        1
33744 libgnatcoll-readline1.7            	       0        1        0        0        1
33745 libgnatcoll-sql1                   	       0        1        0        0        1
33746 libgnatcoll-sql3                   	       0        1        0        0        1
33747 libgnatcoll-sql5-dev               	       0        1        0        0        1
33748 libgnatcoll-sqlite-bin             	       0        2        2        0        0
33749 libgnatcoll-sqlite1.7              	       0        1        0        0        1
33750 libgnatcoll-sqlite18               	       0        1        0        0        1
33751 libgnatcoll-sqlite20               	       0        1        0        0        1
33752 libgnatcoll-xref18                 	       0        1        0        0        1
33753 libgnatcoll-xref20                 	       0        1        0        0        1
33754 libgnatcoll1.7                     	       0        1        0        0        1
33755 libgnatcoll17                      	       0        1        0        0        1
33756 libgnatcoll21                      	       0        4        0        0        4
33757 libgnatcoll21-dev                  	       0        1        0        0        1
33758 libgnatprj10                       	       0        7        0        0        7
33759 libgnatprj10-dev                   	       0        1        0        0        1
33760 libgnatprj4.6                      	       0        1        0        0        1
33761 libgnatprj6                        	       0        2        0        0        2
33762 libgnatprj8                        	       0        1        0        0        1
33763 libgnatvsn4.6                      	       0        1        0        0        1
33764 libgnatvsn6                        	       0        2        0        0        2
33765 libgnatvsn8                        	       0        1        0        0        1
33766 libgnet-dev                        	       0        1        1        0        0
33767 libgnet2.0-0                       	       0        3        0        0        3
33768 libgnokii6                         	       0        8        0        0        8
33769 libgnokii7                         	       0        1        0        0        1
33770 libgnome-2-0                       	       0      150        0        0      150
33771 libgnome-autoar-common             	       0       17        0        0       17
33772 libgnome-bg-4-1                    	       0        1        0        0        1
33773 libgnome-bg-4-2t64                 	       0       20        4        1       15
33774 libgnome-bluetooth-3.0-dev         	       0        1        0        0        1
33775 libgnome-bluetooth-dev             	       0        2        2        0        0
33776 libgnome-bluetooth-ui-3.0-13       	       0      326        6        0      320
33777 libgnome-bluetooth10               	       0        6        0        0        6
33778 libgnome-bluetooth11               	       0        1        0        0        1
33779 libgnome-bluetooth7                	       0        1        0        0        1
33780 libgnome-desktop-2-17              	       0        5        0        0        5
33781 libgnome-desktop-3-10              	       0        3        0        0        3
33782 libgnome-desktop-3-12              	       0       54        0        0       54
33783 libgnome-desktop-3-17              	       0       44        1        0       43
33784 libgnome-desktop-3-18              	       0        1        0        0        1
33785 libgnome-desktop-3-2               	       0        1        0        0        1
33786 libgnome-desktop-3-7               	       0        2        2        0        0
33787 libgnome-desktop-4-1               	       0        1        0        0        1
33788 libgnome-desktop-4-2t64            	       0       39        4        1       34
33789 libgnome-device-manager0           	       0        1        0        0        1
33790 libgnome-games-support-1-2         	       0       12        0        0       12
33791 libgnome-games-support-1-3         	       0      410        1        0      409
33792 libgnome-games-support-1-dev       	       0        1        1        0        0
33793 libgnome-games-support-2-4         	       0       25        0        0       25
33794 libgnome-games-support-common      	       0      420        0        0      420
33795 libgnome-games-support-common2     	       0       25        0        0       25
33796 libgnome-keyring-common            	       0      236        0        0      236
33797 libgnome-keyring-dev               	       0        8        8        0        0
33798 libgnome-keyring1.0-cil            	       0        2        2        0        0
33799 libgnome-mag2                      	       0        2        2        0        0
33800 libgnome-media-profiles-3.0-0      	       0        1        0        0        1
33801 libgnome-menu-3-dev                	       0        5        5        0        0
33802 libgnome-menu2                     	       0        5        0        0        5
33803 libgnome-panel3                    	       0        6        0        0        6
33804 libgnome-rr-4-1                    	       0        1        0        0        1
33805 libgnome-rr-4-2                    	       0      306        6        0      300
33806 libgnome-rr-4-2t64                 	       0       18        0        0       18
33807 libgnome-speech7                   	       0        1        1        0        0
33808 libgnome-todo                      	       0       10        0        0       10
33809 libgnome-vfs2.0-cil                	       0        4        0        0        4
33810 libgnome-vfsmm-2.6-1c2a            	       0        1        0        0        1
33811 libgnome2-0                        	       0       42        0        0       42
33812 libgnome2-canvas-perl              	       0        4        4        0        0
33813 libgnome2-dev                      	       0        3        3        0        0
33814 libgnome2-doc                      	       0        1        0        0        1
33815 libgnome2-gconf-perl               	       0        2        0        0        2
33816 libgnome2-perl                     	       0        3        0        0        3
33817 libgnome2-vfs-perl                 	       0        3        0        0        3
33818 libgnome2-wnck-perl                	       0        1        0        0        1
33819 libgnome2.24-cil                   	       0        4        0        0        4
33820 libgnome32                         	       0        1        0        0        1
33821 libgnomecanvas2-common             	       0      235        0        0      235
33822 libgnomecanvas2-dev                	       0        7        7        0        0
33823 libgnomecanvas2-doc                	       0        2        0        0        2
33824 libgnomecanvasmm-2.6-1c2a          	       0        1        0        0        1
33825 libgnomecanvasmm-2.6-1v5           	       0        7        0        0        7
33826 libgnomecanvasmm-2.6-dev           	       0        1        1        0        0
33827 libgnomecanvasmm-2.6-doc           	       0        2        0        0        2
33828 libgnomecups1.0-1                  	       0        4        0        0        4
33829 libgnomedb2-common                 	       0        1        0        0        1
33830 libgnomedb2-doc                    	       0        1        0        0        1
33831 libgnomedesktop2.20-cil            	       0        1        0        0        1
33832 libgnomekbd-common                 	       0      572        0        1      571
33833 libgnomekbd-dev                    	       0        2        2        0        0
33834 libgnomekbd7                       	       0        7        0        0        7
33835 libgnomeprint2.2-0                 	       0        4        4        0        0
33836 libgnomeprint2.2-data              	       0        4        0        0        4
33837 libgnomeprint2.2-doc               	       0        1        0        0        1
33838 libgnomeprintui2.2-0               	       0        4        0        0        4
33839 libgnomeprintui2.2-common          	       0        4        0        0        4
33840 libgnomescan0                      	       0        1        0        0        1
33841 libgnomesupport0                   	       0        1        0        0        1
33842 libgnomeui-0                       	       0       92        0        0       92
33843 libgnomeui-dev                     	       0        3        3        0        0
33844 libgnomeui-doc                     	       0        1        0        0        1
33845 libgnomeui32                       	       0        1        0        0        1
33846 libgnomevfs2-0                     	       0      156        0        0      156
33847 libgnomevfs2-bin                   	       0        5        5        0        0
33848 libgnomevfs2-dev                   	       0        3        3        0        0
33849 libgnorba27                        	       0        1        0        0        1
33850 libgnorbagtk0                      	       0        1        0        0        1
33851 libgnt-dev                         	       0        1        1        0        0
33852 libgnu-regexp-java                 	       0        1        0        0        1
33853 libgnuastro-dev                    	       0        2        2        0        0
33854 libgnuastro12                      	       0        1        0        0        1
33855 libgnuastro17                      	       0        2        0        0        2
33856 libgnuastro20t64                   	       0        1        0        0        1
33857 libgnucap-dev                      	       0        1        0        0        1
33858 libgnucap0                         	       0       25        0        0       25
33859 libgnudatalanguage0                	       0        2        2        0        0
33860 libgnuift0c2a                      	       0        5        0        0        5
33861 libgnuinet-java                    	       0        9        0        0        9
33862 libgnujaf-java                     	       0        6        0        0        6
33863 libgnujaf-java-doc                 	       0        1        0        0        1
33864 libgnumail-java                    	       0        9        0        0        9
33865 libgnumail-java-doc                	       0        1        0        0        1
33866 libgnunet0.19                      	       0        6        6        0        0
33867 libgnunetgtk3                      	       0        7        0        0        7
33868 libgnupdf0                         	       0        1        1        0        0
33869 libgnupg-perl                      	       0        1        1        0        0
33870 libgnuplot-ocaml-dev               	       0        1        1        0        0
33871 libgnuradio-air-modes1             	       0        3        0        0        3
33872 libgnuradio-air-modes1t64          	       0        2        0        0        2
33873 libgnuradio-analog3.10.11          	       0        8        1        0        7
33874 libgnuradio-analog3.10.12          	       0        1        0        0        1
33875 libgnuradio-analog3.10.5           	       0       31        2        0       29
33876 libgnuradio-analog3.7.10           	       0        1        0        0        1
33877 libgnuradio-analog3.7.13           	       0        2        0        0        2
33878 libgnuradio-analog3.8.2            	       0        6        0        0        6
33879 libgnuradio-atsc3.7.13             	       0        2        0        0        2
33880 libgnuradio-audio3.10.11           	       0        8        1        0        7
33881 libgnuradio-audio3.10.12           	       0        1        0        0        1
33882 libgnuradio-audio3.10.5            	       0       33        2        0       31
33883 libgnuradio-audio3.7.13            	       0        2        0        0        2
33884 libgnuradio-audio3.8.2             	       0        5        0        0        5
33885 libgnuradio-blocks3.10.11          	       0        8        1        0        7
33886 libgnuradio-blocks3.10.12          	       0        1        0        0        1
33887 libgnuradio-blocks3.10.5           	       0       33        2        0       31
33888 libgnuradio-blocks3.7.10           	       0        1        0        0        1
33889 libgnuradio-blocks3.7.13           	       0        3        0        0        3
33890 libgnuradio-blocks3.8.2            	       0        6        0        0        6
33891 libgnuradio-channels3.10.11        	       0        8        0        0        8
33892 libgnuradio-channels3.10.12        	       0        1        0        0        1
33893 libgnuradio-channels3.10.5         	       0       31        0        0       31
33894 libgnuradio-channels3.7.13         	       0        2        0        0        2
33895 libgnuradio-channels3.8.2          	       0        4        0        0        4
33896 libgnuradio-comedi3.7.13           	       0        3        0        0        3
33897 libgnuradio-dab3.8.0               	       0        1        0        0        1
33898 libgnuradio-digital3.10.11         	       0        8        1        0        7
33899 libgnuradio-digital3.10.12         	       0        1        0        0        1
33900 libgnuradio-digital3.10.5          	       0       31        2        0       29
33901 libgnuradio-digital3.7.13          	       0        2        0        0        2
33902 libgnuradio-digital3.8.2           	       0        6        0        0        6
33903 libgnuradio-dtv3.10.11             	       0        8        0        0        8
33904 libgnuradio-dtv3.10.12             	       0        1        0        0        1
33905 libgnuradio-dtv3.10.5              	       0       31        0        0       31
33906 libgnuradio-dtv3.7.13              	       0        2        0        0        2
33907 libgnuradio-dtv3.8.2               	       0        3        0        0        3
33908 libgnuradio-fcd3.7.13              	       0        2        2        0        0
33909 libgnuradio-fcdproplus3.7.11       	       0        1        0        0        1
33910 libgnuradio-fcdproplus3.8.0        	       0        4        0        0        4
33911 libgnuradio-fec3.10.11             	       0        8        0        0        8
33912 libgnuradio-fec3.10.12             	       0        1        0        0        1
33913 libgnuradio-fec3.10.5              	       0       31        0        0       31
33914 libgnuradio-fec3.7.13              	       0        2        0        0        2
33915 libgnuradio-fec3.8.2               	       0        3        0        0        3
33916 libgnuradio-fft3.10.11             	       0        8        1        0        7
33917 libgnuradio-fft3.10.12             	       0        1        0        0        1
33918 libgnuradio-fft3.10.5              	       0       32        2        0       30
33919 libgnuradio-fft3.7.10              	       0        1        0        0        1
33920 libgnuradio-fft3.7.13              	       0        3        0        0        3
33921 libgnuradio-fft3.8.2               	       0        7        0        0        7
33922 libgnuradio-filter3.10.11          	       0        8        1        0        7
33923 libgnuradio-filter3.10.12          	       0        1        0        0        1
33924 libgnuradio-filter3.10.5           	       0       32        2        0       30
33925 libgnuradio-filter3.7.10           	       0        1        0        0        1
33926 libgnuradio-filter3.7.13           	       0        3        0        0        3
33927 libgnuradio-filter3.8.2            	       0        7        0        0        7
33928 libgnuradio-fosphor3.7.12          	       0        1        0        0        1
33929 libgnuradio-fosphor3.8.0           	       0        3        0        0        3
33930 libgnuradio-fosphor3.9.0           	       0       25        0        0       25
33931 libgnuradio-funcube3.10.0          	       0       36        3        0       33
33932 libgnuradio-hpsdr1.0.0             	       0        4        0        0        4
33933 libgnuradio-hpsdr1.2.1             	       0        1        0        0        1
33934 libgnuradio-iio1                   	       0        1        0        0        1
33935 libgnuradio-iio3.10.11             	       0        8        0        0        8
33936 libgnuradio-iio3.10.12             	       0        1        0        0        1
33937 libgnuradio-iio3.10.5              	       0       32        0        0       32
33938 libgnuradio-iqbalance3.7.11        	       0        1        0        0        1
33939 libgnuradio-iqbalance3.8.0         	       0        4        0        0        4
33940 libgnuradio-iqbalance3.9.0         	       0       36        3        0       33
33941 libgnuradio-limesdr3.0.1           	       0        6        0        0        6
33942 libgnuradio-limesdr3.0.2           	       0        3        0        0        3
33943 libgnuradio-network3.10.11         	       0        8        1        0        7
33944 libgnuradio-network3.10.12         	       0        1        0        0        1
33945 libgnuradio-network3.10.5          	       0       31        2        0       29
33946 libgnuradio-noaa3.7.13             	       0        2        0        0        2
33947 libgnuradio-osmosdr0.1.4           	       0        1        0        0        1
33948 libgnuradio-osmosdr0.2.0           	       0       34        2        0       32
33949 libgnuradio-osmosdr0.2.0t64        	       0        6        1        0        5
33950 libgnuradio-pager3.7.13            	       0        2        0        0        2
33951 libgnuradio-pdu3.10.11             	       0        8        0        0        8
33952 libgnuradio-pdu3.10.12             	       0        1        0        0        1
33953 libgnuradio-pdu3.10.5              	       0       31        0        0       31
33954 libgnuradio-pmt3.10.11             	       0        8        1        0        7
33955 libgnuradio-pmt3.10.12             	       0        1        0        0        1
33956 libgnuradio-pmt3.10.5              	       0       35        2        0       33
33957 libgnuradio-pmt3.10.9t64           	       0        1        0        0        1
33958 libgnuradio-pmt3.7.10              	       0        2        0        0        2
33959 libgnuradio-pmt3.7.13              	       0        4        0        0        4
33960 libgnuradio-pmt3.8.2               	       0       11        0        0       11
33961 libgnuradio-qtgui3.10.11           	       0        8        0        0        8
33962 libgnuradio-qtgui3.10.12           	       0        1        0        0        1
33963 libgnuradio-qtgui3.10.5            	       0       31        0        0       31
33964 libgnuradio-qtgui3.7.13            	       0        3        0        0        3
33965 libgnuradio-qtgui3.8.2             	       0        4        0        0        4
33966 libgnuradio-rds1                   	       0        2        0        0        2
33967 libgnuradio-runtime3.10.11         	       0        8        1        0        7
33968 libgnuradio-runtime3.10.12         	       0        1        0        0        1
33969 libgnuradio-runtime3.10.5          	       0       34        2        0       32
33970 libgnuradio-runtime3.7.10          	       0        2        0        0        2
33971 libgnuradio-runtime3.7.13          	       0        4        0        0        4
33972 libgnuradio-runtime3.8.2           	       0       11        0        0       11
33973 libgnuradio-satellites4.4.0        	       0        2        0        0        2
33974 libgnuradio-satellites5.5.0        	       0        2        0        0        2
33975 libgnuradio-soapy3.10.11           	       0        8        0        0        8
33976 libgnuradio-soapy3.10.12           	       0        1        0        0        1
33977 libgnuradio-soapy3.10.5            	       0       31        0        0       31
33978 libgnuradio-trellis3.10.11         	       0        8        0        0        8
33979 libgnuradio-trellis3.10.12         	       0        1        0        0        1
33980 libgnuradio-trellis3.10.5          	       0       31        0        0       31
33981 libgnuradio-trellis3.7.13          	       0        2        0        0        2
33982 libgnuradio-trellis3.8.2           	       0        4        0        0        4
33983 libgnuradio-uhd3.10.11             	       0        8        1        0        7
33984 libgnuradio-uhd3.10.12             	       0        1        0        0        1
33985 libgnuradio-uhd3.10.5              	       0       33        2        0       31
33986 libgnuradio-uhd3.7.10              	       0        1        0        0        1
33987 libgnuradio-uhd3.7.13              	       0        2        0        0        2
33988 libgnuradio-uhd3.8.2               	       0        4        0        0        4
33989 libgnuradio-video-sdl3.10.11       	       0        8        0        0        8
33990 libgnuradio-video-sdl3.10.12       	       0        1        0        0        1
33991 libgnuradio-video-sdl3.10.5        	       0       31        0        0       31
33992 libgnuradio-video-sdl3.7.13        	       0        2        0        0        2
33993 libgnuradio-video-sdl3.8.2         	       0        5        0        0        5
33994 libgnuradio-vocoder3.10.11         	       0        8        0        0        8
33995 libgnuradio-vocoder3.10.12         	       0        1        0        0        1
33996 libgnuradio-vocoder3.10.5          	       0       31        0        0       31
33997 libgnuradio-vocoder3.7.13          	       0        2        0        0        2
33998 libgnuradio-vocoder3.8.2           	       0        4        0        0        4
33999 libgnuradio-wavelet3.10.11         	       0        8        0        0        8
34000 libgnuradio-wavelet3.10.12         	       0        1        0        0        1
34001 libgnuradio-wavelet3.10.5          	       0       31        0        0       31
34002 libgnuradio-wavelet3.7.13          	       0        2        0        0        2
34003 libgnuradio-wavelet3.8.2           	       0        3        0        0        3
34004 libgnuradio-wxgui3.7.13            	       0        2        0        0        2
34005 libgnuradio-zeromq3.10.11          	       0        8        0        0        8
34006 libgnuradio-zeromq3.10.12          	       0        1        0        0        1
34007 libgnuradio-zeromq3.10.5           	       0       31        0        0       31
34008 libgnuradio-zeromq3.7.13           	       0        2        0        0        2
34009 libgnuradio-zeromq3.8.2            	       0        4        0        0        4
34010 libgnustep-base-dev                	       0        6        6        0        0
34011 libgnustep-base1.14                	       0        1        1        0        0
34012 libgnustep-base1.22                	       0        1        0        0        1
34013 libgnustep-base1.24                	       0       22        0        0       22
34014 libgnustep-base1.26                	       0       30        0        0       30
34015 libgnustep-base1.27                	       0      125        0        0      125
34016 libgnustep-base1.28                	       0      552        1        0      551
34017 libgnustep-base1.29                	       0       10        0        0       10
34018 libgnustep-base1.30                	       0       37        0        0       37
34019 libgnustep-base1.31                	       0       10        0        0       10
34020 libgnustep-gui-dev                 	       0        2        2        0        0
34021 libgnustep-gui0.12                 	       0        1        0        0        1
34022 libgnustep-gui0.20                 	       0        1        0        0        1
34023 libgnustep-gui0.24                 	       0        1        0        0        1
34024 libgnustep-gui0.25                 	       0        3        0        0        3
34025 libgnustep-gui0.27                 	       0        1        0        0        1
34026 libgnustep-gui0.28                 	       0        3        0        0        3
34027 libgnustep-gui0.29                 	       0       20        1        0       19
34028 libgnustep-gui0.30                 	       0        1        0        0        1
34029 libgnutls13                        	       0        4        0        0        4
34030 libgnutls28                        	       0        1        0        0        1
34031 libgnutlsxx27                      	       0        5        0        0        5
34032 libgnutlsxx28                      	       0       64        0        0       64
34033 libgnutlsxx30                      	       0      150        0        0      150
34034 libgo-10-dev                       	       0        3        0        0        3
34035 libgo-11-dev                       	       0        2        0        0        2
34036 libgo-11-dev-i386-cross            	       0        1        0        0        1
34037 libgo-12-dev                       	       0        7        0        0        7
34038 libgo-13-dev                       	       0        1        0        0        1
34039 libgo-14-dev                       	       0        3        0        0        3
34040 libgo13                            	       0        1        0        0        1
34041 libgo16                            	       0        3        0        0        3
34042 libgo19                            	       0        2        0        0        2
34043 libgo19-i386-cross                 	       0        1        0        0        1
34044 libgo21                            	       0        9        0        0        9
34045 libgo22                            	       0        2        0        0        2
34046 libgo23                            	       0        3        0        0        3
34047 libgo9                             	       0        1        0        0        1
34048 libgoa-1.0-dev                     	       0        6        5        1        0
34049 libgoa-1.0-doc                     	       0        1        0        0        1
34050 libgoffice-0-6-common              	       0        2        0        0        2
34051 libgoffice-0-8-common              	       0        1        1        0        0
34052 libgoffice-0-common                	       0        2        0        0        2
34053 libgoffice-0.10-doc                	       0        1        0        0        1
34054 libgoffice-0.8-8                   	       0        8        8        0        0
34055 libgoffice-0.8-8-common            	       0       10       10        0        0
34056 libgoffice-0.8-dev                 	       0        1        1        0        0
34057 libgoffice-1-common                	       0        2        0        0        2
34058 libgom-1.0-0t64                    	       0       31        1        0       30
34059 libgom-1.0-common                  	       0       12        0        0       12
34060 libgomp-plugin-amdgcn1             	       0        1        0        0        1
34061 libgomp-plugin-nvptx1              	       0        1        0        0        1
34062 libgomp1-alpha-cross               	       0        3        0        0        3
34063 libgomp1-arm64-cross               	       0       37        0        0       37
34064 libgomp1-armel-cross               	       0       23        0        0       23
34065 libgomp1-armhf-cross               	       0       31        0        0       31
34066 libgomp1-dbg                       	       0        1        1        0        0
34067 libgomp1-hppa-cross                	       0        3        0        0        3
34068 libgomp1-i386-cross                	       0       13        0        0       13
34069 libgomp1-m68k-cross                	       0        1        0        0        1
34070 libgomp1-mips-cross                	       0        5        0        0        5
34071 libgomp1-mips64-cross              	       0        1        0        0        1
34072 libgomp1-mips64el-cross            	       0        1        0        0        1
34073 libgomp1-mips64r6el-cross          	       0        1        0        0        1
34074 libgomp1-mipsel-cross              	       0        6        0        0        6
34075 libgomp1-powerpc-cross             	       0        5        0        0        5
34076 libgomp1-ppc64-cross               	       0        6        0        0        6
34077 libgomp1-ppc64el-cross             	       0        1        0        0        1
34078 libgomp1-riscv64-cross             	       0       10        0        0       10
34079 libgomp1-s390x-cross               	       0        4        0        0        4
34080 libgomp1-sparc64-cross             	       0        3        0        0        3
34081 libgomp1-x32-cross                 	       0        6        0        0        6
34082 libgoo-canvas-perl                 	       0        3        0        0        3
34083 libgoocanvas-2.0-9                 	       0      167        1        0      166
34084 libgoocanvas-2.0-common            	       0      167        1        0      166
34085 libgoocanvas-2.0-dev               	       0        2        2        0        0
34086 libgoocanvas-common                	       0       14        0        0       14
34087 libgoocanvas-ruby                  	       0        1        0        0        1
34088 libgoocanvas-ruby1.8               	       0        1        1        0        0
34089 libgoocanvas2-cairotypes-perl      	       0       17        0        0       17
34090 libgoocanvas3                      	       0       14        0        0       14
34091 libgoogle-api-client-java          	       0        4        0        0        4
34092 libgoogle-api-services-drive-java  	       0        2        0        0        2
34093 libgoogle-api-services-sheets-java 	       0        2        0        0        2
34094 libgoogle-auth-java                	       0        2        0        0        2
34095 libgoogle-auto-common-java         	       0        2        0        0        2
34096 libgoogle-auto-service-java        	       0        2        0        0        2
34097 libgoogle-auto-value-java          	       0        2        0        0        2
34098 libgoogle-flogger-java             	       0        2        0        0        2
34099 libgoogle-glog-dev                 	       0       21       21        0        0
34100 libgoogle-glog0v5                  	       0       10        1        0        9
34101 libgoogle-glog0v6                  	       0       31        1        0       30
34102 libgoogle-glog0v6t64               	       0        5        0        0        5
34103 libgoogle-gson-java                	       0      181        0        0      181
34104 libgoogle-http-client-java         	       0        4        0        0        4
34105 libgoogle-oauth-client-java        	       0        4        0        0        4
34106 libgoogle-perftools-dev            	       0       10       10        0        0
34107 libgoogle-perftools4t64            	       0        5        0        0        5
34108 libgorm1                           	       0        1        0        0        1
34109 libgossip-java                     	       0        1        0        0        1
34110 libgoto-file-perl                  	       0        1        1        0        0
34111 libgovirt-common                   	       0      102        0        0      102
34112 libgovirt2                         	       0      102        0        0      102
34113 libgp11-0                          	       0        3        0        0        3
34114 libgpac-dev                        	       0        1        1        0        0
34115 libgpac10                          	       0       29        0        0       29
34116 libgpac11                          	       0        4        0        0        4
34117 libgpac12                          	       0        6        0        0        6
34118 libgpac12t64                       	       0        6        0        0        6
34119 libgpac3                           	       0        1        0        0        1
34120 libgpac4                           	       0       10        0        0       10
34121 libgpars-groovy-java               	       0       68        0        0       68
34122 libgpars-groovy-java-doc           	       0        1        0        0        1
34123 libgpaste-2                        	       0        4        4        0        0
34124 libgpaste-2-common                 	       0        4        2        0        2
34125 libgpaste13                        	       0        1        0        0        1
34126 libgpds0                           	       0        2        0        0        2
34127 libgpewidget-data                  	       0        1        0        0        1
34128 libgpewidget1                      	       0        1        0        0        1
34129 libgpg-error-mingw-w64-dev         	       0        3        3        0        0
34130 libgpg-error0-dbgsym               	       0        1        1        0        0
34131 libgpgme++2v5                      	       0       50        0        0       50
34132 libgpgme-dev                       	       0       32       30        2        0
34133 libgpgmepp-dev                     	       0        7        6        1        0
34134 libgpgmepp-doc                     	       0        2        0        0        2
34135 libgphobos-10-dev                  	       0        3        0        0        3
34136 libgphobos-10-dev-arm64-cross      	       0        1        0        0        1
34137 libgphobos-11-dev                  	       0        1        0        0        1
34138 libgphobos-12-dev                  	       0       12        0        0       12
34139 libgphobos-13-dev                  	       0        2        0        0        2
34140 libgphobos-14-dev                  	       0        1        0        0        1
34141 libgphobos-dev                     	       0       14        0        0       14
34142 libgphobos1                        	       0        7        0        0        7
34143 libgphobos1-arm64-cross            	       0        1        0        0        1
34144 libgphobos2                        	       0        8        0        0        8
34145 libgphobos3                        	       0       24        0        0       24
34146 libgphobos4                        	       0        3        0        0        3
34147 libgphobos4-dbgsym                 	       0        1        1        0        0
34148 libgphobos5                        	       0        3        0        0        3
34149 libgphobos76                       	       0        4        0        0        4
34150 libgphoto2-2-dev                   	       0        1        0        0        1
34151 libgphoto2-dev                     	       0       66       65        1        0
34152 libgphoto2-dev-doc                 	       0        2        2        0        0
34153 libgphoto2-port0                   	       0       17        0        0       17
34154 libgphoto2-port10                  	       0       49        0        0       49
34155 libgpiod-dev                       	       0        5        4        1        0
34156 libgpiod2                          	       0       34        0        0       34
34157 libgpiod3                          	       0        2        0        0        2
34158 libgpod-cil                        	       0        1        1        0        0
34159 libgpod-cil-dev                    	       0        1        1        0        0
34160 libgpod-dev                        	       0        6        6        0        0
34161 libgpod-doc                        	       0        2        0        0        2
34162 libgpod3-nogtk                     	       0        1        0        0        1
34163 libgpod4-nogtk                     	       0        3        0        0        3
34164 libgportugol0                      	       0        1        0        0        1
34165 libgpr18                           	       0        1        0        0        1
34166 libgprofng0                        	       0     2085        0        0     2085
34167 libgps-dev                         	       0       14       14        0        0
34168 libgps-point-perl                  	       0        1        1        0        0
34169 libgps20                           	       0        1        0        0        1
34170 libgps21                           	       0       10        0        0       10
34171 libgps22                           	       0       16        0        0       16
34172 libgps23                           	       0       32        0        0       32
34173 libgps26                           	       0        1        0        0        1
34174 libgps30t64                        	       0       40        0        0       40
34175 libgpuarray-dev                    	       0        3        3        0        0
34176 libgpuarray3                       	       0        4        0        0        4
34177 libgr-framework0                   	       0        1        0        0        1
34178 libgradle-android-plugin-java      	       0        2        0        0        2
34179 libgradle-android-plugin-java-doc  	       0        1        0        0        1
34180 libgradle-core-java                	       0       47        0        0       47
34181 libgradle-jflex-plugin-java        	       0        1        0        0        1
34182 libgranite-7-common                	       0        7        0        0        7
34183 libgranite-common                  	       0       50        0        0       50
34184 libgranite-dev                     	       0        3        3        0        0
34185 libgranite5                        	       0        4        0        0        4
34186 libgranite6                        	       0       45        0        0       45
34187 libgrantlee-textdocument5          	       0       40        1        0       39
34188 libgrantlee5-dev                   	       0        6        6        0        0
34189 libgrantleetheme-data              	       0       12        0        0       12
34190 libgrantleetheme-plugins           	       0       11        0        0       11
34191 libgraph-easy-as-svg-perl          	       0        2        2        0        0
34192 libgraph-easy-perl                 	       0        2        2        0        0
34193 libgraph-readwrite-perl            	       0        2        2        0        0
34194 libgraph-writer-graphviz-perl      	       0        1        1        0        0
34195 libgraph4                          	       0        6        0        0        6
34196 libgraphblas-dev                   	       0       21       20        1        0
34197 libgraphblas2                      	       0        2        0        0        2
34198 libgraphblas3                      	       0        8        0        0        8
34199 libgraphblas7                      	       0       21        0        0       21
34200 libgraphene-1.0-dev                	       0       50       50        0        0
34201 libgraphene-doc                    	       0        1        0        0        1
34202 libgraphics-colornames-perl        	       0        7        7        0        0
34203 libgraphics-colorobject-perl       	       0        3        3        0        0
34204 libgraphics-colorutils-perl        	       0        2        2        0        0
34205 libgraphics-magick-perl            	       0       36        0        0       36
34206 libgraphics-ocaml                  	       0        1        1        0        0
34207 libgraphics-ocaml-dev              	       0        1        1        0        0
34208 libgraphics-tiff-perl              	       0       43        0        0       43
34209 libgraphics-toolkit-color-perl     	       0        1        1        0        0
34210 libgraphicsmagick++-q16-12         	       0      322        0        0      322
34211 libgraphicsmagick++-q16-12t64      	       0       20        0        0       20
34212 libgraphicsmagick++1               	       0        1        0        0        1
34213 libgraphicsmagick++1-dev           	       0       16       15        1        0
34214 libgraphicsmagick++3               	       0        5        0        0        5
34215 libgraphicsmagick1                 	       0        2        2        0        0
34216 libgraphicsmagick1-dev             	       0       20       19        1        0
34217 libgraphicsmagick3                 	       0       13       13        0        0
34218 libgraphite2-2.0.0                 	       0        2        0        0        2
34219 libgraphite2-doc                   	       0        1        0        0        1
34220 libgraphite2-utils                 	       0        6        6        0        0
34221 libgraphite3                       	       0        4        0        0        4
34222 libgravatar-data                   	       0       10        0        0       10
34223 libgravatar-url-perl               	       0        5        5        0        0
34224 libgretl1                          	       0        6        0        0        6
34225 libgretl1t64                       	       0        1        0        0        1
34226 libgrib2c-dev                      	       0        1        1        0        0
34227 libgrib2c0d                        	       0        3        0        0        3
34228 libgridsite1.7                     	       0        1        0        0        1
34229 libgridsite2                       	       0        1        0        0        1
34230 libgridsite6                       	       0        1        0        0        1
34231 libgrilo-0.1-0                     	       0        3        0        0        3
34232 libgrilo-0.2-1                     	       0        7        0        0        7
34233 libgrilo-0.3-0                     	       0      488        2        0      486
34234 libgrits4                          	       0        1        1        0        0
34235 libgrits5                          	       0        2        0        0        2
34236 libgrok-dev                        	       0        1        1        0        0
34237 libgrok1t64                        	       0        1        0        0        1
34238 libgrokj2k1                        	       0        2        0        0        2
34239 libgrokj2k1-dev                    	       0        1        1        0        0
34240 libgromacs5                        	       0        1        0        0        1
34241 libgroove4                         	       0        2        0        0        2
34242 libgroovefingerprinter4            	       0        2        0        0        2
34243 libgrooveloudness4                 	       0        2        0        0        2
34244 libgrooveplayer4                   	       0        2        0        0        2
34245 libgroup-service1                  	       0        8        0        0        8
34246 libgroup-service1t64               	       0        6        0        0        6
34247 libgroupsock30                     	       0       56        0        0       56
34248 libgroupsock4                      	       0        1        0        0        1
34249 libgroupsock8                      	       0      178        0        0      178
34250 libgrpc++-dev                      	       0        5        5        0        0
34251 libgrpc++1                         	       0        7        0        0        7
34252 libgrpc++1.51                      	       0       73        0        0       73
34253 libgrpc++1.51t64                   	       0       13        0        0       13
34254 libgrpc-dev                        	       0        6        5        1        0
34255 libgrpc-java                       	       0        4        0        0        4
34256 libgrpc10                          	       0        3        0        0        3
34257 libgrpc29                          	       0       90        0        0       90
34258 libgrpc29t64                       	       0       13        0        0       13
34259 libgrpc6                           	       0        4        0        0        4
34260 libgs-common                       	       0     2759        0        0     2759
34261 libgs-dev                          	       0        7        6        1        0
34262 libgs10-common                     	       0     2752        0        0     2752
34263 libgs9                             	       0      818        2        0      816
34264 libgs9-common                      	       0      914        0        0      914
34265 libgs9-dbgsym                      	       0        1        1        0        0
34266 libgsasl-dev                       	       0        6        6        0        0
34267 libgsasl18-dbgsym                  	       0        1        1        0        0
34268 libgsasl7-dev                      	       0        1        0        0        1
34269 libgsecuredelete0                  	       0        3        0        0        3
34270 libgsf-gnome-1-114                 	       0        2        0        0        2
34271 libgsl-dbg                         	       0        1        1        0        0
34272 libgsl0ldbl                        	       0        4        0        0        4
34273 libgsl2                            	       0       25        0        0       25
34274 libgsl23                           	       0       38        0        0       38
34275 libgsl25                           	       0      188        0        0      188
34276 libgsl28                           	       0       79        0        0       79
34277 libgsm-tools                       	       0        1        1        0        0
34278 libgsmme1c2a                       	       0        1        0        0        1
34279 libgsmme1v5                        	       0        2        0        0        2
34280 libgsmsd8                          	       0        4        0        0        4
34281 libgsmsd8t64                       	       0        1        0        0        1
34282 libgsoap-2.8.104                   	       0        9        0        0        9
34283 libgsoap-2.8.117                   	       0        2        0        0        2
34284 libgsoap-2.8.124                   	       0       17        0        0       17
34285 libgsoap-2.8.135                   	       0        8        0        0        8
34286 libgsoap-2.8.75                    	       0        4        0        0        4
34287 libgsoap-2.8.91                    	       0        1        0        0        1
34288 libgsoap-dev                       	       0        2        2        0        0
34289 libgsoap10                         	       0        5        0        0        5
34290 libgsoap2                          	       0        1        0        0        1
34291 libgsoap5                          	       0        8        0        0        8
34292 libgsoap7                          	       0        1        0        0        1
34293 libgsoap9                          	       0        1        0        0        1
34294 libgsound-dev                      	       0        2        2        0        0
34295 libgspell-1-1                      	       0       80        1        0       79
34296 libgspell-1-dev                    	       0        8        7        1        0
34297 libgspell-1-doc                    	       0        1        0        0        1
34298 libgss-dev                         	       0        3        3        0        0
34299 libgss3                            	       0        3        0        0        3
34300 libgssapi-perl                     	       0       61        0        0       61
34301 libgssapi2-heimdal                 	       0        1        0        0        1
34302 libgssapi3t64-heimdal              	       0        2        0        0        2
34303 libgssdp-1.0-2                     	       0        1        0        0        1
34304 libgssdp-1.0-dev                   	       0        1        1        0        0
34305 libgssdp-1.6-dev                   	       0        4        3        1        0
34306 libgssglue-dev                     	       0       10       10        0        0
34307 libgssrpc4t64                      	       0       48        0        0       48
34308 libgst7                            	       0        5        0        0        5
34309 libgstreamer-ocaml                 	       0        2        2        0        0
34310 libgstreamer-ocaml-dev             	       0        1        1        0        0
34311 libgstreamer-opencv1.0-0           	       0       16        0        0       16
34312 libgstreamer-plugins-bad1.0-dev    	       0       11       11        0        0
34313 libgstreamer-vaapi1.0-0            	       0        1        0        0        1
34314 libgstreamer0.10-dev               	       0        1        1        0        0
34315 libgstreamer0.8-0                  	       0        1        1        0        0
34316 libgstreamerd-3-0                  	       0        7        0        0        7
34317 libgstreamerd-3-dev                	       0        5        0        0        5
34318 libgstreamermm-1.0-1               	       0       30        0        0       30
34319 libgstreamermm-1.0-dev             	       0        2        2        0        0
34320 libgstrtspserver-1.0-0             	       0       15        0        0       15
34321 libgstrtspserver-1.0-dev           	       0        2        2        0        0
34322 libgtest-dev                       	       0       34       33        1        0
34323 libgtextutils0v5                   	       0        1        0        0        1
34324 libgtg0                            	       0        1        0        0        1
34325 libgtk-3-0-dbgsym                  	       0        1        1        0        0
34326 libgtk-3-doc                       	       0       66        0        0       66
34327 libgtk-4-dev                       	       0       47       45        2        0
34328 libgtk-4-doc                       	       0        3        0        0        3
34329 libgtk-dotnet3.0-cil               	       0        1        0        0        1
34330 libgtk-layer-shell-dev             	       0        3        3        0        0
34331 libgtk-sharp-beans-cil             	       0        8        0        0        8
34332 libgtk-vnc-1.0-0                   	       0        2        0        0        2
34333 libgtk1.2                          	       0        5        0        0        5
34334 libgtk1.2-common                   	       0        6        0        0        6
34335 libgtk1.2-dev                      	       0        1        1        0        0
34336 libgtk2-appindicator-perl          	       0        1        0        0        1
34337 libgtk2-ex-podviewer-perl          	       0        2        2        0        0
34338 libgtk2-ex-simple-list-perl        	       0        2        2        0        0
34339 libgtk2-gladexml-perl              	       0        2        0        0        2
34340 libgtk2-gst                        	       0        3        3        0        0
34341 libgtk2-imageview-perl             	       0        3        0        0        3
34342 libgtk2-perl                       	       0      176        0        0      176
34343 libgtk2-perl-doc                   	       0        2        0        0        2
34344 libgtk2-ruby                       	       0        3        0        0        3
34345 libgtk2-ruby1.8                    	       0        2        1        0        1
34346 libgtk2-spell-perl                 	       0        1        0        0        1
34347 libgtk2-trayicon-perl              	       0        1        0        0        1
34348 libgtk2-unique-perl                	       0        1        0        0        1
34349 libgtk2.0-bin                      	       0     3252       49        1     3202
34350 libgtk2.0-cil                      	       0       96        1        0       95
34351 libgtk2.0-cil-dev                  	       0       23       23        0        0
34352 libgtk2.0-doc                      	       0       22        0        0       22
34353 libgtk3-webkit2-perl               	       0        4        4        0        0
34354 libgtk3.0-cil                      	       0       57        0        0       57
34355 libgtkada-bin                      	       0        1        1        0        0
34356 libgtkada-doc                      	       0        1        0        0        1
34357 libgtkada18                        	       0        1        0        0        1
34358 libgtkada22                        	       0        1        0        0        1
34359 libgtkada3.8.3                     	       0        1        0        0        1
34360 libgtkd-3-dev                      	       0        5        0        0        5
34361 libgtkdatabox-0.9.3-0              	       0        3        0        0        3
34362 libgtkdatabox0                     	       0        8        0        0        8
34363 libgtkdatabox1                     	       0       13        0        0       13
34364 libgtkdatabox1t64                  	       0        4        0        0        4
34365 libgtkdsv-3-0                      	       0        1        0        0        1
34366 libgtkdsv-3-dev                    	       0        1        0        0        1
34367 libgtkextra-3.0                    	       0       15        0        0       15
34368 libgtkextra-dev                    	       0        1        1        0        0
34369 libgtkgl2.0-1                      	       0        6        0        0        6
34370 libgtkgl2.0-dev                    	       0        3        2        1        0
34371 libgtkglext1-dbgsym                	       0        1        1        0        0
34372 libgtkglext1-dev                   	       0        5        5        0        0
34373 libgtkglext1-doc                   	       0        1        0        0        1
34374 libgtkglextmm-x11-1.2-0v5          	       0        3        0        0        3
34375 libgtkglextmm-x11-1.2-dev          	       0        1        1        0        0
34376 libgtkhex-3-0                      	       0       21        0        0       21
34377 libgtkhex-4-1                      	       0       37        0        0       37
34378 libgtkhex-4-dev                    	       0        1        1        0        0
34379 libgtkhtml-4.0-0                   	       0        7        0        0        7
34380 libgtkhtml-4.0-common              	       0       10        0        0       10
34381 libgtkhtml-editor-3.14-common      	       0        1        0        0        1
34382 libgtkhtml-editor-4.0-0            	       0        7        0        0        7
34383 libgtkhtml-editor-common           	       0        2        0        0        2
34384 libgtkhtml2-0                      	       0        1        0        0        1
34385 libgtkhtml3.14-19                  	       0        3        0        0        3
34386 libgtkimageview-dev                	       0        1        1        0        0
34387 libgtkimageview0                   	       0       37        0        0       37
34388 libgtkimreg0                       	       0        1        0        0        1
34389 libgtkmathview0c2a                 	       0        9        0        0        9
34390 libgtkmm-2.4-1t64                  	       0       17        0        0       17
34391 libgtkmm-2.4-dev                   	       0       19       19        0        0
34392 libgtkmm-2.4-doc                   	       0        5        0        0        5
34393 libgtkmm-3.0-dev                   	       0       35       35        0        0
34394 libgtkmm-3.0-doc                   	       0        9        9        0        0
34395 libgtkmm-4.0-dev                   	       0        7        7        0        0
34396 libgtkmm-4.0-doc                   	       0        2        2        0        0
34397 libgtkmm1.2-0c2a                   	       0        1        0        0        1
34398 libgtkpod-dev                      	       0        1        1        0        0
34399 libgtkpod1                         	       0       11        0        0       11
34400 libgtksourceview-3.0-dev           	       0        9        9        0        0
34401 libgtksourceview-3.0-doc           	       0        2        0        0        2
34402 libgtksourceview-4-dev             	       0        6        6        0        0
34403 libgtksourceview-4-doc             	       0        2        0        0        2
34404 libgtksourceview-5-doc             	       0        1        0        0        1
34405 libgtksourceview-common            	       0        2        0        0        2
34406 libgtksourceview-doc               	       0        1        0        0        1
34407 libgtksourceview1.0-0              	       0        1        0        0        1
34408 libgtksourceview2.0-0              	       0       62        0        0       62
34409 libgtksourceview2.0-common         	       0       66        0        0       66
34410 libgtksourceview2.0-dev            	       0        2        2        0        0
34411 libgtksourceview2.0-doc            	       0        1        0        0        1
34412 libgtksourceview2.0-markdown       	       0        1        0        0        1
34413 libgtksourceviewmm-3.0-0v5         	       0       70        0        0       70
34414 libgtksourceviewmm-3.0-dev         	       0        3        3        0        0
34415 libgtksourceviewmm-3.0-doc         	       0        1        0        0        1
34416 libgtkspell-dev                    	       0        5        5        0        0
34417 libgtkspell3-3-dev                 	       0        5        5        0        0
34418 libgtkspellmm-3.0-0v5              	       0       43        0        0       43
34419 libgtkspellmm-3.0-dev              	       0        2        2        0        0
34420 libgtkspellmm-3.0-doc              	       0        1        0        0        1
34421 libgtop-2.0-11-dbgsym              	       0        1        1        0        0
34422 libgtop2-7                         	       0       36        0        0       36
34423 libgtop2-build-deps                	       0        1        0        0        1
34424 libgtop2-common                    	       0     2399        0        0     2399
34425 libgtop2-dev                       	       0        8        8        0        0
34426 libgtop2-doc                       	       0        3        0        0        3
34427 libgts-0.7-5                       	       0     1627        0        0     1627
34428 libgts-0.7-5t64                    	       0      117        0        0      117
34429 libgts-dev                         	       0       13       13        0        0
34430 libguac11                          	       0        1        0        0        1
34431 libguard-perl                      	       0      168        1        0      167
34432 libguava-java                      	       0      498        0        0      498
34433 libgucharmap-2-90-dev              	       0        2        2        0        0
34434 libgucharmap7                      	       0        2        0        0        2
34435 libgudev-1.0-dev                   	       0      106      105        1        0
34436 libgudev1.0-cil                    	       0        7        0        0        7
34437 libguess-dev                       	       0        1        1        0        0
34438 libguess1                          	       0       21        0        0       21
34439 libguestfs-hfsplus                 	       0       86        0        0       86
34440 libguestfs-perl                    	       0       95        0        0       95
34441 libguestfs-reiserfs                	       0       85        0        0       85
34442 libguestfs-rescue                  	       0        2        0        0        2
34443 libguestfs-xfs                     	       0       85        0        0       85
34444 libguestfs0                        	       0      102        0        0      102
34445 libguestfs0t64                     	       0        4        0        0        4
34446 libguice-java                      	       0      462        0        0      462
34447 libguichan-0.8.1-1                 	       0        1        0        0        1
34448 libguichan-0.8.1-1v5               	       0       14        0        0       14
34449 libguichan-allegro-0.8.1-1         	       0        1        0        0        1
34450 libguichan-allegro-0.8.1-1v5       	       0        6        0        0        6
34451 libguichan-dev                     	       0        3        0        0        3
34452 libguichan-opengl-0.8.1-1          	       0        1        0        0        1
34453 libguichan-opengl-0.8.1-1v5        	       0        7        0        0        7
34454 libguichan-sdl-0.8.1-1             	       0        1        0        0        1
34455 libguichan-sdl-0.8.1-1v5           	       0       11        0        0       11
34456 libguile-ltdl-1                    	       0        5        0        0        5
34457 libguile-ssh13                     	       0        1        0        0        1
34458 libguile-ssh14                     	       0       12        0        0       12
34459 libgulkan-0.14-0                   	       0        1        0        0        1
34460 libgulkan-0.15-0                   	       0        5        0        0        5
34461 libgulkan-0.15-0t64                	       0        2        0        0        2
34462 libgulkan-dev                      	       0        2        1        1        0
34463 libgulkan-doc                      	       0        1        0        0        1
34464 libgulkan-utils                    	       0        5        4        1        0
34465 libgumbo-dev                       	       0       12       11        1        0
34466 libgumbo2                          	       0       50        1        0       49
34467 libgumbo3                          	       0       17        0        0       17
34468 libgupnp-1.0-3                     	       0        1        0        0        1
34469 libgupnp-1.0-dev                   	       0        1        1        0        0
34470 libgupnp-1.2-1                     	       0       18        0        0       18
34471 libgupnp-1.6-dev                   	       0        4        3        1        0
34472 libgupnp-av-1.0-2                  	       0      119        0        0      119
34473 libgupnp-av-1.0-dev                	       0        1        1        0        0
34474 libgupnp-av-doc                    	       0        1        0        0        1
34475 libgupnp-dlna-1.0-2                	       0        3        0        0        3
34476 libgupnp-dlna-2.0-3                	       0      117        0        0      117
34477 libgupnp-doc                       	       0        1        0        0        1
34478 libgupnp-igd-1.0-3                 	       0        1        0        0        1
34479 libgupnp-igd-1.0-dev               	       0        2        2        0        0
34480 libgupnp-igd-1.6-0                 	       0      227        0        0      227
34481 libgupnp-igd-1.6-dev               	       0        2        2        0        0
34482 libgupnp-igd-doc                   	       0        1        0        0        1
34483 libgusb-dev                        	       0        2        2        0        0
34484 libgusb-doc                        	       0        1        0        0        1
34485 libgutenprint-common               	       0      623        0        0      623
34486 libgutenprint-dev                  	       0        5        5        0        0
34487 libgutenprint-doc                  	       0        6        0        0        6
34488 libgutenprint2                     	       0      108        0        0      108
34489 libgutenprintui2-1                 	       0        6        0        0        6
34490 libgutenprintui2-2                 	       0       97        0        0       97
34491 libgutenprintui2-dev               	       0        5        5        0        0
34492 libguvcview-2.0-2                  	       0       18        0        0       18
34493 libguvcview-2.2                    	       0       11        0        0       11
34494 libguvcview-dev                    	       0        1        1        0        0
34495 libguytools2                       	       0        9        0        0        9
34496 libguytools2t64                    	       0        1        0        0        1
34497 libgv-guile                        	       0        1        0        0        1
34498 libgv-lua                          	       0        1        0        0        1
34499 libgv-perl                         	       0        1        0        0        1
34500 libgv-ruby                         	       0        1        0        0        1
34501 libgv-tcl                          	       0        4        0        0        4
34502 libgvc5                            	       0        2        2        0        0
34503 libgvc6-plugins-gtk                	       0      107        2        0      105
34504 libgvm22                           	       0        1        0        0        1
34505 libgvpr1                           	       0        3        0        0        3
34506 libgvpr2                           	       0     1740        0        0     1740
34507 libgweather-3-0                    	       0        4        0        0        4
34508 libgweather-3-15                   	       0       22        1        0       21
34509 libgweather-3-6                    	       0       22        0        0       22
34510 libgweather-3-dev                  	       0        1        1        0        0
34511 libgweather-common                 	       0      145        3        0      142
34512 libgwengui-cpp0                    	       0        3        0        0        3
34513 libgwengui-gtk2-0                  	       0        6        0        0        6
34514 libgwengui-gtk3-79t64              	       0        7        0        0        7
34515 libgwengui-gtk3-dev                	       0        4        4        0        0
34516 libgwengui-qt4-0                   	       0        2        0        0        2
34517 libgwengui-qt5-79                  	       0        7        0        0        7
34518 libgwengui-qt5-79t64               	       0        1        0        0        1
34519 libgwenhywfar-core-dev             	       0        5        5        0        0
34520 libgwenhywfar60                    	       0       14        3        0       11
34521 libgwenhywfar78                    	       0        1        0        0        1
34522 libgwenhywfar79t64                 	       0        8        0        0        8
34523 libgwrap-runtime2                  	       0        6        0        0        6
34524 libgwyddion2-0                     	       0        5        1        0        4
34525 libgwyddion2-0t64                  	       0        1        0        0        1
34526 libgxps-dev                        	       0        1        1        0        0
34527 libgxps-doc                        	       0        2        0        0        2
34528 libgxps-utils                      	       0        3        3        0        0
34529 libgxps2                           	       0     2386        1        0     2385
34530 libgxps2t64                        	       0      142        1        0      141
34531 libgxr-0.15-0                      	       0        1        0        0        1
34532 libgxr-0.15-0t64                   	       0        1        0        0        1
34533 libgxr-dev                         	       0        1        1        0        0
34534 libgxw-dev                         	       0        1        1        0        0
34535 libgxw0                            	       0       24        0        0       24
34536 libgxwmm0                          	       0       23        0        0       23
34537 libgyoto8                          	       0        2        0        0        2
34538 libgzstream-dev                    	       0        1        1        0        0
34539 libgzstream0                       	       0        7        0        0        7
34540 libh2-java                         	       0        4        0        0        4
34541 libh2o0.13                         	       0        1        0        0        1
34542 libhackrf-dev                      	       0        6        6        0        0
34543 libhal-dev                         	       0        1        1        0        0
34544 libhal-storage-dev                 	       0        1        1        0        0
34545 libhal-storage1                    	       0        9        0        0        9
34546 libhal1-flash                      	       0        1        0        0        1
34547 libhamcrest-java                   	       0      203        0        0      203
34548 libhamcrest-java-doc               	       0        3        0        0        3
34549 libhamlib++-dev                    	       0        5        5        0        0
34550 libhamlib++4                       	       0        4        0        0        4
34551 libhamlib++4t64                    	       0        1        0        0        1
34552 libhamlib-dev                      	       0       13       13        0        0
34553 libhamlib-doc                      	       0        2        0        0        2
34554 libhamlib2                         	       0        4        4        0        0
34555 libhandy-0.0-0                     	       0       41        0        0       41
34556 libhandy-1-dev                     	       0        1        1        0        0
34557 libhangul-data                     	       0        9        0        0        9
34558 libhangul1                         	       0        9        3        0        6
34559 libharfbuzz-bin                    	       0        6        6        0        0
34560 libharfbuzz-cairo0                 	       0       52        0        0       52
34561 libharfbuzz-doc                    	       0        5        0        0        5
34562 libharfbuzz-gobject0               	       0      722        0        0      722
34563 libharfbuzz-gobject0-dbgsym        	       0        1        1        0        0
34564 libharfbuzz-icu0-dbgsym            	       0        1        1        0        0
34565 libharfbuzz0b-dbgsym               	       0        1        1        0        0
34566 libharminv3                        	       0        7        0        0        7
34567 libhash-case-perl                  	       0        1        1        0        0
34568 libhash-defhash-perl               	       0        1        1        0        0
34569 libhash-diff-perl                  	       0        2        2        0        0
34570 libhash-fieldhash-perl             	       0       42        0        0       42
34571 libhash-flatten-perl               	       0        1        1        0        0
34572 libhash-moreutils-perl             	       0        6        6        0        0
34573 libhash-withdefaults-perl          	       0        1        1        0        0
34574 libhashkit-dev                     	       0        7        7        0        0
34575 libhat-trie0                       	       0        1        0        0        1
34576 libhavege1                         	       0       42        0        0       42
34577 libhawtbuf-java                    	       0        1        0        0        1
34578 libhawtdispatch-java               	       0        1        0        0        1
34579 libhawtjni-generator-java          	       0        1        0        0        1
34580 libhawtjni-maven-plugin-java       	       0        1        0        0        1
34581 libhawtjni-runtime-java            	       0      365        0        0      365
34582 libhbci4j-core-java                	       0        4        0        0        4
34583 libhcrypto4-heimdal                	       0       46        0        0       46
34584 libhcrypto5t64-heimdal             	       0        2        0        0        2
34585 libhd-dev                          	       0        3        3        0        0
34586 libhd-doc                          	       0        3        0        0        3
34587 libhd14                            	       0        1        0        0        1
34588 libhd16                            	       0        3        0        0        3
34589 libhd21                            	       0      293        0        0      293
34590 libhd21t64                         	       0       48        0        0       48
34591 libhdate1                          	       0        6        0        0        6
34592 libhdb9-heimdal                    	       0       59        1        0       58
34593 libhdb9t64-heimdal                 	       0        1        0        0        1
34594 libhdf4-0                          	       0       37        0        0       37
34595 libhdf4-alt-dev                    	       0       49       49        0        0
34596 libhdf4-dev                        	       0        2        2        0        0
34597 libhdf4-doc                        	       0        8        0        0        8
34598 libhdf4g                           	       0        1        0        0        1
34599 libhdf4g-doc                       	       0        1        0        0        1
34600 libhdf5-10                         	       0        1        0        0        1
34601 libhdf5-100                        	       0       16        0        0       16
34602 libhdf5-103                        	       0       64        0        0       64
34603 libhdf5-103-1t64                   	       0       38        0        0       38
34604 libhdf5-310                        	       0       44        0        0       44
34605 libhdf5-7                          	       0        4        0        0        4
34606 libhdf5-8                          	       0        8        0        0        8
34607 libhdf5-cpp-100                    	       0        2        0        0        2
34608 libhdf5-cpp-103                    	       0       11        0        0       11
34609 libhdf5-cpp-103-1                  	       0       86        0        0       86
34610 libhdf5-cpp-103-1t64               	       0        2        0        0        2
34611 libhdf5-cpp-310                    	       0        5        0        0        5
34612 libhdf5-cpp-8                      	       0        1        0        0        1
34613 libhdf5-doc                        	       0        8        0        0        8
34614 libhdf5-fortran-102                	       0       95        0        0       95
34615 libhdf5-fortran-102t64             	       0        2        0        0        2
34616 libhdf5-fortran-310                	       0        5        0        0        5
34617 libhdf5-hl-100t64                  	       0       32        0        0       32
34618 libhdf5-hl-310                     	       0       42        0        0       42
34619 libhdf5-hl-cpp-100                 	       0       71        0        0       71
34620 libhdf5-hl-cpp-100t64              	       0        2        0        0        2
34621 libhdf5-hl-cpp-310                 	       0        5        0        0        5
34622 libhdf5-hl-fortran-100             	       0       94        0        0       94
34623 libhdf5-hl-fortran-100t64          	       0        2        0        0        2
34624 libhdf5-hl-fortran-310             	       0        5        0        0        5
34625 libhdf5-mpi-dev                    	       0       16        0        0       16
34626 libhdf5-mpich-103-1                	       0        3        0        0        3
34627 libhdf5-mpich-hl-100               	       0        3        0        0        3
34628 libhdf5-openmpi-100                	       0        3        0        0        3
34629 libhdf5-openmpi-103                	       0       27        0        0       27
34630 libhdf5-openmpi-103-1              	       0      168        1        0      167
34631 libhdf5-openmpi-103-1t64           	       0        9        0        0        9
34632 libhdf5-openmpi-310                	       0       11        0        0       11
34633 libhdf5-openmpi-cpp-103-1          	       0       15        0        0       15
34634 libhdf5-openmpi-cpp-310            	       0        1        0        0        1
34635 libhdf5-openmpi-dev                	       0       17       17        0        0
34636 libhdf5-openmpi-fortran-102        	       0       28        0        0       28
34637 libhdf5-openmpi-fortran-310        	       0        1        0        0        1
34638 libhdf5-openmpi-hl-100             	       0       29        0        0       29
34639 libhdf5-openmpi-hl-310             	       0        1        0        0        1
34640 libhdf5-openmpi-hl-cpp-100         	       0       15        0        0       15
34641 libhdf5-openmpi-hl-cpp-310         	       0        1        0        0        1
34642 libhdf5-openmpi-hl-fortran-100     	       0       28        0        0       28
34643 libhdf5-openmpi-hl-fortran-310     	       0        1        0        0        1
34644 libhdf5-serial-1.6.5-0             	       0        1        0        0        1
34645 libhdf5-serial-1.6.6-0             	       0        1        0        0        1
34646 libhdf5-serial-dev                 	       0        1        0        0        1
34647 libhdfeos-dev                      	       0        1        1        0        0
34648 libhdfeos0                         	       0        1        0        0        1
34649 libhdfeos5-ruby1.9.1               	       0        2        0        0        2
34650 libhdhomerun-dev                   	       0        1        1        0        0
34651 libhdhomerun1                      	       0        5        0        0        5
34652 libhe5-hdfeos-dev                  	       0        2        2        0        0
34653 libhe5-hdfeos0                     	       0        4        0        0        4
34654 libheadius-options-java            	       0        4        0        0        4
34655 libheadius-options-java-doc        	       0        1        0        0        1
34656 libhealpix-cxx-dev                 	       0        2        2        0        0
34657 libhealpix-cxx0                    	       0        1        0        0        1
34658 libhealpix-cxx2                    	       0        5        0        0        5
34659 libhealpix-cxx3                    	       0        2        0        0        2
34660 libhealpix-dev                     	       0        2        0        0        2
34661 libhealpix-java                    	       0        1        0        0        1
34662 libhealpix0                        	       0        2        0        0        2
34663 libheap-perl                       	       0       36       36        0        0
34664 libheaptrack                       	       0       11        9        2        0
34665 libheartbeat2                      	       0        1        0        0        1
34666 libheartbeat2-dev                  	       0        1        1        0        0
34667 libheif-examples                   	       0       18       18        0        0
34668 libheif-plugin-aomdec              	       0       38        1        0       37
34669 libheif-plugin-aomenc              	       0      265        1        0      264
34670 libheif-plugin-dav1d               	       0      295        1        0      294
34671 libheif-plugin-ffmpegdec           	       0        1        0        0        1
34672 libheif-plugin-j2kdec              	       0       33        0        0       33
34673 libheif-plugin-j2kenc              	       0        1        0        0        1
34674 libheif-plugin-jpegdec             	       0        1        0        0        1
34675 libheif-plugin-jpegenc             	       0        1        0        0        1
34676 libheif-plugin-kvazaar             	       0        1        0        0        1
34677 libheif-plugin-libde265            	       0      297        1        0      296
34678 libheif-plugin-rav1e               	       0        1        0        0        1
34679 libheif-plugin-svtenc              	       0        1        0        0        1
34680 libheif-plugin-x265                	       0      268        2        0      266
34681 libheif-plugins-all                	       0        1        0        0        1
34682 libheimbase1t64-heimdal            	       0        2        0        0        2
34683 libheimntlm0t64-heimdal            	       0        2        0        0        2
34684 libhersheyfont0                    	       0        3        0        0        3
34685 libhesiod0                         	       0        5        0        0        5
34686 libhessian-java                    	       0        1        0        0        1
34687 libhessian-java-doc                	       0        1        0        0        1
34688 libhfsp-dev                        	       0        1        1        0        0
34689 libhfsp0                           	       0      120        0        0      120
34690 libhfsp0t64                        	       0        5        0        0        5
34691 libhfst55                          	       0        3        0        0        3
34692 libhfstospell10                    	       0       47        0        0       47
34693 libhibernate-commons-annotations-java	       0        1        0        0        1
34694 libhibernate-validator-java        	       0       14        0        0       14
34695 libhibernate-validator4-java       	       0       52        0        0       52
34696 libhibernate3-java                 	       0        1        0        0        1
34697 libhiccup-clojure                  	       0        1        0        0        1
34698 libhidapi-dev                      	       0       46       44        2        0
34699 libhidapi-hidraw0                  	       0      145        1        0      144
34700 libhidrd0                          	       0        1        0        0        1
34701 libhighgui-dev                     	       0        1        1        0        0
34702 libhighline-ruby1.9.1              	       0        1        0        0        1
34703 libhikaricp-java                   	       0        1        0        0        1
34704 libhime                            	       0        2        0        0        2
34705 libhippocanvas-1-0                 	       0        1        0        0        1
34706 libhiprand1                        	       0        1        0        0        1
34707 libhiprtc-builtins5                	       0        5        0        0        5
34708 libhiredis-dev                     	       0        9        9        0        0
34709 libhiredis0.10                     	       0        5        0        0        5
34710 libhiredis0.13                     	       0        5        0        0        5
34711 libhivex-bin                       	       0        2        2        0        0
34712 libhivex-dev                       	       0        1        1        0        0
34713 libhivex-ocaml                     	       0        1        1        0        0
34714 libhivex-ocaml-dev                 	       0        1        1        0        0
34715 libhivex0                          	       0      114        0        0      114
34716 libhmat-oss-dev                    	       0        1        1        0        0
34717 libhmat-oss1                       	       0        1        0        0        1
34718 libhmat-oss3                       	       0        3        0        0        3
34719 libhmmer2-dev                      	       0        1        1        0        0
34720 libhmsbeagle-java                  	       0        1        0        0        1
34721 libhmsbeagle1v5                    	       0        5        0        0        5
34722 libhocr-dev                        	       0        2        2        0        0
34723 libhocr0                           	       0        2        2        0        0
34724 libhoel1.4                         	       0        1        0        0        1
34725 libhogweed5                        	       0        1        1        0        0
34726 libhomfly-dev                      	       0        8        8        0        0
34727 libhomfly0                         	       0        9        0        0        9
34728 libhook-lexwrap-perl               	       0        2        2        0        0
34729 libhostfile-manager-perl           	       0        1        1        0        0
34730 libhowardhinnant-date-dev          	       0        2        2        0        0
34731 libhpdf-2.2.1                      	       0        1        0        0        1
34732 libhpdf-2.3.0                      	       0       25        0        0       25
34733 libhpdf-dev                        	       0        7        7        0        0
34734 libhpmud-dev                       	       0        1        1        0        0
34735 libhpricot-ruby                    	       0        1        0        0        1
34736 libhsa-runtime-dev                 	       0        5        5        0        0
34737 libhsa-runtime64-1                 	       0       54        0        0       54
34738 libhsakmt1                         	       0       54        0        0       54
34739 libhsm-bin                         	       0        4        4        0        0
34740 libhsqldb-java                     	       0       24        0        0       24
34741 libhsqldb-java-doc                 	       0        1        0        0        1
34742 libhsqldb-java-gcj                 	       0        2        2        0        0
34743 libhsqldb1.8.0-java                	       0      782        0        0      782
34744 libhtml-autopagerize-perl          	       0        1        1        0        0
34745 libhtml-clean-perl                 	       0        4        4        0        0
34746 libhtml-display-perl               	       0        1        1        0        0
34747 libhtml-encoding-perl              	       0        3        3        0        0
34748 libhtml-entities-numbered-perl     	       0        1        1        0        0
34749 libhtml-fillinform-perl            	       0        1        1        0        0
34750 libhtml-formattext-withlinks-andtables-perl	       0        3        3        0        0
34751 libhtml-formattext-withlinks-perl  	       0        4        4        0        0
34752 libhtml-formfu-perl                	       0        1        1        0        0
34753 libhtml-fromansi-tiny-perl         	       0        1        1        0        0
34754 libhtml-html5-parser-perl          	       0        4        4        0        0
34755 libhtml-html5-sanity-perl          	       0        4        4        0        0
34756 libhtml-html5-writer-perl          	       0        1        1        0        0
34757 libhtml-linkextractor-perl         	       0        1        1        0        0
34758 libhtml-mason-perl                 	       0        2        2        0        0
34759 libhtml-mason-psgihandler-perl     	       0        1        1        0        0
34760 libhtml-prettyprinter-perl         	       0        1        1        0        0
34761 libhtml-quoted-perl                	       0        1        1        0        0
34762 libhtml-restrict-perl              	       0        2        2        0        0
34763 libhtml-rewriteattributes-perl     	       0        1        1        0        0
34764 libhtml-scrubber-perl              	       0       11       11        0        0
34765 libhtml-stream-perl                	       0        1        1        0        0
34766 libhtml-strip-perl                 	       0        2        0        0        2
34767 libhtml-stripscripts-parser-perl   	       0        2        2        0        0
34768 libhtml-stripscripts-perl          	       0        2        2        0        0
34769 libhtml-template-pluggable-perl    	       0        1        1        0        0
34770 libhtml-tidy5-perl                 	       0        1        1        0        0
34771 libhtml-tiny-perl                  	       0        3        3        0        0
34772 libhtml-toc-perl                   	       0        1        1        0        0
34773 libhtml-truncate-perl              	       0        1        1        0        0
34774 libhtml-wikiconverter-dokuwiki-perl	       0        1        1        0        0
34775 libhtml-wikiconverter-markdown-perl	       0        2        2        0        0
34776 libhtml-wikiconverter-perl         	       0        3        3        0        0
34777 libhtml-wikiconverter-wikkawiki-perl	       0        2        2        0        0
34778 libhtml5parser-java                	       0        4        0        0        4
34779 libhtmlcleaner-java                	       0        9        0        0        9
34780 libhtmlcxx-dev                     	       0        4        4        0        0
34781 libhtmlcxx3v5                      	       0        8        0        0        8
34782 libhtmlentities-ruby               	       0        1        0        0        1
34783 libhtmlentities-ruby1.9.1          	       0        1        0        0        1
34784 libhtmlparser-java                 	       0        7        0        0        7
34785 libhtp2                            	       0        6        1        0        5
34786 libhtree-ruby1.9.1                 	       0        2        0        0        2
34787 libhts3                            	       0        7        0        0        7
34788 libhts3t64                         	       0        1        0        0        1
34789 libhtscodecs2                      	       0        9        0        0        9
34790 libhtsengine1                      	       0       25        0        0       25
34791 libhtsjdk-java                     	       0        7        0        0        7
34792 libhttp-daemon-ssl-perl            	       0        2        2        0        0
34793 libhttp-exception-perl             	       0        2        2        0        0
34794 libhttp-link-parser-perl           	       0        3        3        0        0
34795 libhttp-lite-perl                  	       0       15       15        0        0
34796 libhttp-lrdd-perl                  	       0        1        1        0        0
34797 libhttp-oai-3.27-perl              	       0        2        2        0        0
34798 libhttp-parser-xs-perl             	       0        6        2        0        4
34799 libhttp-parser2.1                  	       0       25        0        0       25
34800 libhttp-proxy-perl                 	       0        1        1        0        0
34801 libhttp-recorder-perl              	       0        1        1        0        0
34802 libhttp-request-params-perl        	       0        4        4        0        0
34803 libhttp-response-encoding-perl     	       0        2        2        0        0
34804 libhttp-server-simple-authen-perl  	       0        1        1        0        0
34805 libhttp-server-simple-psgi-perl    	       0        1        1        0        0
34806 libhttp-tinyish-perl               	       0        3        3        0        0
34807 libhttpasyncclient-java            	       0       25        0        0       25
34808 libhttpclient-java                 	       0      473        0        0      473
34809 libhttpclient-ruby1.9.1            	       0        1        0        0        1
34810 libhttpclient5-java                	       0        2        0        0        2
34811 libhttpcore-java                   	       0      474        0        0      474
34812 libhttpcore5-java                  	       0        2        0        0        2
34813 libhttpmime-java                   	       0       29        0        0       29
34814 libhttrack-dev                     	       0        1        1        0        0
34815 libhttrack2                        	       0       66        0        0       66
34816 libhugetlbfs-bin                   	       0        4        4        0        0
34817 libhugetlbfs0                      	       0        2        0        0        2
34818 libhugs-alut-bundled               	       0        9        9        0        0
34819 libhugs-base-bundled               	       0       11       11        0        0
34820 libhugs-cabal-bundled              	       0        9        9        0        0
34821 libhugs-fgl-bundled                	       0        9        9        0        0
34822 libhugs-glut-bundled               	       0        9        9        0        0
34823 libhugs-haskell-src-bundled        	       0        9        9        0        0
34824 libhugs-haskell98-bundled          	       0       11       11        0        0
34825 libhugs-haxml-bundled              	       0        9        9        0        0
34826 libhugs-hgl-bundled                	       0        9        9        0        0
34827 libhugs-hunit-bundled              	       0        9        9        0        0
34828 libhugs-mtl-bundled                	       0        9        9        0        0
34829 libhugs-network-bundled            	       0        9        9        0        0
34830 libhugs-openal-bundled             	       0        9        9        0        0
34831 libhugs-opengl-bundled             	       0        9        9        0        0
34832 libhugs-parsec-bundled             	       0        9        9        0        0
34833 libhugs-quickcheck-bundled         	       0        9        9        0        0
34834 libhugs-stm-bundled                	       0        9        9        0        0
34835 libhugs-time-bundled               	       0        9        9        0        0
34836 libhugs-unix-bundled               	       0        9        9        0        0
34837 libhugs-x11-bundled                	       0        9        9        0        0
34838 libhugs-xhtml-bundled              	       0        9        9        0        0
34839 libhunspell-1.2-0                  	       0        8        0        0        8
34840 libhunspell-1.3-0                  	       0       50        0        0       50
34841 libhunspell-1.4-0                  	       0       92        0        0       92
34842 libhunspell-1.6-0                  	       0        1        0        0        1
34843 libhunspell-private-dev            	       0        2        0        0        2
34844 libhwasan0                         	       0      246        0        0      246
34845 libhwasan0-arm64-cross             	       0       24        0        0       24
34846 libhwloc-contrib-plugins           	       0        1        0        0        1
34847 libhwloc-doc                       	       0        1        0        0        1
34848 libhwloc-plugins                   	       0      686        4        0      682
34849 libhwloc15                         	       0      685        6        0      679
34850 libhwloc5                          	       0       49        0        0       49
34851 libhwy-dev                         	       0       50       50        0        0
34852 libhx-dev                          	       0        1        1        0        0
34853 libhx-doc                          	       0        1        0        0        1
34854 libhx28                            	       0        5        0        0        5
34855 libhx509-5t64-heimdal              	       0        2        0        0        2
34856 libhyphen-dev                      	       0        6        6        0        0
34857 libhyprcursor0                     	       0        3        0        0        3
34858 libhypre                           	       0        2        0        0        2
34859 libhypre-2.22.1                    	       0        1        0        0        1
34860 libhypre-2.23.0                    	       0        2        0        0        2
34861 libhypre-2.26.0                    	       0       55        0        0       55
34862 libhypre-2.28.0                    	       0        2        0        0        2
34863 libhypre-2.29.0                    	       0        1        0        0        1
34864 libhypre-2.32.0                    	       0        1        0        0        1
34865 libhypre-dev                       	       0        4        4        0        0
34866 libhyprlang-dev                    	       0        1        0        0        1
34867 libhyprlang2                       	       0        3        0        0        3
34868 libhyprutils-dev                   	       0        1        0        0        1
34869 libhyprutils0                      	       0        3        0        0        3
34870 libhz0                             	       0        1        0        0        1
34871 libi18n-charset-perl               	       0        1        1        0        0
34872 libi18n-ruby1.9.1                  	       0        1        0        0        1
34873 libi2c-dev                         	       0       17       17        0        0
34874 libi2c0                            	       0      135        0        0      135
34875 libibatis-java                     	       0        1        0        0        1
34876 libibdm1                           	       0        3        0        0        3
34877 libibmad5                          	       0       45        0        0       45
34878 libibnetdisc5                      	       0        4        0        0        4
34879 libibtk-dev                        	       0        1        1        0        0
34880 libibtk0                           	       0        2        0        0        2
34881 libibumad-dev                      	       0        4        3        1        0
34882 libibus-qt1                        	       0        3        0        0        3
34883 libical-parser-perl                	       0        2        2        0        0
34884 libical0                           	       0       13        0        0       13
34885 libical2                           	       0       67        0        0       67
34886 libicapapi5                        	       0        6        1        0        5
34887 libicc-utils-dev                   	       0        1        1        0        0
34888 libicc-utils2                      	       0        1        0        0        1
34889 libicc2                            	       0        4        0        0        4
34890 libice-doc                         	       0        8        0        0        8
34891 libiceoryx-binding-c2              	       0        1        0        0        1
34892 libiceoryx-hoofs2                  	       0        1        0        0        1
34893 libiceoryx-platform2               	       0        1        0        0        1
34894 libiceoryx-posh2                   	       0        1        0        0        1
34895 libicinga2                         	       0        1        0        0        1
34896 libicns1                           	       0       29        1        0       28
34897 libicon-famfamfam-silk-perl        	       0        1        1        0        0
34898 libiconloader-java                 	       0       22        0        0       22
34899 libiconv-hook-dev                  	       0        2        2        0        0
34900 libiconv-hook1                     	       0        6        4        0        2
34901 libiconv-ruby                      	       0        1        0        0        1
34902 libicsharpcode-nrefactory-cecil5.0-cil	       0        2        2        0        0
34903 libicsharpcode-nrefactory-csharp5.0-cil	       0        2        2        0        0
34904 libicsharpcode-nrefactory5.0-cil   	       0        2        2        0        0
34905 libicu-le-hb-dev                   	       0        1        1        0        0
34906 libicu-le-hb0                      	       0        8        0        0        8
34907 libicu36                           	       0        1        0        0        1
34908 libicu38                           	       0        2        0        0        2
34909 libicu40                           	       0        1        0        0        1
34910 libicu44                           	       0        9        0        0        9
34911 libicu4j-4.2-java                  	       0        7        0        0        7
34912 libicu4j-4.4-java                  	       0       12        0        0       12
34913 libicu4j-49-java                   	       0        3        0        0        3
34914 libicu4j-java                      	       0      356        0        0      356
34915 libicu55                           	       0        2        0        0        2
34916 libicu57-dbg                       	       0        1        1        0        0
34917 libicu60                           	       0        9        1        0        8
34918 libicu64                           	       0        3        0        0        3
34919 libid3-3.8.3-dev                   	       0        4        4        0        0
34920 libid3-3.8.3c2a                    	       0        4        0        0        4
34921 libid3-3.8.3v5                     	       0      207        0        0      207
34922 libid3-doc                         	       0        1        0        0        1
34923 libid3-tools                       	       0       21       21        0        0
34924 libid3tag0-dev                     	       0       48       47        1        0
34925 libiddawc0.9                       	       0        1        0        0        1
34926 libident                           	       0       10       10        0        0
34927 libideviceactivation-1.0-2         	       0        1        0        0        1
34928 libidl-2-0                         	       0       44        0        0       44
34929 libidl-dev                         	       0       13       13        0        0
34930 libidl0                            	       0        2        0        0        2
34931 libidn-dev                         	       0       56       55        1        0
34932 libidn11-dev                       	       0       66       32        0       34
34933 libidn2-0-dev                      	       0        6        0        0        6
34934 libidn2-doc                        	       0        2        0        0        2
34935 libido-0.1-0                       	       0        1        0        0        1
34936 libido3-0.1-0                      	       0        1        0        0        1
34937 libido3-0.1-dev                    	       0        1        1        0        0
34938 libidw-java                        	       0       24        0        0       24
34939 libidzebra-2.0-0                   	       0        2        2        0        0
34940 libidzebra-2.0-dev                 	       0        2        2        0        0
34941 libidzebra-2.0-mod-alvis           	       0        2        2        0        0
34942 libidzebra-2.0-mod-dom             	       0        2        2        0        0
34943 libidzebra-2.0-mod-grs-marc        	       0        2        2        0        0
34944 libidzebra-2.0-mod-grs-regx        	       0        2        2        0        0
34945 libidzebra-2.0-mod-grs-xml         	       0        2        2        0        0
34946 libidzebra-2.0-mod-safari          	       0        2        2        0        0
34947 libidzebra-2.0-mod-text            	       0        2        2        0        0
34948 libidzebra-2.0-modules             	       0        2        0        0        2
34949 libiec16022-0                      	       0       35        0        0       35
34950 libiec16022-dev                    	       0        2        2        0        0
34951 libiec61883-dev                    	       0       13       12        1        0
34952 libieee1284-3-dev                  	       0        8        8        0        0
34953 libieee1284-3t64                   	       0      210        0        0      210
34954 libifcplusplus                     	       0        2        2        0        0
34955 libifeffit-perl                    	       0        1        1        0        0
34956 libifp4                            	       0        4        0        0        4
34957 libigc1                            	       0       12        0        0       12
34958 libigdfcl1                         	       0       13        0        0       13
34959 libigdgmm5                         	       0      188        0        0      188
34960 libigfxcmrt7                       	       0        1        0        0        1
34961 libignition-cmake-dev              	       0        1        0        0        1
34962 libignition-math-dev               	       0        1        0        0        1
34963 libignition-math4-dev              	       0        1        0        0        1
34964 libignition-math6-6                	       0        1        0        0        1
34965 libignition-msgs-dev               	       0        1        1        0        0
34966 libignition-msgs8-8                	       0        1        0        0        1
34967 libignition-transport-dev          	       0        1        1        0        0
34968 libignition-transport11-11         	       0        1        0        0        1
34969 libignition-transport4-dev         	       0        1        0        0        1
34970 libignition-utils-dev              	       0        1        0        0        1
34971 libigraph-dev                      	       0        1        1        0        0
34972 libigraph3t64                      	       0        1        0        0        1
34973 libiio-dev                         	       0        3        3        0        0
34974 libiio-utils                       	       0        1        1        0        0
34975 libij-java                         	       0        9        0        0        9
34976 libij-java-doc                     	       0        1        0        0        1
34977 libijs-dev                         	       0        6        6        0        0
34978 libijs-doc                         	       0        4        0        0        4
34979 libiksemel-dev                     	       0       13       13        0        0
34980 libilbc-dev                        	       0        3        3        0        0
34981 libilmbase-dev                     	       0       28       28        0        0
34982 libilmbase12                       	       0      124        0        0      124
34983 libilmbase24                       	       0        2        0        0        2
34984 libilmbase6v5                      	       0        1        0        0        1
34985 libimage-exif-perl                 	       0        4        0        0        4
34986 libimage-imlib2-perl               	       0        3        0        0        3
34987 libimage-magick-q16-perl           	       0      654        2        0      652
34988 libimage-magick-q16hdri-perl       	       0        1        0        0        1
34989 libimage-metadata-jpeg-perl        	       0        5        5        0        0
34990 libimage-sane-perl                 	       0       53        1        0       52
34991 libimage-size-ruby1.9.1            	       0        1        0        0        1
34992 libimage-transport-dev             	       0        1        1        0        0
34993 libimage-transport0d               	       0        2        0        0        2
34994 libimageclasses1                   	       0        6        0        0        6
34995 libimagequant-dev                  	       0       13       13        0        0
34996 libimager-perl                     	       0        5        5        0        0
34997 libimager-qrcode-perl              	       0        2        0        0        2
34998 libimageworsener1                  	       0        1        0        0        1
34999 libimath-dev                       	       0       97       95        2        0
35000 libimath-doc                       	       0        1        0        0        1
35001 libimdi0                           	       0        4        0        0        4
35002 libime-bin                         	       0       17       16        1        0
35003 libime-data                        	       0       18        0        0       18
35004 libimetable0                       	       0       18        1        0       17
35005 libimglib2-java                    	       0        2        0        0        2
35006 libimgscalr-java                   	       0       29        0        0       29
35007 libimgui-dev                       	       0        3        3        0        0
35008 libiml-dev                         	       0        9        9        0        0
35009 libiml0                            	       0       10        0        0       10
35010 libimobiledevice-dev               	       0       14       14        0        0
35011 libimobiledevice-doc               	       0        8        0        0        8
35012 libimobiledevice-glue-dev          	       0        5        5        0        0
35013 libimobiledevice-utils             	       0       53       53        0        0
35014 libimobiledevice1                  	       0        3        0        0        3
35015 libimobiledevice2                  	       0        4        0        0        4
35016 libimobiledevice4                  	       0       25        2        0       23
35017 libimporter-perl                   	       0        4        4        0        0
35018 libimthreshold-dev                 	       0        1        1        0        0
35019 libimthreshold0                    	       0        1        0        0        1
35020 libimthresholdfreeimage-dev        	       0        1        1        0        0
35021 libimthresholdfreeimage0           	       0        1        0        0        1
35022 libinchi-bin                       	       0        1        0        1        0
35023 libinchi-dev                       	       0        1        0        1        0
35024 libinchi1                          	       0       57        0        0       57
35025 libinchi1.07                       	       0       13        0        0       13
35026 libincidenceeditor-data            	       0       10        0        0       10
35027 libindex0-trinity                  	       0       30        1        0       29
35028 libindi-data                       	       0      101        0        0      101
35029 libindi-dev                        	       0        2        2        0        0
35030 libindi-plugins                    	       0       29        0        0       29
35031 libindi0b                          	       0        2        0        0        2
35032 libindi1                           	       0        3        0        0        3
35033 libindialignmentdriver1            	       0       29        0        0       29
35034 libindicator-transfer-dev          	       0        1        1        0        0
35035 libindicator-transfer1             	       0        1        0        0        1
35036 libindicator3                      	       0        1        0        0        1
35037 libindicator3-dev                  	       0        2        2        0        0
35038 libindicator7                      	       0       86        0        0       86
35039 libindiclient1                     	       0       87        3        0       84
35040 libindiclient2                     	       0        1        0        0        1
35041 libindidriver0c                    	       0        1        0        0        1
35042 libindidriver1                     	       0       30        0        0       30
35043 libindilx200-1                     	       0        2        0        0        2
35044 libindirect-perl                   	       0      176        0        0      176
35045 libinfgtk-0.7-0                    	       0        3        0        0        3
35046 libinfgtk-0.7-0t64                 	       0        1        0        0        1
35047 libinfinity-0.7-0                  	       0        3        0        0        3
35048 libinfinity-0.7-0t64               	       0        1        0        0        1
35049 libinfluxdb-lineprotocol-perl      	       0        1        1        0        0
35050 libini-config5                     	       0       13        7        0        6
35051 libini4j-java                      	       0        3        0        0        3
35052 libinih-dev                        	       0        5        5        0        0
35053 libiniparser-dev                   	       0        3        3        0        0
35054 libiniparser-doc                   	       0        3        0        0        3
35055 libiniparser4                      	       0        5        0        0        5
35056 libinklevel-dev                    	       0        1        1        0        0
35057 libinklevel5                       	       0       22        0        0       22
35058 libinnate-ruby1.9.1                	       0        1        0        0        1
35059 libinnodb3                         	       0        1        0        0        1
35060 libinotifytools0-dev               	       0        5        5        0        0
35061 libinput-pad-1.0-1                 	       0        2        0        0        2
35062 libinput-pad-dev                   	       0        1        1        0        0
35063 libinput-pad-xtest                 	       0        1        0        0        1
35064 libinput0                          	       0        2        0        0        2
35065 libinput5                          	       0       11        0        0       11
35066 libinputsynth-0.15-0               	       0        2        0        0        2
35067 libinputsynth-dev                  	       0        1        1        0        0
35068 libinsane-dev                      	       0        2        2        0        0
35069 libinsane-doc                      	       0        2        0        0        2
35070 libinsane1                         	       0       17        0        0       17
35071 libinsighttoolkit4-dev             	       0        2        2        0        0
35072 libinsighttoolkit4.12              	       0        1        1        0        0
35073 libinsighttoolkit4.13              	       0        8        8        0        0
35074 libinsighttoolkit5.2               	       0        2        2        0        0
35075 libinstaparse-clojure              	       0        2        0        0        2
35076 libinstpatch-dev                   	       0       40       40        0        0
35077 libint1                            	       0        4        0        0        4
35078 libint2-2                          	       0        1        0        0        1
35079 libintegers-ocaml                  	       0        2        1        0        1
35080 libintegers-ocaml-dev              	       0        2        2        0        0
35081 libintellij-annotations-java       	       0      332        0        0      332
35082 libintellij-annotations-java-doc   	       0        1        0        0        1
35083 libintelrdfpmath-dev               	       0        1        1        0        0
35084 libinteractive-markers-dev         	       0        1        1        0        0
35085 libinteractive-markers2d           	       0        1        0        0        1
35086 libinterimap                       	       0        1        1        0        0
35087 libintervalstorej-java             	       0        1        0        0        1
35088 libinventor1                       	       0        4        0        0        4
35089 libinventor1t64                    	       0        1        0        0        1
35090 libinvokebinder-java               	       0        4        0        0        4
35091 libinvokebinder-java-doc           	       0        1        0        0        1
35092 libio-aio-perl                     	       0        3        3        0        0
35093 libio-all-perl                     	       0       43       43        0        0
35094 libio-async-loop-epoll-perl        	       0        2        2        0        0
35095 libio-async-loop-glib-perl         	       0        1        1        0        0
35096 libio-async-perl                   	       0       63       62        1        0
35097 libio-bufferedselect-perl          	       0        1        1        0        0
35098 libio-compress-brotli-perl         	       0      244        0        0      244
35099 libio-dirent-perl                  	       0        9        0        0        9
35100 libio-event-perl                   	       0        1        1        0        0
35101 libio-fdpass-perl                  	       0        5        0        0        5
35102 libio-handle-util-perl             	       0        5        5        0        0
35103 libio-lockedfile-perl              	       0        2        2        0        0
35104 libio-pager-perl                   	       0        2        2        0        0
35105 libio-pipely-perl                  	       0       14       14        0        0
35106 libio-prompt-perl                  	       0        1        1        0        0
35107 libio-prompt-tiny-perl             	       0        6        6        0        0
35108 libio-pty-easy-perl                	       0        7        7        0        0
35109 libio-socket-multicast-perl        	       0       11        0        0       11
35110 libiodbc2-dev                      	       0        2        2        0        0
35111 libip-country-perl                 	       0        2        2        0        0
35112 libip4tc-dev                       	       0        5        3        0        2
35113 libip4tc0                          	       0      920        0        0      920
35114 libip6tc-dev                       	       0        5        5        0        0
35115 libip6tc0                          	       0      919        0        0      919
35116 libip6tc2                          	       0     2103        1        0     2102
35117 libipa-hbac0                       	       0       13        2        0       11
35118 libipe-dev                         	       0        2        2        0        0
35119 libipe7.1.4                        	       0        1        0        0        1
35120 libipe7.2.23                       	       0        1        0        0        1
35121 libipe7.2.25                       	       0        1        0        0        1
35122 libipe7.2.26                       	       0       10        0        0       10
35123 libipe7.2.28                       	       0        1        0        0        1
35124 libipe7.2.30                       	       0        2        0        0        2
35125 libipe7.2.7                        	       0        2        0        0        2
35126 libipe7.2.9                        	       0        1        0        0        1
35127 libipmiconsole2                    	       0       44        0        0       44
35128 libipmidetect0                     	       0       43        0        0       43
35129 libipmimonitoring6                 	       0        6        0        0        6
35130 libipsec-mb-dev                    	       0        2        2        0        0
35131 libipsec-mb0                       	       0       10        0        0       10
35132 libipsec-mb1                       	       0       33        0        0       33
35133 libipsec-mb2                       	       0        2        0        0        2
35134 libipset-dev                       	       0        1        1        0        0
35135 libipset11                         	       0        7        0        0        7
35136 libipset13                         	       0       78        0        0       78
35137 libipset13t64                      	       0       32        0        0       32
35138 libipset3                          	       0        6        0        0        6
35139 libiptables-chainmgr-perl          	       0        3        3        0        0
35140 libiptables-parse-perl             	       0        4        4        0        0
35141 libiptc-dev                        	       0        5        2        0        3
35142 libiptc0                           	       0      932        0        0      932
35143 libiptcdata0-dev                   	       0        1        1        0        0
35144 libipx-dev                         	       0        1        1        0        0
35145 libipx2                            	       0        1        0        0        1
35146 libiqa-dev                         	       0        1        1        0        0
35147 libiqa1                            	       0        1        0        0        1
35148 libirc-formatting-html-perl        	       0        3        3        0        0
35149 libirecovery-1.0-3                 	       0        8        0        0        8
35150 libirecovery-common                	       0        8        8        0        0
35151 libiri-perl                        	       0        6        6        0        0
35152 libirman-dev                       	       0        1        1        0        0
35153 libirman0                          	       0        9        0        0        9
35154 libirrlicht-dev                    	       0       14       14        0        0
35155 libirrlicht-doc                    	       0        1        1        0        0
35156 libirrlicht1.7a                    	       0        1        0        0        1
35157 libirrlicht1.8                     	       0       18        1        0       17
35158 libirrlicht1.8t64                  	       0        3        0        0        3
35159 libirs-export141                   	       0       13        0        0       13
35160 libirs-export91                    	       0      216        0        0      216
35161 libirs141                          	       0       16        0        0       16
35162 libirs161                          	       0      187        0        0      187
35163 libirstlm-dev                      	       0        1        1        0        0
35164 libirstlm1                         	       0        4        0        0        4
35165 libisal-dev                        	       0        3        3        0        0
35166 libisal2                           	       0        4        0        0        4
35167 libisc-export1100                  	       0      521        1        0      520
35168 libisc-export160                   	       0      475        1        0      474
35169 libisc-export166                   	       0        1        0        0        1
35170 libisc-export169                   	       0        1        0        0        1
35171 libisc-export189                   	       0        1        0        0        1
35172 libisc-export95                    	       0      218        0        0      218
35173 libisc11                           	       0        4        0        0        4
35174 libisc1100                         	       0      299        0        0      299
35175 libisc1105                         	       0       58        0        0       58
35176 libisc160                          	       0      190        0        0      190
35177 libisc44                           	       0        4        0        0        4
35178 libisc45                           	       0        5        0        0        5
35179 libisc62                           	       0       18        0        0       18
35180 libisc83                           	       0        2        0        0        2
35181 libisc84                           	       0       22        0        0       22
35182 libisc95                           	       0       90        0        0       90
35183 libisccc-export140                 	       0       12        0        0       12
35184 libisccc-export161                 	       0        3        0        0        3
35185 libisccc0                          	       0        3        0        0        3
35186 libisccc140                        	       0      188        0        0      188
35187 libisccc161                        	       0      309        0        0      309
35188 libisccc40                         	       0        5        0        0        5
35189 libisccc60                         	       0       18        0        0       18
35190 libisccc80                         	       0       22        0        0       22
35191 libisccc90                         	       0       90        0        0       90
35192 libisccfg-export140                	       0       12        0        0       12
35193 libisccfg-export144                	       0        1        0        0        1
35194 libisccfg-export90                 	       0      217        0        0      217
35195 libisccfg1                         	       0        3        0        0        3
35196 libisccfg140                       	       0      186        0        0      186
35197 libisccfg163                       	       0      309        0        0      309
35198 libisccfg62                        	       0       18        0        0       18
35199 libisccfg82                        	       0       21        0        0       21
35200 libisccfg90                        	       0       85        0        0       85
35201 libiscsi-bin                       	       0        1        1        0        0
35202 libiscsi-dev                       	       0        6        6        0        0
35203 libiscsi1                          	       0        7        0        0        7
35204 libiscsi2                          	       0       17        0        0       17
35205 libiscsi7                          	       0      484        3        0      481
35206 libiscwt-java                      	       0        1        0        0        1
35207 libisfreetype-java                 	       0        3        0        0        3
35208 libisl-dev                         	       0       10       10        0        0
35209 libisl10                           	       0      160        0        0      160
35210 libisl15                           	       0      416        0        0      416
35211 libisl19                           	       0      266        0        0      266
35212 libisl22                           	       0        8        0        0        8
35213 libismrmrd1.3                      	       0        1        0        0        1
35214 libismrmrd1.8                      	       0        2        0        0        2
35215 libisnativec-java                  	       0        3        0        0        3
35216 libisns0t64                        	       0       25        2        0       23
35217 libiso9660++-dev                   	       0        1        0        0        1
35218 libiso9660++0t64                   	       0        1        0        0        1
35219 libiso9660-12                      	       0        5        0        0        5
35220 libiso9660-4                       	       0        1        0        0        1
35221 libiso9660-5                       	       0        2        0        0        2
35222 libiso9660-7                       	       0        6        0        0        6
35223 libiso9660-8                       	       0       52        0        0       52
35224 libiso9660-dev                     	       0       17       17        0        0
35225 libisoburn-dev                     	       0        1        1        0        0
35226 libisoburn-doc                     	       0        2        0        0        2
35227 libisoburn1                        	       0      421        0        0      421
35228 libisoburn1t64                     	       0       65        0        0       65
35229 libisocodes1                       	       0        1        0        0        1
35230 libisocore1                        	       0        1        0        0        1
35231 libisofs-dev                       	       0        4        4        0        0
35232 libisofs-doc                       	       0        2        0        0        2
35233 libisofs6                          	       0     2074        0        0     2074
35234 libisofs6t64                       	       0      156        0        0      156
35235 libisorelax-java                   	       0      198        0        0      198
35236 libisrt-java                       	       0        3        0        0        3
35237 libistack-commons-java             	       0      333        0        0      333
35238 libite5                            	       0        2        0        0        2
35239 libiterm1                          	       0        1        0        0        1
35240 libitext-java                      	       0      761        0        0      761
35241 libitext-java-gcj                  	       0        2        2        0        0
35242 libitext-rtf-java                  	       0        1        0        0        1
35243 libitext1-java                     	       0        9        0        0        9
35244 libitext5-java                     	       0       32        0        0       32
35245 libitl0                            	       0        4        0        0        4
35246 libitm1                            	       0     2602        0        0     2602
35247 libitm1-alpha-cross                	       0        3        0        0        3
35248 libitm1-arm64-cross                	       0       37        0        0       37
35249 libitm1-dbgsym                     	       0        1        1        0        0
35250 libitm1-i386-cross                 	       0       13        0        0       13
35251 libitm1-ppc64-cross                	       0        6        0        0        6
35252 libitm1-ppc64el-cross              	       0        1        0        0        1
35253 libitm1-riscv64-cross              	       0        2        0        0        2
35254 libitm1-s390x-cross                	       0        4        0        0        4
35255 libitm1-sparc64-cross              	       0        3        0        0        3
35256 libitm1-x32-cross                  	       0        6        0        0        6
35257 libitpp8v5                         	       0       11        0        0       11
35258 libitsol-dev                       	       0        1        1        0        0
35259 libitsol1                          	       0        1        0        0        1
35260 libiv-unidraw1                     	       0        1        0        0        1
35261 libiv-unidraw2                     	       0        2        0        0        2
35262 libiv-unidraw2t64                  	       0        1        0        0        1
35263 libiv1                             	       0        1        0        0        1
35264 libiv2                             	       0        2        0        0        2
35265 libiv2t64                          	       0        1        0        0        1
35266 libiw-dev                          	       0       19       19        0        0
35267 libiw28                            	       0        1        0        0        1
35268 libiw29                            	       0        2        0        0        2
35269 libixion-0.14-0                    	       0        1        0        0        1
35270 libixion-0.17-0                    	       0        1        0        0        1
35271 libixion-0.18-0                    	       0        1        0        0        1
35272 libixml11                          	       0       52        2        0       50
35273 libixp0                            	       0        1        0        0        1
35274 libj2ssh-java                      	       0        9        0        0        9
35275 libjaba-client-java                	       0        2        0        0        2
35276 libjack-jackd2-dev                 	       0       90       89        1        0
35277 libjackrabbit-java                 	       0       11        0        0       11
35278 libjackson-json-java               	       0       14        0        0       14
35279 libjackson2-annotations-java       	       0       98        0        0       98
35280 libjackson2-annotations-java-doc   	       0        5        0        0        5
35281 libjackson2-core-java              	       0      175        0        0      175
35282 libjackson2-core-java-doc          	       0        2        0        0        2
35283 libjackson2-databind-java          	       0       97        0        0       97
35284 libjackson2-databind-java-doc      	       0        2        0        0        2
35285 libjackson2-dataformat-cbor        	       0        1        0        0        1
35286 libjackson2-dataformat-smile       	       0        2        0        0        2
35287 libjackson2-dataformat-smile-doc   	       0        1        0        0        1
35288 libjackson2-dataformat-xml-java    	       0        6        0        0        6
35289 libjackson2-dataformat-xml-java-doc	       0        2        0        0        2
35290 libjackson2-dataformat-yaml        	       0        7        0        0        7
35291 libjackson2-dataformat-yaml-doc    	       0        2        0        0        2
35292 libjackson2-jr-java                	       0       65        0        0       65
35293 libjackson2-module-jaxb-annotations-java	       0        1        0        0        1
35294 libjacoco-java                     	       0        2        0        0        2
35295 libjaffl-java                      	       0        2        0        0        2
35296 libjakarta-activation-java         	       0        3        0        0        3
35297 libjakarta-servlet-api-java        	       0        7        0        0        7
35298 libjam-java                        	       0        1        0        0        1
35299 libjama-dev                        	       0        1        1        0        0
35300 libjama-java                       	       0        3        0        0        3
35301 libjameica-datasource-java         	       0        4        0        0        4
35302 libjameica-util-java               	       0        4        0        0        4
35303 libjamon-java                      	       0        1        0        0        1
35304 libjanino-java                     	       0       37        0        0       37
35305 libjanino-java-doc                 	       0        3        0        0        3
35306 libjansi-java                      	       0      487        0        0      487
35307 libjansi-native-java               	       0      364        0        0      364
35308 libjansi1-java                     	       0       77        0        0       77
35309 libjansson-doc                     	       0        1        0        0        1
35310 libjargs-java                      	       0        8        0        0        8
35311 libjarjar-java                     	       0       48        0        0       48
35312 libjarjar-maven-plugin-java        	       0        1        0        0        1
35313 libjarjar-maven-plugin-java-doc    	       0        1        0        0        1
35314 libjas-java                        	       0       33        0        0       33
35315 libjas-plotter-java                	       0       10        0        0       10
35316 libjasper-1.701-1                  	       0        3        0        0        3
35317 libjasper-dev                      	       0       12       12        0        0
35318 libjasper-runtime                  	       0        1        1        0        0
35319 libjasypt-java                     	       0        2        0        0        2
35320 libjatl-java                       	       0       47        0        0       47
35321 libjaudiotagger-java               	       0        1        0        0        1
35322 libjaula1                          	       0        2        0        0        2
35323 libjaula1t64                       	       0        1        0        0        1
35324 libjava-classpath-clojure          	       0        2        0        0        2
35325 libjava-gnome-java                 	       0        4        0        0        4
35326 libjava-gnome-jni                  	       0        4        4        0        0
35327 libjava-jdbc-clojure               	       0        1        0        0        1
35328 libjava-jmx-clojure                	       0        1        0        0        1
35329 libjava-string-similarity-java     	       0       24        0        0       24
35330 libjava-xmlbuilder-java            	       0        1        0        0        1
35331 libjava3d-java                     	       0       72        0        0       72
35332 libjava3d-java-doc                 	       0        1        0        0        1
35333 libjava3d-jni                      	       0       72       72        0        0
35334 libjavacc-maven-plugin-java        	       0        3        0        0        3
35335 libjavaewah-java                   	       0       54        0        0       54
35336 libjavafxsvg-java                  	       0        1        0        0        1
35337 libjavaparser-java                 	       0       86        0        0       86
35338 libjavapoet-java                   	       0        2        0        0        2
35339 libjavascript-minifier-xs-perl     	       0        1        0        0        1
35340 libjavascriptcoregtk-1.0-0         	       0       52        0        0       52
35341 libjavascriptcoregtk-1.0-dev       	       0        3        3        0        0
35342 libjavascriptcoregtk-3.0-0         	       0       57        0        0       57
35343 libjavascriptcoregtk-3.0-bin       	       0        1        1        0        0
35344 libjavascriptcoregtk-3.0-dev       	       0        2        2        0        0
35345 libjavascriptcoregtk-4.0-18-dbgsym 	       0        1        1        0        0
35346 libjavascriptcoregtk-4.0-bin       	       0        3        3        0        0
35347 libjavascriptcoregtk-4.0-dev       	       0       44       43        1        0
35348 libjavascriptcoregtk-4.1-dev       	       0        9        9        0        0
35349 libjavascriptcoregtk-5.0-0         	       0        1        0        0        1
35350 libjavascriptcoregtk-6.0-dev       	       0        5        4        1        0
35351 libjavassist-java                  	       0        4        0        0        4
35352 libjavawriter-java                 	       0        3        0        0        3
35353 libjavawriter-java-doc             	       0        1        0        0        1
35354 libjawn-java                       	       0        1        0        0        1
35355 libjaxb-api-java                   	       0      397        0        0      397
35356 libjaxb-java                       	       0      330        0        0      330
35357 libjaxe-java                       	       0        1        0        0        1
35358 libjaxen-java                      	       0      494        0        0      494
35359 libjaxme-java                      	       0        4        0        0        4
35360 libjaxp1.3-java                    	       0      624        0        0      624
35361 libjaxp1.3-java-gcj                	       0        1        1        0        0
35362 libjaxrs-api-java                  	       0       18        0        0       18
35363 libjaxws-api-java                  	       0        4        0        0        4
35364 libjaxws-java                      	       0        3        0        0        3
35365 libjaylink-dev                     	       0        7        5        2        0
35366 libjazzy-java                      	       0        1        0        0        1
35367 libjbcrypt-java                    	       0        3        0        0        3
35368 libjbig2dec0-dev                   	       0        6        6        0        0
35369 libjbig2enc-dev                    	       0        1        1        0        0
35370 libjbig2enc0                       	       0        1        0        0        1
35371 libjbig2enc0t64                    	       0        8        0        0        8
35372 libjboss-classfilewriter-java      	       0        1        0        0        1
35373 libjboss-classfilewriter-java-doc  	       0        1        0        0        1
35374 libjboss-jdeparser2-java           	       0       53        0        0       53
35375 libjboss-logging-java              	       0       68        0        0       68
35376 libjboss-logging-java-doc          	       0        2        0        0        2
35377 libjboss-logging-tools-java        	       0       53        0        0       53
35378 libjboss-logmanager-java           	       0        1        0        0        1
35379 libjboss-logmanager-java-doc       	       0        1        0        0        1
35380 libjboss-vfs-java                  	       0        4        0        0        4
35381 libjbzip2-java                     	       0        4        0        0        4
35382 libjcat-dev                        	       0        3        2        1        0
35383 libjchart2d-java                   	       0       20        0        0       20
35384 libjcharts-java                    	       0        2        0        0        2
35385 libjcifs-java                      	       0       64        0        0       64
35386 libjcifs-java-doc                  	       0        2        0        0        2
35387 libjcip-annotations-java           	       0       67        0        0       67
35388 libjcodings-java                   	       0        8        0        0        8
35389 libjcommander-java                 	       0      115        0        0      115
35390 libjcommander-java-doc             	       0        7        0        0        7
35391 libjcommon-java                    	       0      755        0        0      755
35392 libjcommon-java-doc                	       0        6        0        0        6
35393 libjconv-bin                       	       0        2        2        0        0
35394 libjconv2                          	       0        2        0        0        2
35395 libjcsp-java                       	       0       68        0        0       68
35396 libjcsp-java-doc                   	       0        1        0        0        1
35397 libjctools-java                    	       0       30        0        0       30
35398 libjdeb-java                       	       0        1        0        0        1
35399 libjdepend-java                    	       0       10        0        0       10
35400 libjdependency-java                	       0        1        0        0        1
35401 libjdns2                           	       0        1        0        0        1
35402 libjdom1-java                      	       0      445        0        0      445
35403 libjdom1-java-doc                  	       0        7        0        0        7
35404 libjdom2-intellij-java             	       0        1        0        0        1
35405 libjdom2-intellij-java-doc         	       0        1        0        0        1
35406 libjdom2-java                      	       0       28        0        0       28
35407 libjdom2-java-doc                  	       0        1        0        0        1
35408 libjebl2-java                      	       0        1        0        0        1
35409 libjellyfish-2.0-2                 	       0        1        0        0        1
35410 libjemalloc-dev                    	       0       21       21        0        0
35411 libjemmy2-java                     	       0        3        0        0        3
35412 libjempbox-java                    	       0       24        0        0       24
35413 libjenkins-trilead-ssh2-java       	       0        1        0        0        1
35414 libjerasure2                       	       0        1        0        0        1
35415 libjericho-html-java               	       0       18        0        0       18
35416 libjeromq-java                     	       0        6        0        0        6
35417 libjersey1-client-java             	       0        1        0        0        1
35418 libjersey1-core-java               	       0        2        0        0        2
35419 libjersey1-server-java             	       0        1        0        0        1
35420 libjetbrains-annotations-java      	       0      333        0        0      333
35421 libjetbrains-annotations-java-doc  	       0        5        0        0        5
35422 libjets3t-java                     	       0        1        0        0        1
35423 libjettison-java                   	       0        9        0        0        9
35424 libjetty8-java                     	       0        3        0        0        3
35425 libjetty8-java-doc                 	       0        1        0        0        1
35426 libjetty9-extra-java               	       0        6        0        0        6
35427 libjetty9-java                     	       0       71        0        0       71
35428 libjeuclid-core-java               	       0       21        0        0       21
35429 libjeuclid-fop-java                	       0        2        0        0        2
35430 libjexcelapi-java                  	       0        8        0        0        8
35431 libjffi-java                       	       0       30        0        0       30
35432 libjffi-jni                        	       0       30        0        0       30
35433 libjformatstring-java              	       0       47        0        0       47
35434 libjfreechart-java                 	       0       45        0        0       45
35435 libjfreechart-java-doc             	       0        1        0        0        1
35436 libjfreesvg-java                   	       0        2        0        0        2
35437 libjfugue-java                     	       0       33        0        0       33
35438 libjgit-java                       	       0       53        0        0       53
35439 libjgoodies-animation-java         	       0        1        0        0        1
35440 libjgoodies-common-java            	       0       67        0        0       67
35441 libjgoodies-forms-java             	       0       52        0        0       52
35442 libjgoodies-looks-java             	       0       42        0        0       42
35443 libjgraph-java                     	       0        3        0        0        3
35444 libjgrapht-java                    	       0        1        0        0        1
35445 libjgrapht0.6-java                 	       0        2        0        0        2
35446 libjgrapht0.8-java                 	       0        2        0        0        2
35447 libjgraphx-java                    	       0       17        0        0       17
35448 libjgroups-java                    	       0        1        0        0        1
35449 libjhdf4-java                      	       0        1        0        0        1
35450 libjhdf4-jni                       	       0        1        1        0        0
35451 libjhdf5-java                      	       0        1        0        0        1
35452 libjhdf5-jni                       	       0        1        1        0        0
35453 libjheaps-java                     	       0        1        0        0        1
35454 libjhighlight-java                 	       0        1        0        0        1
35455 libjhlabs-filters-java             	       0       27        0        0       27
35456 libjibx1.1-java                    	       0        5        0        0        5
35457 libjibx1.2-java                    	       0        1        0        0        1
35458 libjiconfont-font-awesome-java     	       0       20        0        0       20
35459 libjiconfont-java                  	       0       20        0        0       20
35460 libjiconfont-swing-java            	       0       20        0        0       20
35461 libjide-oss-java                   	       0       21        0        0       21
35462 libjim-dev                         	       0        1        0        1        0
35463 libjim0.75                         	       0       33        0        0       33
35464 libjim0.76                         	       0       80        0        0       80
35465 libjim0.77                         	       0      139        0        0      139
35466 libjim0.79                         	       0      469        0        0      469
35467 libjim0.81                         	       0     2100        0        0     2100
35468 libjim0.82                         	       0       16        0        0       16
35469 libjim0.82t64                      	       0       47        0        0       47
35470 libjim0.83                         	       0      171        0        0      171
35471 libjim0debian2                     	       0        6        0        0        6
35472 libjimfs-java                      	       0        2        0        0        2
35473 libjing-java                       	       0       15        0        0       15
35474 libjinglebase0.3-0                 	       0        1        0        0        1
35475 libjinglep2p0.3-0                  	       0        1        0        0        1
35476 libjinglesession0.3-0              	       0        1        0        0        1
35477 libjinglexmllite0.3-0              	       0        1        0        0        1
35478 libjinglexmpp0.3-0                 	       0        1        0        0        1
35479 libjinput-java                     	       0        5        0        0        5
35480 libjinput-jni                      	       0        5        5        0        0
35481 libjitescript-java                 	       0        4        0        0        4
35482 libjitterentropy                   	       0        1        0        0        1
35483 libjitterentropy-dev               	       0        1        1        0        0
35484 libjlapack-java                    	       0        1        0        0        1
35485 libjlatexmath-fop-java             	       0       16        0        0       16
35486 libjlatexmath-java                 	       0       93        0        0       93
35487 libjlayer-java                     	       0        9        0        0        9
35488 libjlha-java                       	       0       14        0        0       14
35489 libjlha-java-doc-ja                	       0        2        0        0        2
35490 libjlibeps-java                    	       0       12        0        0       12
35491 libjline-java                      	       0       67        0        0       67
35492 libjline-java-doc                  	       0        9        0        0        9
35493 libjline2-java                     	       0       98        0        0       98
35494 libjline3-java                     	       0        3        0        0        3
35495 libjmac-java                       	       0        2        2        0        0
35496 libjmagick7-java                   	       0        1        0        0        1
35497 libjmdns-java                      	       0       87        0        0       87
35498 libjmol-java                       	       0       15        0        0       15
35499 libjna-java                        	       0      175        0        0      175
35500 libjna-java-doc                    	       0        3        0        0        3
35501 libjna-platform-java               	       0      112        0        0      112
35502 libjnacl-java                      	       0        2        0        0        2
35503 libjni-inchi-java                  	       0       14        0        0       14
35504 libjni-inchi-jni                   	       0       14       14        0        0
35505 libjnlp-servlet-java               	       0        3        0        0        3
35506 libjnr-constants-java              	       0       30        0        0       30
35507 libjnr-enxio-java                  	       0        7        0        0        7
35508 libjnr-enxio-java-doc              	       0        1        0        0        1
35509 libjnr-ffi-java                    	       0       30        0        0       30
35510 libjnr-ffi-java-doc                	       0        6        0        0        6
35511 libjnr-netdb-java                  	       0       26        0        0       26
35512 libjnr-netdb-java-doc              	       0        5        0        0        5
35513 libjnr-posix-java                  	       0       30        0        0       30
35514 libjnr-posix-java-doc              	       0        6        0        0        6
35515 libjnr-unixsocket-java             	       0        7        0        0        7
35516 libjnr-x86asm-java                 	       0       30        0        0       30
35517 libjoda-convert-java               	       0        5        0        0        5
35518 libjoda-convert-java-doc           	       0        1        0        0        1
35519 libjoda-time-java                  	       0       31        0        0       31
35520 libjoda-time-java-doc              	       0        2        0        0        2
35521 libjodconverter-java               	       0        3        0        0        3
35522 libjodconverter-java-doc           	       0        1        0        0        1
35523 libjodycode3                       	       0        2        0        0        2
35524 libjodycode3t64                    	       0        3        0        0        3
35525 libjogl-java                       	       0        1        0        0        1
35526 libjogl-jni                        	       0        1        1        0        0
35527 libjogl2-java                      	       0       20        0        0       20
35528 libjogl2-java-doc                  	       0        1        0        0        1
35529 libjogl2-jni                       	       0       20       20        0        0
35530 libjogl2-toolkits                  	       0        1        0        0        1
35531 libjolokia-core-java               	       0        1        0        0        1
35532 libjopendocument-java              	       0        9        0        0        9
35533 libjoptsimple-java                 	       0        9        0        0        9
35534 libjorbis-java                     	       0        1        0        0        1
35535 libjose-dev                        	       0        1        1        0        0
35536 libjose0                           	       0       11        0        0       11
35537 libjpa-2.1-spec-java               	       0        4        0        0        4
35538 libjpedal-jbig2-java               	       0        1        0        0        1
35539 libjpeg-dev                        	       0      683        0        0      683
35540 libjpeg-tools                      	       0        8        8        0        0
35541 libjpeg8                           	       0       54        0        0       54
35542 libjpeg8-dev                       	       0        8        8        0        0
35543 libjpeg9                           	       0        7        0        0        7
35544 libjpegqs0                         	       0        1        0        0        1
35545 libjpf-java                        	       0        8        0        0        8
35546 libjpfcodegen-java                 	       0        2        0        0        2
35547 libjpgalleg4-dev                   	       0        1        1        0        0
35548 libjpgalleg4.4                     	       0       13        0        0       13
35549 libjpge-dev                        	       0        1        1        0        0
35550 libjpge0                           	       0        1        0        0        1
35551 libjq1                             	       0     1174        0        0     1174
35552 libjrosetta-java                   	       0       16        0        0       16
35553 libjruby-joni-java                 	       0        4        4        0        0
35554 libjruby-utils-clojure             	       0        1        0        0        1
35555 libjs-angular-file-upload          	       0        1        0        0        1
35556 libjs-angular-gettext              	       0        1        0        0        1
35557 libjs-angular-schema-form          	       0        1        0        0        1
35558 libjs-angularjs                    	       0       20        0        0       20
35559 libjs-angularjs-smart-table        	       0        1        0        0        1
35560 libjs-asciimathml                  	       0        1        1        0        0
35561 libjs-async                        	       0      165        0        0      165
35562 libjs-backbone                     	       0       73        0        0       73
35563 libjs-bootbox                      	       0        1        0        0        1
35564 libjs-bootsidemenu                 	       0        2        0        0        2
35565 libjs-bootstrap                    	       0      245        0        0      245
35566 libjs-bootstrap-tour               	       0       58        0        0       58
35567 libjs-bootstrap4                   	       0      313        1        0      312
35568 libjs-bootstrap5                   	       0       22        0        0       22
35569 libjs-bootstrap5-doc               	       0        2        0        0        2
35570 libjs-bootswatch                   	       0       49        0        0       49
35571 libjs-c3                           	       0        2        0        0        2
35572 libjs-chart.js                     	       0        6        0        0        6
35573 libjs-chosen                       	       0        4        0        0        4
35574 libjs-coffeescript                 	       0       36        0        0       36
35575 libjs-cropper                      	       0       25        0        0       25
35576 libjs-d3                           	       0       75        0        0       75
35577 libjs-d3-format                    	       0        3        0        0        3
35578 libjs-d3-tip                       	       0        5        0        0        5
35579 libjs-dojo-core                    	       0        1        0        0        1
35580 libjs-dojo-dijit                   	       0        1        0        0        1
35581 libjs-dojo-dojox                   	       0        1        1        0        0
35582 libjs-elycharts                    	       0        4        0        0        4
35583 libjs-emojify                      	       0        2        0        0        2
35584 libjs-emojione                     	       0        2        0        0        2
35585 libjs-eonasdan-bootstrap-datetimepicker	       0        5        0        0        5
35586 libjs-es5-shim                     	       0       45        0        0       45
35587 libjs-es6-promise                  	       0       58        0        0       58
35588 libjs-events                       	       0      172        0        0      172
35589 libjs-excanvas                     	       0       59        0        0       59
35590 libjs-extjs                        	       0        1        0        0        1
35591 libjs-highlight.js                 	       0      365        0        0      365
35592 libjs-htmx                         	       0        1        0        0        1
35593 libjs-i18next                      	       0        1        0        0        1
35594 libjs-impress                      	       0        4        0        0        4
35595 libjs-inherits                     	       0      224        0        0      224
35596 libjs-is-typedarray                	       0      210        0        0      210
35597 libjs-iscroll                      	       0       88       85        3        0
35598 libjs-ismobilejs                   	       0        1        0        0        1
35599 libjs-jed                          	       0       58        0        0       58
35600 libjs-jquery                       	       0     2957        0        0     2957
35601 libjs-jquery-atwho                 	       0        1        0        0        1
35602 libjs-jquery-colorbox              	       0        2        0        0        2
35603 libjs-jquery-colorpicker           	       0        5        0        0        5
35604 libjs-jquery-cookie                	       0       24        0        0       24
35605 libjs-jquery-datatables            	       0       72        0        0       72
35606 libjs-jquery-datatables-extensions 	       0       36        0        0       36
35607 libjs-jquery-easing                	       0       55        0        0       55
35608 libjs-jquery-fancybox              	       0       55        0        0       55
35609 libjs-jquery-file-upload           	       0        1        1        0        0
35610 libjs-jquery-flot                  	       0       32        0        0       32
35611 libjs-jquery-flot-docs             	       0        1        0        0        1
35612 libjs-jquery-form                  	       0        8        0        0        8
35613 libjs-jquery-hotkeys               	       0       56        0        0       56
35614 libjs-jquery-i18n-properties       	       0        5        0        0        5
35615 libjs-jquery-isonscreen            	       0       52        0        0       52
35616 libjs-jquery-jplayer               	       0        1        0        0        1
35617 libjs-jquery-jstree                	       0        4        0        0        4
35618 libjs-jquery-lazyload              	       0        2        0        0        2
35619 libjs-jquery-metadata              	       0      115        0        0      115
35620 libjs-jquery-migrate-1             	       0        2        0        0        2
35621 libjs-jquery-minicolors            	       0       12        0        0       12
35622 libjs-jquery-mobile                	       0        3        0        0        3
35623 libjs-jquery-mousewheel            	       0      112        0        0      112
35624 libjs-jquery-placeholder           	       0        5        0        0        5
35625 libjs-jquery-scrollto              	       0       25        0        0       25
35626 libjs-jquery-selectize.js          	       0       42        0        0       42
35627 libjs-jquery-tablesorter           	       0      115        0        0      115
35628 libjs-jquery-throttle-debounce     	       0       44       26        1       17
35629 libjs-jquery-timepicker            	       0       60        0        0       60
35630 libjs-jquery-tipsy                 	       0        1        0        0        1
35631 libjs-jquery-typeahead             	       0       58        0        0       58
35632 libjs-jquery-ui                    	       0      754        0        0      754
35633 libjs-jquery-ui-docs               	       0        6        6        0        0
35634 libjs-jquery-ui-theme-base         	       0       42        0        0       42
35635 libjs-jquery-ui-theme-redmond      	       0        1        0        0        1
35636 libjs-jquery-ui-theme-smoothness   	       0       15        0        0       15
35637 libjs-jquery-ui-theme-south-street 	       0        3        0        0        3
35638 libjs-jquery-ui-theme-ui-darkness  	       0        3        0        0        3
35639 libjs-jquery-ui-theme-ui-lightness 	       0       12        0        0       12
35640 libjs-jquery-ui-touch-punch        	       0        3        0        0        3
35641 libjs-jquery.quicksearch           	       0        1        0        0        1
35642 libjs-jsencrypt                    	       0        1        0        0        1
35643 libjs-json                         	       0       47        0        0       47
35644 libjs-jstimezonedetect             	       0       15        0        0       15
35645 libjs-katex                        	       0       37        0        0       37
35646 libjs-leaflet                      	       0       74        0        0       74
35647 libjs-leaflet.markercluster        	       0        1        0        0        1
35648 libjs-lightbox2                    	       0        1        0        0        1
35649 libjs-lodash                       	       0        9        0        0        9
35650 libjs-lrdragndrop                  	       0        1        0        0        1
35651 libjs-lunr                         	       0      156        0        0      156
35652 libjs-magic-search                 	       0        1        0        0        1
35653 libjs-marked                       	       0       63        0        0       63
35654 libjs-mathjax                      	       0     2193        0        0     2193
35655 libjs-mathjax-doc                  	       0        9        0        0        9
35656 libjs-mediaelement                 	       0        2        0        0        2
35657 libjs-microplugin.js               	       0       43        0        0       43
35658 libjs-mochikit                     	       0        4        4        0        0
35659 libjs-modernizr                    	       0      301        0        0      301
35660 libjs-modestmaps                   	       0        1        0        0        1
35661 libjs-moment                       	       0       66        0        0       66
35662 libjs-moment-timezone              	       0        6        0        0        6
35663 libjs-mootools                     	       0       33        0        0       33
35664 libjs-mustache                     	       0        9        0        0        9
35665 libjs-node-uuid                    	       0        4        0        0        4
35666 libjs-nouislider                   	       0        2        0        0        2
35667 libjs-objectpath                   	       0        1        0        0        1
35668 libjs-of-ocaml                     	       0        1        1        0        0
35669 libjs-of-ocaml-dev                 	       0        1        1        0        0
35670 libjs-openlayers                   	       0       26        0        0       26
35671 libjs-pdf                          	       0        4        0        0        4
35672 libjs-prettify                     	       0      236        0        0      236
35673 libjs-prototype                    	       0       59        0        0       59
35674 libjs-psl                          	       0       65        0        0       65
35675 libjs-punycode                     	       0        3        0        0        3
35676 libjs-raphael                      	       0        7        0        0        7
35677 libjs-regenerate                   	       0      180        0        0      180
35678 libjs-remark-slide                 	       0       33        0        0       33
35679 libjs-requirejs                    	       0      108        0        0      108
35680 libjs-requirejs-text               	       0       65        0        0       65
35681 libjs-rickshaw                     	       0       10        0        0       10
35682 libjs-s5                           	       0        4        0        0        4
35683 libjs-sax                          	       0        2        0        0        2
35684 libjs-scriptaculous                	       0       45        0        0       45
35685 libjs-select2.js                   	       0        1        0        0        1
35686 libjs-sifter.js                    	       0       43        0        0       43
35687 libjs-sizzle                       	       0      304        0        0      304
35688 libjs-skeleton                     	       0        2        0        0        2
35689 libjs-source-map                   	       0      199        0        0      199
35690 libjs-sphinxdoc                    	       0     2421        0        0     2421
35691 libjs-spin.js                      	       0        1        0        0        1
35692 libjs-sprintf-js                   	       0      189        0        0      189
35693 libjs-strophe                      	       0        1        0        0        1
35694 libjs-swfobject                    	       0        3        0        0        3
35695 libjs-term.js                      	       0        9        0        0        9
35696 libjs-text-encoding                	       0       58        0        0       58
35697 libjs-three                        	       0       90        1        0       89
35698 libjs-toastr                       	       0        1        0        0        1
35699 libjs-tv4                          	       0        1        0        0        1
35700 libjs-twitter-bootstrap            	       0        1        0        0        1
35701 libjs-twitter-bootstrap-datepicker 	       0       43        0        0       43
35702 libjs-typedarray-to-buffer         	       0      202        0        0      202
35703 libjs-uglify                       	       0        5        0        0        5
35704 libjs-underscore                   	       0     2692        0        0     2692
35705 libjs-util                         	       0      180        0        0      180
35706 libjs-x2gokdriveclient             	       0        1        0        0        1
35707 libjs-xmlextras                    	       0       55        0        0       55
35708 libjs-xterm                        	       0       56        0        0       56
35709 libjsamp-java                      	       0        3        0        0        3
35710 libjsap-java                       	       0        3        0        0        3
35711 libjsap-java-doc                   	       0        1        0        0        1
35712 libjsch-agent-proxy-java           	       0        9        0        0        9
35713 libjsch-java                       	       0      179        0        0      179
35714 libjsch-java-doc                   	       0        1        0        0        1
35715 libjsofa-java                      	       0        2        0        0        2
35716 libjson-any-perl                   	       0        7        7        0        0
35717 libjson-c-doc                      	       0        2        0        0        2
35718 libjson-c4                         	       0        7        1        0        6
35719 libjson-glib-dev                   	       0       76       73        3        0
35720 libjson-glib-doc                   	       0        3        0        0        3
35721 libjson-parse-perl                 	       0      100        0        0      100
35722 libjson-path-perl                  	       0        1        1        0        0
35723 libjson-rpc-perl                   	       0        3        3        0        0
35724 libjson-simple-doc                 	       0        3        0        0        3
35725 libjson-simple-java                	       0       32        0        0       32
35726 libjson-validator-perl             	       0        4        3        1        0
35727 libjson-webtoken-perl              	       0        7        7        0        0
35728 libjson0                           	       0       16        0        0       16
35729 libjson4s-java                     	       0        1        0        0        1
35730 libjsoncpp-doc                     	       0        1        0        0        1
35731 libjsoncpp0                        	       0       23        0        0       23
35732 libjsoncpp0v5                      	       0        1        0        0        1
35733 libjsonld-java                     	       0        2        0        0        2
35734 libjsonld-perl                     	       0        1        1        0        0
35735 libjsonp-java                      	       0       17        0        0       17
35736 libjsonp2-java                     	       0        2        0        0        2
35737 libjsonparser-dev                  	       0        2        2        0        0
35738 libjsonrpc-glib-1.0-1              	       0        4        0        0        4
35739 libjsonrpc-glib-1.0-dev            	       0        1        1        0        0
35740 libjsoup-java                      	       0      390        0        0      390
35741 libjsoup-java-doc                  	       0        1        0        0        1
35742 libjsp-api-java                    	       0      793        0        0      793
35743 libjspeex-java                     	       0        1        0        0        1
35744 libjsr107cache-java                	       0        5        0        0        5
35745 libjsr166y-java                    	       0       82        0        0       82
35746 libjsr305-java                     	       0      502        0        0      502
35747 libjsr305-java-doc                 	       0        4        0        0        4
35748 libjsr311-api-java                 	       0        6        0        0        6
35749 libjsr311-api-java-doc             	       0        1        0        0        1
35750 libjsw2                            	       0        1        0        0        1
35751 libjswingreader-java               	       0        2        0        0        2
35752 libjsyntaxpane-java                	       0       30        0        0       30
35753 libjsyntaxpane-java-doc            	       0        1        0        0        1
35754 libjtds-java                       	       0        6        0        0        6
35755 libjte1                            	       0      152        0        0      152
35756 libjte2                            	       0     2118        0        0     2118
35757 libjtharness-java                  	       0        2        0        0        2
35758 libjtidy-java                      	       0       22        0        0       22
35759 libjts-java                        	       0        4        0        0        4
35760 libjudy-dev                        	       0        3        3        0        0
35761 libjuff0.10                        	       0        5        0        0        5
35762 libjuff0.10t64                     	       0        2        0        0        2
35763 libjulia-dev                       	       0        1        1        0        0
35764 libjulia1                          	       0       16        0        0       16
35765 libjung-free-java                  	       0        1        0        0        1
35766 libjuniversalchardet-java          	       0       13        0        0       13
35767 libjuniversalchardet-java-doc      	       0        1        0        0        1
35768 libjunixsocket-java                	       0       14        0        0       14
35769 libjunixsocket-jni                 	       0       14        0        0       14
35770 libjutils-java                     	       0        5        0        0        5
35771 libjvyamlb-java                    	       0        3        0        0        3
35772 libjws-api-java                    	       0        4        0        0        4
35773 libjwt-dev                         	       0        1        1        0        0
35774 libjwt-gnutls-dev                  	       0        1        1        0        0
35775 libjwt-gnutls0                     	       0        7        2        0        5
35776 libjwt-gnutls2                     	       0        2        0        0        2
35777 libjwt0                            	       0        2        0        0        2
35778 libjwt2                            	       0        1        1        0        0
35779 libjxl-dev                         	       0       50       49        1        0
35780 libjxl-gdk-pixbuf                  	       0       48        1        0       47
35781 libjxl-testdata                    	       0        1        0        0        1
35782 libjxl0                            	       0        1        0        0        1
35783 libjxl0.8                          	       0        6        1        0        5
35784 libjxr-dev                         	       0        4        4        0        0
35785 libjxr0                            	       0     2935        6        0     2929
35786 libjxr0t64                         	       0      224        0        0      224
35787 libjzlib-java                      	       0      181        0        0      181
35788 libk3b-dev                         	       0        2        2        0        0
35789 libk3b-extracodecs                 	       0      160        0        0      160
35790 libk3b6                            	       0       14       14        0        0
35791 libk3b6-extracodecs                	       0       14       14        0        0
35792 libk3b7                            	       0      108        0        0      108
35793 libk3b7-extracodecs                	       0      105        0        0      105
35794 libk3b8                            	       0      161        0        0      161
35795 libk3b8t64                         	       0       11        0        0       11
35796 libkaccounts1                      	       0       33        0        0       33
35797 libkactivities-models1             	       0        7        0        0        7
35798 libkaddressbook-dev                	       0        1        0        1        0
35799 libkadm5clnt-mit11                 	       0       34        0        0       34
35800 libkadm5clnt-mit12                 	       0      197        3        0      194
35801 libkadm5clnt-mit7                  	       0       14        0        0       14
35802 libkadm5clnt-mit8                  	       0        6        0        0        6
35803 libkadm5clnt-mit9                  	       0        5        0        0        5
35804 libkadm5clnt7-heimdal              	       0       21        0        0       21
35805 libkadm5clnt7t64-heimdal           	       0        1        0        0        1
35806 libkadm5srv-mit11                  	       0       34        0        0       34
35807 libkadm5srv-mit7                   	       0       14        0        0       14
35808 libkadm5srv-mit9                   	       0        4        0        0        4
35809 libkadm5srv8-heimdal               	       0       26        1        0       25
35810 libkadm5srv8t64-heimdal            	       0        1        0        0        1
35811 libkafs0-heimdal                   	       0       21        0        0       21
35812 libkafs0t64-heimdal                	       0        1        0        0        1
35813 libkakasi2                         	       0        1        0        0        1
35814 libkalarmcal2                      	       0        5        0        0        5
35815 libkarma0                          	       0        9        0        0        9
35816 libkasten2controllers2             	       0        1        0        0        1
35817 libkasten2core2                    	       0        1        0        0        1
35818 libkasten2gui2                     	       0        1        0        0        1
35819 libkasten2okteta1controllers1abi1  	       0        1        0        0        1
35820 libkasten2okteta1core1             	       0        1        0        0        1
35821 libkasten2okteta1gui1              	       0        1        0        0        1
35822 libkasten3controllers3             	       0        5        0        0        5
35823 libkasten3core3                    	       0        5        0        0        5
35824 libkasten3gui3                     	       0        5        0        0        5
35825 libkasten3okteta1controllers1      	       0        3        0        0        3
35826 libkasten3okteta1controllers1abi1  	       0        2        0        0        2
35827 libkasten3okteta1core1             	       0        5        0        0        5
35828 libkasten3okteta1gui1              	       0        5        0        0        5
35829 libkasten4controllers0             	       0      101        0        0      101
35830 libkasten4core0                    	       0      101        0        0      101
35831 libkasten4gui0                     	       0      101        0        0      101
35832 libkasten4okteta2controllers0      	       0      101        0        0      101
35833 libkasten4okteta2core0             	       0      101        0        0      101
35834 libkasten4okteta2gui0              	       0      101        0        0      101
35835 libkate-dev                        	       0       10        9        1        0
35836 libkateinterfaces4                 	       0        2        0        0        2
35837 libkatepartinterfaces4             	       0       42        0        0       42
35838 libkblog4                          	       0        3        0        0        3
35839 libkcal4                           	       0        6        6        0        0
35840 libkcalendarutils-data             	       0       10        0        0       10
35841 libkcddb1-trinity                  	       0       36       32        4        0
35842 libkcddb4                          	       0       15        0        0       15
35843 libkcddb6-5                        	       0       18        0        0       18
35844 libkcddb6-dev                      	       0        2        1        1        0
35845 libkchart-dev                      	       0        2        2        0        0
35846 libkchart-l10n                     	       0        3        0        0        3
35847 libkchart2                         	       0       58        0        0       58
35848 libkchart2-l10n                    	       0       50        0        0       50
35849 libkchart6-3                       	       0        3        0        0        3
35850 libkcolorpicker-qt5-0              	       0       17        0        0       17
35851 libkcolorpicker-qt6-0              	       0       22        1        0       21
35852 libkcolorpicker-qt6-dev            	       0        1        1        0        0
35853 libkcompactdisc4                   	       0       15        0        0       15
35854 libkcompactdisc6-5                 	       0        8        0        0        8
35855 libkcpyplug0                       	       0        1        0        0        1
35856 libkdb-data                        	       0       12        0        0       12
35857 libkdb3-4                          	       0        2        0        0        2
35858 libkdb3-4abi1                      	       0       11        0        0       11
35859 libkdb3-dev                        	       0        1        0        1        0
35860 libkdb3-driver-mysql               	       0        5        0        0        5
35861 libkdb3-driver-postgresql          	       0        3        0        0        3
35862 libkdb3-driver-sqlite              	       0       12       11        1        0
35863 libkdb5-10t64                      	       0       48        0        0       48
35864 libkdb5-4                          	       0       14        0        0       14
35865 libkdb5-6                          	       0        6        0        0        6
35866 libkdb5-7                          	       0        4        0        0        4
35867 libkdb5-8                          	       0       14        0        0       14
35868 libkdb5-9                          	       0       20        0        0       20
35869 libkdc2-heimdal                    	       0       14        1        0       13
35870 libkdcraw-data                     	       0        9        0        0        9
35871 libkdcraw23                        	       0        3        0        0        3
35872 libkdcraw3-trinity                 	       0       11        0        0       11
35873 libkdcrawqt6-5                     	       0       27        1        0       26
35874 libkdcrawqt6-dev                   	       0        1        1        0        0
35875 libkde3support4                    	       0       41        0        0       41
35876 libkde4-ruby1.8                    	       0        1        0        0        1
35877 libkdecorations2-dev               	       0        4        4        0        0
35878 libkdecorations2private5v5         	       0       12        0        0       12
35879 libkdecorations2private9           	       0        4        1        0        3
35880 libkdecorations3-dev               	       0        1        0        1        0
35881 libkdeedu-data                     	       0        2        0        0        2
35882 libkdeedu3                         	       0        1        0        0        1
35883 libkdegames1                       	       0        1        0        0        1
35884 libkdegames5a                      	       0        1        0        0        1
35885 libkdegames6-6                     	       0       11        0        0       11
35886 libkdegames6-i18n                  	       0       12        0        0       12
35887 libkdegames6abi1                   	       0        4        0        0        4
35888 libkdegames6private6               	       0        6        0        0        6
35889 libkdegamesprivate1abi1            	       0        3        0        0        3
35890 libkdepim-data                     	       0       12        0        0       12
35891 libkdepim-plugins                  	       0        8        0        0        8
35892 libkdf5-2                          	       0        1        0        0        1
35893 libkdl-parser-dev                  	       0        1        0        0        1
35894 libkdl-parser1d                    	       0        1        0        0        1
35895 libkdsingleapplication-qt6-1.0     	       0        7        1        0        6
35896 libkdsingleapplication-qt6-dev     	       0        1        1        0        0
35897 libkdsoap-bin                      	       0        1        1        0        0
35898 libkdsoap-dev                      	       0        1        1        0        0
35899 libkdsoap-doc                      	       0        1        0        0        1
35900 libkdsoap-qt6-2                    	       0       18        0        0       18
35901 libkdsoap-server1                  	       0        1        0        0        1
35902 libkdsoapwsdiscoveryclient0        	       0       18        0        0       18
35903 libkdtree++-dev                    	       0        6        0        0        6
35904 libkdumpfile10                     	       0        1        0        0        1
35905 libkeduvocdocument-data            	       0       50        0        0       50
35906 libkeduvocdocument5                	       0        9        0        0        9
35907 libkeduvocdocument5abi1            	       0       42        0        0       42
35908 libkeduvocdocument5abi2            	       0        7        0        0        7
35909 libkexiv2-11                       	       0       16        0        0       16
35910 libkexiv2-3-trinity                	       0       11        0        0       11
35911 libkexiv2-data                     	       0       20        0        0       20
35912 libkexiv2qt6-dev                   	       0        1        0        1        0
35913 libkeybinder-3.0-dev               	       0        1        1        0        0
35914 libkeybinder-dev                   	       0        1        1        0        0
35915 libkeyutils-dev                    	       0        8        8        0        0
35916 libkf5activities-dev               	       0        6        6        0        0
35917 libkf5activities-doc               	       0        3        0        0        3
35918 libkf5activitiesstats-dev          	       0        4        4        0        0
35919 libkf5activitiesstats-doc          	       0        2        0        0        2
35920 libkf5akonadi-dev                  	       0        6        6        0        0
35921 libkf5akonadi-dev-bin              	       0        3        3        0        0
35922 libkf5akonadicalendar-dev          	       0        3        3        0        0
35923 libkf5akonadicalendar5             	       0        9        0        0        9
35924 libkf5akonadicontact-dev           	       0        4        4        0        0
35925 libkf5akonadicore-bin              	       0       11        0        0       11
35926 libkf5akonadicore5                 	       0       10        0        0       10
35927 libkf5akonadimime-dev              	       0        4        4        0        0
35928 libkf5akonadinotes-dev             	       0        2        2        0        0
35929 libkf5akonadiprivate5              	       0       13        0        0       13
35930 libkf5akonadisearch-data           	       0      491        0        0      491
35931 libkf5akonadisearch-dev            	       0        2        2        0        0
35932 libkf5akonadisearchcore5t64        	       0       14        1        0       13
35933 libkf5akonadisearchdebug5t64       	       0       12        0        0       12
35934 libkf5akonadisearchpim5t64         	       0       14        2        0       12
35935 libkf5akonadisearchxapian5t64      	       0       14        1        0       13
35936 libkf5akonadiserver-dev            	       0        7        7        0        0
35937 libkf5akonadiwidgets5              	       0       10        0        0       10
35938 libkf5akonadixml5                  	       0       46        0        0       46
35939 libkf5alarmcalendar-data           	       0      100        0        0      100
35940 libkf5alarmcalendar5               	       0        9        0        0        9
35941 libkf5archive-doc                  	       0       17        0        0       17
35942 libkf5attica-dev                   	       0       29       29        0        0
35943 libkf5attica-doc                   	       0       21        0        0       21
35944 libkf5auth-doc                     	       0       23        0        0       23
35945 libkf5baloo-doc                    	       0        3        0        0        3
35946 libkf5baloowidgets-dev             	       0        3        3        0        0
35947 libkf5blog5                        	       0        2        0        0        2
35948 libkf5bluezqt-dev                  	       0        3        3        0        0
35949 libkf5bluezqt-doc                  	       0        3        0        0        3
35950 libkf5bookmarks-dev                	       0       24       23        1        0
35951 libkf5bookmarks-doc                	       0       16        0        0       16
35952 libkf5calendarcore-dev             	       0        4        4        0        0
35953 libkf5calendarcore5                	       0       12        0        0       12
35954 libkf5calendarsupport-dev          	       0        3        3        0        0
35955 libkf5calendarsupport5             	       0        9        0        0        9
35956 libkf5calendarutils-dev            	       0        4        4        0        0
35957 libkf5cddb-dev                     	       0        2        2        0        0
35958 libkf5cddb5                        	       0      301        0        0      301
35959 libkf5codecs-doc                   	       0       24        0        0       24
35960 libkf5compactdisc-dev              	       0        2        2        0        0
35961 libkf5compactdisc5                 	       0       72        0        0       72
35962 libkf5completion-doc               	       0       18        0        0       18
35963 libkf5composereditorng5            	       0        1        0        0        1
35964 libkf5config-doc                   	       0       80        0        0       80
35965 libkf5configwidgets-doc            	       0       22        0        0       22
35966 libkf5contacteditor-dev            	       0        4        4        0        0
35967 libkf5contacts-data                	       0      778        1        0      777
35968 libkf5contacts-dev                 	       0        5        5        0        0
35969 libkf5coreaddons-doc               	       0       78        0        0       78
35970 libkf5crash-doc                    	       0       11        0        0       11
35971 libkf5dav-dev                      	       0        2        2        0        0
35972 libkf5dbusaddons-doc               	       0       75        0        0       75
35973 libkf5declarative-data             	       0     1104        6        0     1098
35974 libkf5declarative-dev              	       0        9        8        1        0
35975 libkf5declarative-doc              	       0        6        0        0        6
35976 libkf5dnssd-dev                    	       0        7        7        0        0
35977 libkf5dnssd-doc                    	       0        6        0        0        6
35978 libkf5doctools5                    	       0     1168        0        0     1168
35979 libkf5emoticons-bin                	       0       47        1        0       46
35980 libkf5emoticons-data               	       0       48        0        0       48
35981 libkf5emoticons-doc                	       0        7        0        0        7
35982 libkf5emoticons5                   	       0       47        2        0       45
35983 libkf5eventviews-dev               	       0        3        3        0        0
35984 libkf5eventviews5                  	       0        9        0        0        9
35985 libkf5filemetadata-dev             	       0        5        5        0        0
35986 libkf5filemetadata-doc             	       0        3        0        0        3
35987 libkf5followupreminder5            	       0       24        0        0       24
35988 libkf5gapi-data                    	       0       14        0        0       14
35989 libkf5gapiblogger5                 	       0        1        0        0        1
35990 libkf5gapicalendar5                	       0        9        0        0        9
35991 libkf5gapicontacts5                	       0        9        0        0        9
35992 libkf5gapicore5                    	       0        9        0        0        9
35993 libkf5gapitasks5                   	       0        9        0        0        9
35994 libkf5globalaccel-doc              	       0       22        0        0       22
35995 libkf5gpgmepp-pthread5             	       0       10        0        0       10
35996 libkf5grantleetheme-dev            	       0        4        4        0        0
35997 libkf5gravatar-data                	       0      480        0        0      480
35998 libkf5gravatar-dev                 	       0        2        2        0        0
35999 libkf5gravatar5                    	       0        9        0        0        9
36000 libkf5guiaddons-data               	       0      977        0        0      977
36001 libkf5guiaddons-doc                	       0       24        0        0       24
36002 libkf5holidays-dev                 	       0        3        3        0        0
36003 libkf5holidays-doc                 	       0        2        0        0        2
36004 libkf5i18n-doc                     	       0       78        0        0       78
36005 libkf5iconthemes-doc               	       0       21        0        0       21
36006 libkf5identitymanagement-dev       	       0        4        4        0        0
36007 libkf5idletime-dev                 	       0        5        5        0        0
36008 libkf5idletime-doc                 	       0        4        0        0        4
36009 libkf5imap-dev                     	       0        2        2        0        0
36010 libkf5incidenceeditor-bin          	       0       12       12        0        0
36011 libkf5incidenceeditor-data         	       0      474        0        0      474
36012 libkf5incidenceeditor-dev          	       0        1        1        0        0
36013 libkf5incidenceeditor5             	       0        9        0        0        9
36014 libkf5itemmodels-doc               	       0       12        0        0       12
36015 libkf5itemviews-dev                	       0       33       32        1        0
36016 libkf5itemviews-doc                	       0       23        0        0       23
36017 libkf5jobwidgets-dev               	       0       25       24        1        0
36018 libkf5jobwidgets-doc               	       0       17        0        0       17
36019 libkf5jsembed-data                 	       0       35        0        0       35
36020 libkf5jsembed-dev                  	       0        1        1        0        0
36021 libkf5jsembed5                     	       0       35        0        0       35
36022 libkf5kaddressbookimportexport5    	       0       86        0        0       86
36023 libkf5kcmutils-bin                 	       0      851        0        0      851
36024 libkf5kcmutils-data                	       0     1076        3        0     1073
36025 libkf5kcmutils-dev                 	       0       12       12        0        0
36026 libkf5kcmutils-doc                 	       0        9        0        0        9
36027 libkf5kdcraw-dev                   	       0        1        1        0        0
36028 libkf5kdegames-dev                 	       0        1        1        0        0
36029 libkf5kdegamesprivate1             	       0       21        2        0       19
36030 libkf5kdegamesprivate7             	       0       45        0        0       45
36031 libkf5kdelibs4support-data         	       0      580        1        0      579
36032 libkf5kdelibs4support-dev          	       0        7        6        1        0
36033 libkf5kdelibs4support5t64          	       0       26        8        0       18
36034 libkf5kdepimdbusinterfaces5        	       0       24        0        0       24
36035 libkf5kdgantt2-5                   	       0       10        0        0       10
36036 libkf5kexiv2-dev                   	       0        2        2        0        0
36037 libkf5khtml-bin                    	       0      642        0        0      642
36038 libkf5khtml-data                   	       0      667        0        0      667
36039 libkf5khtml-dev                    	       0        2        2        0        0
36040 libkf5khtml5                       	       0      661        1        0      660
36041 libkf5kio-doc                      	       0       17        0        0       17
36042 libkf5kipi-data                    	       0      133        0        0      133
36043 libkf5kipi-dev                     	       0        1        1        0        0
36044 libkf5kipi31.0.0                   	       0       12        0        0       12
36045 libkf5kirigami2-doc                	       0        6        0        0        6
36046 libkf5kjs-dev                      	       0        4        4        0        0
36047 libkf5kmahjongglib-dev             	       0        1        1        0        0
36048 libkf5konq-dev                     	       0        2        2        0        0
36049 libkf5kontactinterface-data        	       0      495        0        0      495
36050 libkf5kontactinterface-dev         	       0        1        1        0        0
36051 libkf5krosscore5                   	       0      531        0        0      531
36052 libkf5krossui5                     	       0      525        0        0      525
36053 libkf5ksieve-dev                   	       0        1        1        0        0
36054 libkf5ldap-data                    	       0      491        0        0      491
36055 libkf5ldap-dev                     	       0        2        2        0        0
36056 libkf5ldap5                        	       0       10        0        0       10
36057 libkf5libkdepim-dev                	       0        1        1        0        0
36058 libkf5libkdepim-plugins            	       0      495        0        0      495
36059 libkf5libkleo-dev                  	       0        1        1        0        0
36060 libkf5mailcommon-dev               	       0        1        1        0        0
36061 libkf5mailcommon-plugins           	       0       13        0        0       13
36062 libkf5mailcommon5                  	       0        9        0        0        9
36063 libkf5mailimporter-dev             	       0        1        1        0        0
36064 libkf5mailimporterakonadi5         	       0      452        0        0      452
36065 libkf5mailtransport-dev            	       0        2        2        0        0
36066 libkf5mbox-dev                     	       0        2        2        0        0
36067 libkf5mediaplayer-data             	       0        9        0        0        9
36068 libkf5mediaplayer-dev              	       0        2        2        0        0
36069 libkf5mediaplayer5                 	       0        8        0        0        8
36070 libkf5mediawiki-dev                	       0        1        1        0        0
36071 libkf5mediawiki5                   	       0        8        0        0        8
36072 libkf5messagecomposer-dev          	       0        1        1        0        0
36073 libkf5messagecomposer5             	       0        9        0        0        9
36074 libkf5messagecomposer5abi1t64      	       0       12        1        0       11
36075 libkf5messagecore-dev              	       0        2        2        0        0
36076 libkf5messagecore5                 	       0        9        0        0        9
36077 libkf5messagecore5abi1t64          	       0       12        1        0       11
36078 libkf5messagelist-dev              	       0        2        2        0        0
36079 libkf5messagelist5                 	       0        9        0        0        9
36080 libkf5messagelist5abi1t64          	       0       12        1        0       11
36081 libkf5messageviewer-dev            	       0        2        2        0        0
36082 libkf5messageviewer5               	       0        9        0        0        9
36083 libkf5messageviewer5abi1t64        	       0       12        1        0       11
36084 libkf5mime-dev                     	       0        6        6        0        0
36085 libkf5mime5                        	       0       11        0        0       11
36086 libkf5mimetreeparser-dev           	       0        2        2        0        0
36087 libkf5mimetreeparser5abi1t64       	       0       12        1        0       11
36088 libkf5modemmanagerqt-doc           	       0        1        0        0        1
36089 libkf5networkmanagerqt-dev         	       0        2        2        0        0
36090 libkf5networkmanagerqt-doc         	       0        1        0        0        1
36091 libkf5newstuff-dev                 	       0       10       10        0        0
36092 libkf5newstuff-doc                 	       0        8        0        0        8
36093 libkf5notifications-dev            	       0       18       17        1        0
36094 libkf5notifications-doc            	       0       15        0        0       15
36095 libkf5notifyconfig-data            	       0      902        0        0      902
36096 libkf5notifyconfig-dev             	       0       10       10        0        0
36097 libkf5notifyconfig-doc             	       0        9        0        0        9
36098 libkf5package-doc                  	       0       64        0        0       64
36099 libkf5parts-data                   	       0     1032        9        1     1022
36100 libkf5parts-dev                    	       0       14       13        1        0
36101 libkf5parts-doc                    	       0       10        0        0       10
36102 libkf5parts-plugins                	       0      974        0        0      974
36103 libkf5people-data                  	       0      765        0        0      765
36104 libkf5people-dev                   	       0        4        4        0        0
36105 libkf5people-doc                   	       0        1        0        0        1
36106 libkf5pimcommon-dev                	       0        2        2        0        0
36107 libkf5pimcommon-plugins            	       0       13        0        0       13
36108 libkf5pimcommon5                   	       0        9        0        0        9
36109 libkf5pimtextedit-dev              	       0        4        4        0        0
36110 libkf5pimtextedit-plugins          	       0      488        0        0      488
36111 libkf5pimtextedit5                 	       0        9        0        0        9
36112 libkf5plasma-doc                   	       0       61        0        0       61
36113 libkf5plotting-dev                 	       0        6        6        0        0
36114 libkf5plotting-doc                 	       0        5        0        0        5
36115 libkf5plotting5                    	       0      117        1        0      116
36116 libkf5prison-dev                   	       0        6        6        0        0
36117 libkf5prison-doc                   	       0        3        0        0        3
36118 libkf5prisonscanner5               	       0      632        0        0      632
36119 libkf5pty-data                     	       0      758        0        0      758
36120 libkf5pty-dev                      	       0        5        5        0        0
36121 libkf5pty-doc                      	       0        4        0        0        4
36122 libkf5pulseaudioqt-dev             	       0        3        3        0        0
36123 libkf5pulseaudioqt-doc             	       0        1        0        0        1
36124 libkf5pulseaudioqt2-doc            	       0        1        0        0        1
36125 libkf5pulseaudioqt4                	       0        2        0        0        2
36126 libkf5pulseaudioqt5                	       0       23        0        0       23
36127 libkf5purpose-dev                  	       0        3        3        0        0
36128 libkf5qgpgme5                      	       0       10        0        0       10
36129 libkf5qqc2desktopstyle-dev         	       0        2        0        0        2
36130 libkf5runner-dev                   	       0        4        4        0        0
36131 libkf5runner-doc                   	       0        1        0        0        1
36132 libkf5sane-data                    	       0       93        0        0       93
36133 libkf5sane-dev                     	       0        2        2        0        0
36134 libkf5sane5                        	       0       92        0        0       92
36135 libkf5screen-dev                   	       0        2        2        0        0
36136 libkf5sendlater5                   	       0       24        0        0       24
36137 libkf5service-data                 	       0     1204        0        0     1204
36138 libkf5service-doc                  	       0       73        0        0       73
36139 libkf5solid-bin                    	       0        2        2        0        0
36140 libkf5solid-doc                    	       0       16        0        0       16
36141 libkf5sonnet-dev                   	       0       19       18        1        0
36142 libkf5sonnet-dev-bin               	       0       19       18        1        0
36143 libkf5sonnet-doc                   	       0       13        0        0       13
36144 libkf5style-dev                    	       0        3        3        0        0
36145 libkf5su-bin                       	       0      613        0        0      613
36146 libkf5su-data                      	       0      616        0        0      616
36147 libkf5su-dev                       	       0        4        4        0        0
36148 libkf5su-doc                       	       0        4        0        0        4
36149 libkf5su5                          	       0      613        2        0      611
36150 libkf5syndication-dev              	       0        3        3        0        0
36151 libkf5syndication5                 	       0       10        0        0       10
36152 libkf5syntaxhighlighting-dev       	       0        9        9        0        0
36153 libkf5syntaxhighlighting-doc       	       0        6        0        0        6
36154 libkf5sysguard-dev                 	       0        9        9        0        0
36155 libkf5templateparser-dev           	       0        1        1        0        0
36156 libkf5templateparser5t64           	       0       12        1        0       11
36157 libkf5texteditor-dev               	       0        7        7        0        0
36158 libkf5texteditor-doc               	       0        5        0        0        5
36159 libkf5textwidgets-data             	       0     1194        2        0     1192
36160 libkf5textwidgets-dev              	       0       16       15        1        0
36161 libkf5textwidgets-doc              	       0       12        0        0       12
36162 libkf5threadweaver-dev             	       0        9        9        0        0
36163 libkf5threadweaver-doc             	       0        7        0        0        7
36164 libkf5tnef-dev                     	       0        1        1        0        0
36165 libkf5torrent6                     	       0        7        0        0        7
36166 libkf5torrent6abi1                 	       0       15        0        0       15
36167 libkf5torrent6abi2                 	       0        1        0        0        1
36168 libkf5torrent6abi3                 	       0       54        3        0       51
36169 libkf5unitconversion-dev           	       0        8        7        1        0
36170 libkf5unitconversion-doc           	       0        6        0        0        6
36171 libkf5wallet-dev                   	       0        8        8        0        0
36172 libkf5wallet-doc                   	       0        7        0        0        7
36173 libkf5wayland-dev                  	       0        6        6        0        0
36174 libkf5wayland-doc                  	       0        3        0        0        3
36175 libkf5webengineviewer-dev          	       0        1        1        0        0
36176 libkf5webengineviewer5abi1t64      	       0       14        1        0       13
36177 libkf5webkit-dev                   	       0        3        3        0        0
36178 libkf5webkit5                      	       0       36        1        0       35
36179 libkf5widgetsaddons-doc            	       0       25        0        0       25
36180 libkf5windowsystem-doc             	       0       81        0        0       81
36181 libkf5xmlgui-bin                   	       0     1117        0        0     1117
36182 libkf5xmlgui-doc                   	       0       21        0        0       21
36183 libkf5xmlrpcclient-data            	       0       44        0        0       44
36184 libkf5xmlrpcclient-dev             	       0        1        1        0        0
36185 libkf5xmlrpcclient-doc             	       0        1        0        0        1
36186 libkf5xmlrpcclient5                	       0       40        0        0       40
36187 libkf6archive-dev                  	       0        3        3        0        0
36188 libkf6archive-doc                  	       0        3        0        0        3
36189 libkf6attica-dev                   	       0        1        1        0        0
36190 libkf6attica-doc                   	       0        1        0        0        1
36191 libkf6auth-dev                     	       0        1        0        1        0
36192 libkf6auth-dev-bin                 	       0        1        1        0        0
36193 libkf6auth-doc                     	       0        1        0        0        1
36194 libkf6baloo-dev                    	       0        1        0        1        0
36195 libkf6baloo-doc                    	       0        1        0        0        1
36196 libkf6bluezqt-data                 	       0       55        0        0       55
36197 libkf6bluezqt-dev                  	       0        1        0        1        0
36198 libkf6bluezqt-doc                  	       0        1        0        0        1
36199 libkf6bookmarks-dev                	       0        3        2        1        0
36200 libkf6bookmarks-doc                	       0        3        0        0        3
36201 libkf6breezeicons-dev              	       0        1        1        0        0
36202 libkf6calendarcore-dev             	       0        1        0        1        0
36203 libkf6calendarcore-doc             	       0        1        0        0        1
36204 libkf6codecs-dev                   	       0        3        3        0        0
36205 libkf6codecs-doc                   	       0        3        0        0        3
36206 libkf6colorscheme-dev              	       0        3        2        1        0
36207 libkf6colorscheme-doc              	       0        3        0        0        3
36208 libkf6completion-dev               	       0        3        2        1        0
36209 libkf6completion-doc               	       0        3        0        0        3
36210 libkf6config-dev                   	       0        4        3        1        0
36211 libkf6config-dev-bin               	       0        4        4        0        0
36212 libkf6config-doc                   	       0        4        0        0        4
36213 libkf6configwidgets-dev            	       0        3        2        1        0
36214 libkf6configwidgets-doc            	       0        3        0        0        3
36215 libkf6contacts-data                	       0       47        0        0       47
36216 libkf6contacts-dev                 	       0        1        0        1        0
36217 libkf6contacts-doc                 	       0        1        0        0        1
36218 libkf6coreaddons-dev               	       0        4        3        1        0
36219 libkf6coreaddons-doc               	       0        4        0        0        4
36220 libkf6crash-dev                    	       0        1        0        1        0
36221 libkf6crash-doc                    	       0        1        0        0        1
36222 libkf6dav-dev                      	       0        1        0        1        0
36223 libkf6dav-doc                      	       0        1        0        0        1
36224 libkf6dbusaddons-dev               	       0        1        0        1        0
36225 libkf6dbusaddons-doc               	       0        1        0        0        1
36226 libkf6declarative-data             	       0       31        1        0       30
36227 libkf6declarative-dev              	       0        1        0        1        0
36228 libkf6declarative-doc              	       0        1        0        0        1
36229 libkf6dnssd-data                   	       0       29        0        0       29
36230 libkf6dnssd-dev                    	       0        1        1        0        0
36231 libkf6dnssd-doc                    	       0        1        0        0        1
36232 libkf6dnssd6                       	       0       29        0        0       29
36233 libkf6doctools-dev                 	       0        3        3        0        0
36234 libkf6doctools-doc                 	       0        3        0        0        3
36235 libkf6doctools6                    	       0       83        0        0       83
36236 libkf6filemetadata-bin             	       0       43        1        0       42
36237 libkf6filemetadata-data            	       0       47        0        0       47
36238 libkf6filemetadata-dev             	       0        1        0        1        0
36239 libkf6filemetadata-doc             	       0        1        0        0        1
36240 libkf6globalaccel-dev              	       0        2        1        1        0
36241 libkf6globalaccel-doc              	       0        2        0        0        2
36242 libkf6guiaddons-data               	       0       96        0        0       96
36243 libkf6guiaddons-dev                	       0        3        2        1        0
36244 libkf6guiaddons-doc                	       0        3        0        0        3
36245 libkf6holidays-dev                 	       0        1        0        1        0
36246 libkf6holidays-doc                 	       0        1        0        0        1
36247 libkf6i18n-dev                     	       0        3        2        1        0
36248 libkf6i18n-doc                     	       0        3        0        0        3
36249 libkf6iconthemes-data              	       0       85        0        0       85
36250 libkf6iconthemes-dev               	       0        2        1        1        0
36251 libkf6iconthemes-doc               	       0        2        0        0        2
36252 libkf6idletime-dev                 	       0        1        0        1        0
36253 libkf6idletime-doc                 	       0        1        0        0        1
36254 libkf6itemmodels-dev               	       0        1        0        1        0
36255 libkf6itemmodels-doc               	       0        1        0        0        1
36256 libkf6itemviews-dev                	       0        3        3        0        0
36257 libkf6itemviews-doc                	       0        3        0        0        3
36258 libkf6jobwidgets-dev               	       0        3        2        1        0
36259 libkf6jobwidgets-doc               	       0        3        0        0        3
36260 libkf6kcmutils-data                	       0       84        0        0       84
36261 libkf6kcmutils-dev                 	       0        1        0        1        0
36262 libkf6kcmutils-doc                 	       0        1        0        0        1
36263 libkf6kio-dev                      	       0        3        2        1        0
36264 libkf6kio-doc                      	       0        3        0        0        3
36265 libkf6modemmanagerqt-dev           	       0        1        1        0        0
36266 libkf6modemmanagerqt-doc           	       0        1        0        0        1
36267 libkf6networkmanagerqt-dev         	       0        1        0        1        0
36268 libkf6networkmanagerqt-doc         	       0        1        0        0        1
36269 libkf6newstuff-data                	       0       49        0        0       49
36270 libkf6newstuff-dev                 	       0        1        0        1        0
36271 libkf6newstuff-doc                 	       0        1        0        0        1
36272 libkf6notifications-dev            	       0        3        2        1        0
36273 libkf6notifications-doc            	       0        3        0        0        3
36274 libkf6notifyconfig-data            	       0       43        0        0       43
36275 libkf6notifyconfig-dev             	       0        1        0        1        0
36276 libkf6notifyconfig-doc             	       0        1        0        0        1
36277 libkf6package-data                 	       0       58        0        0       58
36278 libkf6package-dev                  	       0        1        0        1        0
36279 libkf6package-doc                  	       0        1        0        0        1
36280 libkf6parts-data                   	       0       64        0        0       64
36281 libkf6parts-dev                    	       0        2        1        1        0
36282 libkf6parts-doc                    	       0        2        0        0        2
36283 libkf6people-data                  	       0       46        0        0       46
36284 libkf6people-dev                   	       0        1        0        1        0
36285 libkf6people-doc                   	       0        1        0        0        1
36286 libkf6people6                      	       0       45        0        0       45
36287 libkf6peoplebackend6               	       0       46        0        0       46
36288 libkf6peoplewidgets6               	       0        1        0        0        1
36289 libkf6plotting-dev                 	       0        1        1        0        0
36290 libkf6plotting-doc                 	       0        1        0        0        1
36291 libkf6plotting6                    	       0       14        0        0       14
36292 libkf6prison-dev                   	       0        1        0        1        0
36293 libkf6prison-doc                   	       0        1        0        0        1
36294 libkf6pty-data                     	       0       44        0        0       44
36295 libkf6pty-dev                      	       0        1        0        1        0
36296 libkf6pty-doc                      	       0        1        0        0        1
36297 libkf6pulseaudioqt-dev             	       0        1        1        0        0
36298 libkf6pulseaudioqt-doc             	       0        1        0        0        1
36299 libkf6pulseaudioqt4                	       0        1        0        0        1
36300 libkf6purpose-bin                  	       0       54        0        0       54
36301 libkf6purpose-data                 	       0       56        0        0       56
36302 libkf6purpose-dev                  	       0        1        0        1        0
36303 libkf6qqc2desktopstyle-dev         	       0        1        0        0        1
36304 libkf6runner-dev                   	       0        1        0        1        0
36305 libkf6runner-doc                   	       0        1        0        0        1
36306 libkf6service-data                 	       0       84        0        0       84
36307 libkf6service-dev                  	       0        3        2        1        0
36308 libkf6service-doc                  	       0        3        0        0        3
36309 libkf6solid-bin                    	       0        1        1        0        0
36310 libkf6solid-dev                    	       0        3        3        0        0
36311 libkf6solid-doc                    	       0        3        0        0        3
36312 libkf6sonnet-dev                   	       0        1        0        1        0
36313 libkf6sonnet-dev-bin               	       0        1        1        0        0
36314 libkf6sonnet-doc                   	       0        1        0        0        1
36315 libkf6statusnotifieritem-dev       	       0        1        0        1        0
36316 libkf6statusnotifieritem-doc       	       0        1        0        0        1
36317 libkf6style-dev                    	       0        1        0        1        0
36318 libkf6su-bin                       	       0       33        0        0       33
36319 libkf6su-data                      	       0       35        0        0       35
36320 libkf6su-dev                       	       0        1        0        1        0
36321 libkf6su-doc                       	       0        1        0        0        1
36322 libkf6svg-dev                      	       0        1        0        1        0
36323 libkf6svg-doc                      	       0        1        0        0        1
36324 libkf6syndication-dev              	       0        1        1        0        0
36325 libkf6syndication-doc              	       0        1        0        0        1
36326 libkf6syntaxhighlighting-dev       	       0        2        1        1        0
36327 libkf6syntaxhighlighting-doc       	       0        2        0        0        2
36328 libkf6syntaxhighlighting-tools     	       0        1        1        0        0
36329 libkf6textautocorrectionwidgets1   	       0        9        0        0        9
36330 libkf6texteditor-data              	       0       34        0        0       34
36331 libkf6texteditor-dev               	       0        2        1        1        0
36332 libkf6texteditor-doc               	       0        2        0        0        2
36333 libkf6texteditor-katepart          	       0       32        0        0       32
36334 libkf6texteditor6                  	       0       32        0        0       32
36335 libkf6textgrammarcheck1            	       0        9        0        0        9
36336 libkf6texttemplate-dev             	       0        1        0        1        0
36337 libkf6texttemplate-doc             	       0        1        0        0        1
36338 libkf6texttranslator1              	       0        9        0        0        9
36339 libkf6textwidgets-data             	       0       50        0        0       50
36340 libkf6textwidgets-dev              	       0        1        0        1        0
36341 libkf6textwidgets-doc              	       0        1        0        0        1
36342 libkf6threadweaver-dev             	       0        2        2        0        0
36343 libkf6threadweaver-doc             	       0        2        0        0        2
36344 libkf6unitconversion-dev           	       0        1        0        1        0
36345 libkf6unitconversion-doc           	       0        1        0        0        1
36346 libkf6userfeedback-bin             	       0        1        1        0        0
36347 libkf6userfeedback-dev             	       0        1        0        1        0
36348 libkf6userfeedback-doc             	       0       33        0        0       33
36349 libkf6wallet-dev                   	       0        1        0        1        0
36350 libkf6wallet-doc                   	       0        1        0        0        1
36351 libkf6widgetsaddons-dev            	       0        3        3        0        0
36352 libkf6widgetsaddons-doc            	       0        3        0        0        3
36353 libkf6windowsystem-dev             	       0        4        3        1        0
36354 libkf6windowsystem-doc             	       0        4        0        0        4
36355 libkf6xmlgui-dev                   	       0        2        1        1        0
36356 libkf6xmlgui-doc                   	       0        2        0        0        2
36357 libkface-data                      	       0        1        0        0        1
36358 libkfilemetadata4                  	       0        5        5        0        0
36359 libkfontinst5                      	       0      541        4        0      537
36360 libkfontinst6                      	       0       30        1        0       29
36361 libkfontinstui5                    	       0      538        2        0      536
36362 libkfontinstui6                    	       0       30        1        0       29
36363 libkgantt-dev                      	       0        2        2        0        0
36364 libkgantt-l10n                     	       0        9        0        0        9
36365 libkgantt0-trinity                 	       0       23        0        0       23
36366 libkgantt6-3                       	       0        9        0        0        9
36367 libkggzmod4                        	       0        1        0        0        1
36368 libkggznet4                        	       0        1        0        0        1
36369 libkickpass0                       	       0        1        0        0        1
36370 libkim-api2                        	       0        1        0        0        1
36371 libkimageannotator-qt5-0           	       0       17        0        0       17
36372 libkimageannotator-qt6-0           	       0       22        1        0       21
36373 libkimageannotator-qt6-dev         	       0        1        1        0        0
36374 libkimproxy4                       	       0        3        0        0        3
36375 libkinosearch1-perl                	       0        1        0        0        1
36376 libkipi-data                       	       0        2        0        0        2
36377 libkipi0-trinity                   	       0       11        0        0       11
36378 libkipi11                          	       0        2        0        0        2
36379 libkirigamiaddonsstatefulapp6      	       0        5        0        0        5
36380 libkitchensink-clojure             	       0        1        0        0        1
36381 libkiten1-trinity                  	       0       24        0        0       24
36382 libkiten5                          	       0        2        0        0        2
36383 libkitinerary-data                 	       0       10        0        0       10
36384 libkiwix11                         	       0        8        0        0        8
36385 libkiwix9                          	       0        3        0        0        3
36386 libkjsembed4                       	       0       42        0        0       42
36387 libkkc-common                      	       0        5        0        0        5
36388 libkkc-data                        	       0        5        2        0        3
36389 libkkc2                            	       0        5        2        0        3
36390 libklatexformula4                  	       0        5        0        0        5
36391 libklatexformula4-dev              	       0        1        1        0        0
36392 libklatexformula4-doc              	       0        1        0        0        1
36393 libkldap-data                      	       0       12        0        0       12
36394 libkleo-data                       	       0       10        0        0       10
36395 libkleopatra1-trinity              	       0       32        2        0       30
36396 libklibc                           	       0     4140        0        0     4140
36397 libklibc-dev                       	       0        2        1        1        0
36398 libklu1                            	       0       96        0        0       96
36399 libklu1.1.0                        	       0        1        0        0        1
36400 libklu1.2.1                        	       0        1        0        0        1
36401 libklu2                            	       0        6        0        0        6
36402 libkmahjongg6                      	       0        6        0        0        6
36403 libkmahjongglib4                   	       0        2        0        0        2
36404 libkmailtransport-data             	       0       12        0        0       12
36405 libkmailtransport-dev              	       0        1        0        1        0
36406 libkmanagesieve4                   	       0        2        0        0        2
36407 libkmediaplayer4                   	       0       40        0        0       40
36408 libkmfl0                           	       0        1        0        0        1
36409 libkmflcomp0                       	       0        1        0        0        1
36410 libkml-dev                         	       0       42       42        0        0
36411 libkml0                            	       0        5        0        0        5
36412 libkmlbase1t64                     	       0       62        0        0       62
36413 libkmlconvenience1                 	       0      100        0        0      100
36414 libkmlconvenience1t64              	       0        3        0        0        3
36415 libkmldom1t64                      	       0       62        0        0       62
36416 libkmlengine1t64                   	       0       62        0        0       62
36417 libkmlregionator1                  	       0      100        0        0      100
36418 libkmlregionator1t64               	       0        3        0        0        3
36419 libkmlxsd1                         	       0       99        0        0       99
36420 libkmlxsd1t64                      	       0        3        0        0        3
36421 libkmnkbp0-0                       	       0        1        0        0        1
36422 libkmod-dev                        	       0        7        7        0        0
36423 libkmod2-dbgsym                    	       0        1        1        0        0
36424 libknet1                           	       0        2        2        0        0
36425 libknewstuff2-4                    	       0       29        0        0       29
36426 libknopflerfish-osgi-framework-java	       0       44        0        0       44
36427 libknopflerfish-osgi-java-doc      	       0        1        0        0        1
36428 libknot10                          	       0        1        0        0        1
36429 libknot11                          	       0        4        0        0        4
36430 libknot14t64                       	       0        1        1        0        0
36431 libknot15                          	       0        1        1        0        0
36432 libknot5                           	       0        1        0        0        1
36433 libknot8                           	       0        2        0        0        2
36434 libkntlm4                          	       0       41        0        0       41
36435 libkolab0                          	       0        3        0        0        3
36436 libkolab1                          	       0        9        0        0        9
36437 libkolab2                          	       0        1        0        0        1
36438 libkolabxml1                       	       0        3        0        0        3
36439 libkolabxml1v5                     	       0      502        1        0      501
36440 libkomparediff2-4                  	       0        1        0        0        1
36441 libkomparediff2-5                  	       0       97        0        0       97
36442 libkomparediff2-6                  	       0       11        0        0       11
36443 libkomparediff2-data               	       0       12        0        0       12
36444 libkomparediff2-dev                	       0        1        0        1        0
36445 libkompareinterface5               	       0       65        0        0       65
36446 libkompareinterface6               	       0        6        0        0        6
36447 libkonq-dev                        	       0        1        0        1        0
36448 libkonq4-trinity-dev               	       0        1        1        0        0
36449 libkonq5-templates                 	       0       24        0        0       24
36450 libkonqsidebarplugin4a             	       0       14        0        0       14
36451 libkontactinterface-data           	       0       11        0        0       11
36452 libkontactinterface-dev            	       0        1        0        1        0
36453 libkontactinterface4a              	       0        4        0        0        4
36454 libkopete4                         	       0       26        0        0       26
36455 libkpathsea-dev                    	       0        4        4        0        0
36456 libkpathsea4                       	       0        3        0        0        3
36457 libkpathsea5                       	       0        7        0        0        7
36458 libkpathsea6                       	       0     2663        1        0     2662
36459 libkpeople-data                    	       0        1        0        0        1
36460 libkpim6addressbookimportexport6   	       0        9        0        0        9
36461 libkpim6akonadisearchdebug6        	       0        9        0        0        9
36462 libkpim6akonadixml6                	       0        5        0        0        5
36463 libkpim6calendarsupport6           	       0        9        0        0        9
36464 libkpim6eventviews6                	       0        9        0        0        9
36465 libkpim6gapicalendar6              	       0        9        0        0        9
36466 libkpim6gapidrive6                 	       0        2        0        0        2
36467 libkpim6gapipeople6                	       0        9        0        0        9
36468 libkpim6gapitasks6                 	       0        9        0        0        9
36469 libkpim6importwizard6              	       0        9        0        0        9
36470 libkpim6incidenceeditor6           	       0        9        0        0        9
36471 libkpim6itinerary6                 	       0        9        0        0        9
36472 libkpim6kmanagesieve6              	       0        9        0        0        9
36473 libkpim6kontactinterface6          	       0       10        0        0       10
36474 libkpim6ksieve6                    	       0        9        0        0        9
36475 libkpim6ksievecore6                	       0        9        0        0        9
36476 libkpim6ksieveui6                  	       0        9        0        0        9
36477 libkpim6ldapwidgets6               	       0        9        0        0        9
36478 libkpim6mailimporterakonadi6       	       0        9        0        0        9
36479 libkpim6mimetreeparsercore6        	       0        5        0        0        5
36480 libkpim6mimetreeparserwidgets6     	       0        5        0        0        5
36481 libkpim6pimcommonactivities6       	       0       10        0        0       10
36482 libkpim6pkpass6                    	       0        9        0        0        9
36483 libkpim6smtp6                      	       0        9        0        0        9
36484 libkpim6tnef6                      	       0        9        0        0        9
36485 libkpimaddressbookimportexport5    	       0      381        1        0      380
36486 libkpimexchange1-trinity           	       0       29       27        2        0
36487 libkpimgapidrive5                  	       0       15        0        0       15
36488 libkpimgapimaps5                   	       0        1        0        0        1
36489 libkpimidentities1-trinity         	       0       31        2        0       29
36490 libkpimimportwizard-dev            	       0        1        1        0        0
36491 libkpimimportwizard5               	       0      457        0        0      457
36492 libkpimitinerary-data              	       0      461        0        0      461
36493 libkpimkdav-data                   	       0       17        0        0       17
36494 libkpimkdav5abi2                   	       0       16        0        0       16
36495 libkpimtextedit-data               	       0       12        0        0       12
36496 libkpipewire-dev                   	       0        2        1        1        0
36497 libkpipewiredmabuf5                	       0      415        0        0      415
36498 libkpipewirerecord5                	       0      416        0        0      416
36499 libkpmcore10                       	       0       78        0        0       78
36500 libkpmcore12                       	       0      475        1        1      473
36501 libkpmcore4                        	       0        1        0        0        1
36502 libkpmcore7                        	       0        2        0        0        2
36503 libkproperty-data                  	       0       12        0        0       12
36504 libkproperty3-dev                  	       0        1        1        0        0
36505 libkpropertycore3-4                	       0       11        0        0       11
36506 libkpropertywidgets3-4             	       0       11        0        0       11
36507 libkqueue-dev                      	       0        1        1        0        0
36508 libkqueue0                         	       0        2        0        0        2
36509 libkrad0                           	       0       14        0        0       14
36510 libkrb5-26t64-heimdal              	       0        2        0        0        2
36511 libkrb53                           	       0        1        0        0        1
36512 libkreport-data                    	       0       12        0        0       12
36513 libkreport3-4                      	       0       11        0        0       11
36514 libkreport3-dev                    	       0        1        1        0        0
36515 libkreport3-plugin-barcode         	       0        1        0        0        1
36516 libkrosscore4                      	       0       42        0        0       42
36517 libkrossui4                        	       0        6        0        0        6
36518 libkryo-java                       	       0       47        0        0       47
36519 libksane-data                      	       0       20        0        0       20
36520 libksane-dev                       	       0        1        1        0        0
36521 libksane0                          	       0        3        0        0        3
36522 libksanecore-dev                   	       0        1        0        1        0
36523 libksanecore1                      	       0       45        0        0       45
36524 libksba-dev                        	       0        7        7        0        0
36525 libksba-mingw-w64-dev              	       0        2        2        0        0
36526 libkscan1                          	       0        1        0        0        1
36527 libkscan1-trinity                  	       0       28        0        0       28
36528 libkscreen-dev                     	       0        1        0        1        0
36529 libkscreen-doc                     	       0        1        0        0        1
36530 libkscreensaver5                   	       0        5        0        0        5
36531 libkseexpr-data                    	       0      119        0        0      119
36532 libksgrd7                          	       0       26        0        0       26
36533 libksgrd9                          	       0      510       11        0      499
36534 libkshark2                         	       0        3        0        0        3
36535 libksieve-data                     	       0       11        0        0       11
36536 libksieve-dev                      	       0        1        0        1        0
36537 libksieve0-trinity                 	       0       29        1        0       28
36538 libksieve4                         	       0        2        0        0        2
36539 libksieveui4                       	       0        2        0        0        2
36540 libksignalplotter4                 	       0        5        0        0        5
36541 libksignalplotter7                 	       0       25        0        0       25
36542 libksignalplotter9                 	       0       92        2        0       90
36543 libksmtp-data                      	       0       10        0        0       10
36544 libksquirrel0-trinity              	       0        3        3        0        0
36545 libksysguard-bin                   	       0        5        0        5        0
36546 libksysguard-data                  	       0       31        2        0       29
36547 libksysguardsensorfaces2           	       0       30        3        0       27
36548 libksysguardsensors2               	       0       30        3        0       27
36549 libksysguardsystemstats2           	       0       30        3        0       27
36550 libktextaddons-data                	       0       12        0        0       12
36551 libktnef-data                      	       0        9        0        0        9
36552 libktnef-dev                       	       0        1        0        1        0
36553 libktnef4                          	       0        4        0        0        4
36554 libktoblzcheck1-dev                	       0        2        2        0        0
36555 libktoblzcheck1c2a                 	       0        1        1        0        0
36556 libktoblzcheck1v5                  	       0       16        8        0        8
36557 libktorrent-dev                    	       0        2        2        0        0
36558 libktorrent-l10n                   	       0       45        2        0       43
36559 libktorrent5                       	       0        6        0        0        6
36560 libktpcommoninternals9             	       0        2        0        0        2
36561 libktpcommoninternals9abi1         	       0        9        4        0        5
36562 libktplogger9                      	       0        1        0        0        1
36563 libktplogger9abi1                  	       0        8        2        0        6
36564 libktpmodels9                      	       0        1        0        0        1
36565 libktpmodels9abi1                  	       0        9        4        0        5
36566 libktpotr9                         	       0        5        0        0        5
36567 libktpwidgets9                     	       0        8        4        0        4
36568 libkutils4                         	       0        7        0        0        7
36569 libkvazaar-dev                     	       0        2        2        0        0
36570 libkvazaar3                        	       0       13        0        0       13
36571 libkvilib5                         	       0       13        0        0       13
36572 libkvutils10                       	       0        1        0        0        1
36573 libkweathercore-data               	       0        1        0        0        1
36574 libkweathercore6                   	       0        1        0        0        1
36575 libkwineffects13                   	       0        1        1        0        0
36576 libkwineffects9                    	       0       10        0        0       10
36577 libkwinglesutils1                  	       0        5        0        0        5
36578 libkwinglutils13                   	       0        1        1        0        0
36579 libkwinglutils9                    	       0        9        0        0        9
36580 libkwinxrenderutils13              	       0        1        1        0        0
36581 libkwinxrenderutils9               	       0       10        0        0       10
36582 libkxl0                            	       0        3        0        0        3
36583 libkxml2-java                      	       0       59        0        0       59
36584 libkxmlrpcclient4                  	       0       23        0        0       23
36585 libkyotocabinet-dev                	       0        3        3        0        0
36586 libkyotocabinet16                  	       0        4        0        0        4
36587 libkyotocabinet16v5                	       0      207        0        0      207
36588 liblab-gamut1                      	       0     1719        0        0     1719
36589 liblablgl-ocaml                    	       0        4        4        0        0
36590 liblablgl-ocaml-dev                	       0        3        3        0        0
36591 liblablgtk2-gl-ocaml               	       0        1        1        0        0
36592 liblablgtk2-ocaml                  	       0        2        2        0        0
36593 liblablgtk3-ocaml                  	       0        3        3        0        0
36594 liblablgtk3-ocaml-dev              	       0        2        2        0        0
36595 liblablgtk3-ocaml-doc              	       0        2        0        0        2
36596 liblablgtksourceview3-ocaml        	       0        1        1        0        0
36597 liblabltk-ocaml                    	       0        5        5        0        0
36598 liblabltk-ocaml-dev                	       0        3        3        0        0
36599 libladr4                           	       0        3        0        0        3
36600 liblaf-plugin-java                 	       0       20        0        0       20
36601 liblaf-widget-java                 	       0        6        0        0        6
36602 liblam4                            	       0        2        0        0        2
36603 liblangtag-common                  	       0     2885        0        0     2885
36604 liblangtag-dev                     	       0        2        2        0        0
36605 liblangtag-gobject0                	       0        2        0        0        2
36606 liblanguage-detector-java          	       0        2        0        0        2
36607 liblapack-dev                      	       0      179        4        0      175
36608 liblapack-doc                      	       0       12        0        0       12
36609 liblapack-test                     	       0        2        0        0        2
36610 liblapack64-3                      	       0        1        0        0        1
36611 liblapack64-dev                    	       0        1        0        0        1
36612 liblapack64-test                   	       0        1        0        0        1
36613 liblapacke                         	       0       13        0        0       13
36614 liblapacke-dev                     	       0        3        3        0        0
36615 liblapacke64                       	       0        1        0        0        1
36616 liblapacke64-dev                   	       0        1        0        0        1
36617 liblas-bin                         	       0        1        1        0        0
36618 liblas-c3                          	       0        3        0        0        3
36619 liblas3                            	       0        3        0        0        3
36620 liblaser-geometry-dev              	       0        1        1        0        0
36621 liblaser-geometry0d                	       0        1        0        0        1
36622 liblash-compat-1debian0            	       0        1        0        0        1
36623 liblash-compat-dev                 	       0        1        1        0        0
36624 liblasi0                           	       0        1        0        0        1
36625 liblasso3                          	       0        1        0        0        1
36626 liblastfm-fingerprint5-1           	       0        3        0        0        3
36627 liblastfm-java                     	       0        1        0        0        1
36628 liblastfm-ocaml-dev                	       0        1        1        0        0
36629 liblastfm1                         	       0        8        0        0        8
36630 liblastfm5-dev                     	       0        3        3        0        0
36631 liblaszip-api8                     	       0        1        0        0        1
36632 liblaszip-dev                      	       0        1        1        0        0
36633 liblaszip8                         	       0       16        0        0       16
36634 liblavfile-2.0-0                   	       0        2        0        0        2
36635 liblavfile-2.1-0                   	       0       33        0        0       33
36636 liblavfile-2.1-0t64                	       0        2        0        0        2
36637 liblavfile-2.2-0                   	       0       17        0        0       17
36638 liblavfile-2.2-0t64                	       0        8        0        0        8
36639 liblavjpeg-2.0-0                   	       0        3        0        0        3
36640 liblavjpeg-2.1-0                   	       0       34        0        0       34
36641 liblavjpeg-2.1-0t64                	       0        2        0        0        2
36642 liblavjpeg-2.2-0                   	       0       17        0        0       17
36643 liblavjpeg-2.2-0t64                	       0        8        0        0        8
36644 liblavplay-2.0-0                   	       0        2        0        0        2
36645 liblavplay-2.1-0                   	       0       33        0        0       33
36646 liblavplay-2.1-0t64                	       0        2        0        0        2
36647 liblavplay-2.2-0                   	       0       17        0        0       17
36648 liblavplay-2.2-0t64                	       0        8        0        0        8
36649 liblayershellqtinterface-dev       	       0        2        1        1        0
36650 liblayout-java                     	       0      728        0        0      728
36651 liblayout-java-doc                 	       0        1        0        0        1
36652 liblazymap-clojure                 	       0        1        0        0        1
36653 liblbfgs-dev                       	       0        2        2        0        0
36654 liblbfgs0                          	       0        3        0        0        3
36655 liblbfgsb-dev                      	       0        1        0        0        1
36656 liblbfgsb-examples                 	       0        1        0        1        0
36657 liblbfgsb0                         	       0      537        1        0      536
36658 liblcgdm-dev                       	       0        1        0        0        1
36659 liblcgdm1                          	       0        1        0        0        1
36660 liblcmaps-dev                      	       0        1        0        0        1
36661 liblcmaps-without-gsi-dev          	       0        1        0        0        1
36662 liblcmaps-without-gsi0             	       0        1        0        0        1
36663 liblcmaps0                         	       0        1        0        0        1
36664 liblcms-utils                      	       0        2        2        0        0
36665 liblcms1                           	       0       30        0        0       30
36666 liblcms1-dev                       	       0        2        2        0        0
36667 liblcomp1-dev                      	       0        2        2        0        0
36668 libldacbt-abr-dev                  	       0        1        1        0        0
36669 libldacbt-enc-dev                  	       0        1        1        0        0
36670 libldap-common                     	       0     4070        0        0     4070
36671 libldap2-dev                       	       0      123       47        0       76
36672 libldb-dev                         	       0        8        7        1        0
36673 libldl2                            	       0       31        0        0       31
36674 libldl2.0.1                        	       0        1        0        0        1
36675 libldl2.1.0                        	       0        1        0        0        1
36676 libldl3                            	       0        4        0        0        4
36677 libldm-1.0-0                       	       0      108        0        0      108
36678 libldm-1.0-0t64                    	       0        4        0        0        4
36679 libldm-dev                         	       0        1        1        0        0
36680 libldns-dev                        	       0        5        5        0        0
36681 libldns1                           	       0        3        0        0        3
36682 libldns2                           	       0        1        0        0        1
36683 libldns3                           	       0       21        1        0       20
36684 libldns3t64                        	       0        4        0        0        4
36685 libleatherman-data                 	       0        1        0        0        1
36686 libleatherman1.12.1                	       0        4        0        0        4
36687 libleatherman1.4.0                 	       0        1        0        0        1
36688 libleatherman1.4.2                 	       0       10        0        0       10
36689 liblensfun-bin                     	       0        3        3        0        0
36690 liblensfun-data                    	       0       19        0        0       19
36691 liblensfun-data-v1                 	       0      315        0        0      315
36692 liblensfun-dev                     	       0       12       11        1        0
36693 liblensfun-doc                     	       0        1        0        0        1
36694 liblensfun0                        	       0       16        0        0       16
36695 liblensfun1                        	       0      312        0        0      312
36696 liblept3                           	       0        2        0        0        2
36697 liblept4                           	       0        8        0        0        8
36698 libleptonica6                      	       0       48        1        0       47
36699 liblerc3                           	       0        3        1        0        2
36700 liblessen-java                     	       0        2        0        0        2
36701 libleveldb-api-java                	       0        1        0        0        1
36702 libleveldb-dev                     	       0       18       18        0        0
36703 libleveldb-java                    	       0        1        0        0        1
36704 libleveldb1v5                      	       0        7        0        0        7
36705 liblexical-sealrequirehints-perl   	       0        9        0        0        9
36706 liblexical-underscore-perl         	       0        5        5        0        0
36707 liblfi0                            	       0        1        0        0        1
36708 liblfunction-dev                   	       0        9        9        0        0
36709 liblfunction0                      	       0        1        0        0        1
36710 liblfunction1                      	       0       11        0        0       11
36711 liblhasa-dev                       	       0        1        1        0        0
36712 liblhasa0                          	       0       77        0        0       77
36713 liblib-abs-perl                    	       0        1        1        0        0
36714 libliberator-clojure               	       0        1        0        0        1
36715 liblibrary-callnumber-lc-perl      	       0        3        3        0        0
36716 liblief-dev                        	       0        1        1        0        0
36717 liblief0                           	       0        1        0        0        1
36718 libliftoff-dev                     	       0        1        1        0        0
36719 libliftoff0                        	       0       53        3        0       50
36720 liblightcouch-java                 	       0      143        0        0      143
36721 liblightdm-gobject-1-0-dbgsym      	       0        1        0        1        0
36722 liblightdm-gobject-dev             	       0        4        3        1        0
36723 liblightdm-qt-3-0                  	       0        1        0        0        1
36724 liblightdm-qt-dev                  	       0        1        1        0        0
36725 liblightdm-qt5-3-0                 	       0        7        0        0        7
36726 liblightdm-qt5-3-0-dbgsym          	       0        1        0        1        0
36727 liblightdm-qt5-3-dev               	       0        1        0        1        0
36728 liblilv-dev                        	       0       22       21        1        0
36729 liblimba0                          	       0        6        0        0        6
36730 liblime-doc                        	       0        1        0        0        1
36731 liblime0                           	       0       22        1        0       21
36732 liblimesuite-dev                   	       0        4        4        0        0
36733 liblimesuite20.10-1                	       0        6        0        0        6
36734 liblimesuite22.09-1                	       0       38        2        0       36
36735 liblimesuite23.11-1                	       0       10        1        0        9
36736 liblinbox-1.5.2-0                  	       0        1        0        0        1
36737 liblinbox-1.6.3-0                  	       0        1        0        0        1
36738 liblinbox-1.7.0-0                  	       0        9        0        0        9
36739 liblinbox-dev                      	       0        9        9        0        0
36740 liblinboxsage-1.5.2-0              	       0        1        0        0        1
36741 liblineak0                         	       0        1        0        0        1
36742 liblinear-dev                      	       0        6        6        0        0
36743 liblinear1                         	       0       25        0        0       25
36744 liblinear3                         	       0      162        0        0      162
36745 liblinear4                         	       0      814        0        0      814
36746 liblinearmath2.82                  	       0        1        0        0        1
36747 liblinebreak1                      	       0        1        0        0        1
36748 liblinebreak2                      	       0        3        0        0        3
36749 liblingot0                         	       0       17        0        0       17
36750 liblingua-en-fathom-perl           	       0        2        2        0        0
36751 liblingua-en-nameparse-perl        	       0        3        3        0        0
36752 liblingua-en-numbers-ordinate-perl 	       0        1        1        0        0
36753 liblingua-en-sentence-perl         	       0        2        2        0        0
36754 liblingua-en-syllable-perl         	       0        2        2        0        0
36755 liblingua-ga-gramadoir-perl        	       0        1        1        0        0
36756 liblingua-ispell-perl              	       0        3        3        0        0
36757 liblingua-stem-fr-perl             	       0        4        4        0        0
36758 liblingua-stem-it-perl             	       0        4        4        0        0
36759 liblingua-stem-ru-perl             	       0        4        4        0        0
36760 liblingua-stem-snowball-perl       	       0        3        0        0        3
36761 liblingua-stopwords-perl           	       0        1        1        0        0
36762 liblink-grammar4                   	       0        5        0        0        5
36763 liblink-grammar4-dev               	       0        1        1        0        0
36764 liblink-grammar5                   	       0       83        1        0       82
36765 liblink-grammar5t64                	       0        9        0        0        9
36766 liblinphone++10                    	       0       26        3        0       23
36767 liblinphone++11                    	       0        1        0        0        1
36768 liblinphone++11t64                 	       0        1        0        0        1
36769 liblinphone-dev                    	       0        1        1        0        0
36770 liblinphone10                      	       0       26        3        0       23
36771 liblinphone11                      	       0        1        0        0        1
36772 liblinphone11t64                   	       0        1        0        0        1
36773 liblinphone2                       	       0        1        0        0        1
36774 liblinphone4                       	       0        1        0        0        1
36775 liblinphone5                       	       0        2        0        0        2
36776 liblinux-desktopfiles-perl         	       0        1        1        0        0
36777 liblinux-distribution-perl         	       0        4        4        0        0
36778 liblinux-dvb-perl                  	       0        1        0        0        1
36779 liblinux-epoll-perl                	       0        3        0        0        3
36780 liblinux-io-prio-perl              	       0        1        1        0        0
36781 liblinuxsampler                    	       0        2        2        0        0
36782 liblip-dev                         	       0        1        1        0        0
36783 liblip2                            	       0        1        1        0        0
36784 libliquid1                         	       0       18        0        0       18
36785 libliquid1d                        	       0        1        0        0        1
36786 libliquid2d                        	       0        3        0        0        3
36787 liblirc-client0t64                 	       0      184        6        0      178
36788 liblircclient-dev                  	       0       12        0        0       12
36789 liblircclient0                     	       0       51        0        0       51
36790 liblist-someutils-xs-perl          	       0      604        0        0      604
36791 liblistaller-glib0                 	       0        2        0        0        2
36792 liblistserialsj-dev                	       0       84        0        0       84
36793 liblitehtml-dev                    	       0        1        1        0        0
36794 liblitehtml0                       	       0       50        0        0       50
36795 liblitehtml0t64                    	       0        1        0        0        1
36796 liblitl0                           	       0        1        0        0        1
36797 liblivemedia-dev                   	       0        8        8        0        0
36798 liblivemedia102                    	       0        1        0        0        1
36799 liblivemedia106                    	       0        1        0        0        1
36800 liblivemedia107                    	       0       27        0        0       27
36801 liblivemedia112                    	       0        2        0        0        2
36802 liblivemedia115                    	       0        1        0        0        1
36803 liblivemedia116                    	       0       10        0        0       10
36804 liblivemedia42                     	       0        1        0        0        1
36805 liblivemedia52                     	       0        1        0        0        1
36806 liblivemedia57                     	       0       63        0        0       63
36807 liblivemedia58                     	       0        2        0        0        2
36808 liblivemedia61                     	       0        1        0        0        1
36809 liblivemedia64                     	       0      111        0        0      111
36810 liblivemedia66                     	       0        8        0        0        8
36811 liblivemedia77                     	       0        5        0        0        5
36812 liblivemedia81                     	       0        1        0        0        1
36813 liblivemedia94                     	       0       17        0        0       17
36814 liblivetribe-jsr223-java           	       0        5        0        0        5
36815 liblizzie-java                     	       0        2        2        0        0
36816 liblld-14                          	       0        1        1        0        0
36817 liblld-14-dev                      	       0        1        1        0        0
36818 liblld-15                          	       0        1        1        0        0
36819 liblld-16                          	       0        1        1        0        0
36820 liblld-16-dev                      	       0        1        1        0        0
36821 liblld-19                          	       0        3        2        1        0
36822 liblld-19-dev                      	       0        3        2        1        0
36823 liblld-dev                         	       0        2        0        0        2
36824 liblldb-11                         	       0        4        4        0        0
36825 liblldb-13                         	       0        1        1        0        0
36826 liblldb-14-dev                     	       0        1        1        0        0
36827 liblldb-15                         	       0        1        1        0        0
36828 liblldb-16                         	       0        3        3        0        0
36829 liblldb-16-dev                     	       0        1        1        0        0
36830 liblldb-16t64                      	       0        1        1        0        0
36831 liblldb-7                          	       0        1        1        0        0
36832 liblldb-dev                        	       0        2        0        0        2
36833 libllhttp9.1                       	       0        8        0        0        8
36834 libllvm-16-ocaml-dev               	       0        1        1        0        0
36835 libllvm-19-ocaml-dev               	       0        1        0        1        0
36836 libllvm-ocaml-dev                  	       0        1        0        0        1
36837 libllvm10                          	       0       11        0        0       11
36838 libllvm12                          	       0        7        2        0        5
36839 libllvm13                          	       0       46        0        0       46
36840 libllvm13.0.50002-amdgpu           	       0        1        1        0        0
36841 libllvm14.0.50200-amdgpu           	       0        1        1        0        0
36842 libllvm14t64                       	       0       15        0        0       15
36843 libllvm15t64                       	       0       11        0        0       11
36844 libllvm16.0.50600-amdgpu           	       0        1        0        0        1
36845 libllvm16.0.50703-amdgpu           	       0        1        1        0        0
36846 libllvm16t64                       	       0       40        0        0       40
36847 libllvm17.0.60000-amdgpu           	       0        1        0        0        1
36848 libllvm17.0.60002-amdgpu           	       0        1        1        0        0
36849 libllvm20                          	       0        2        1        0        1
36850 libllvm3.0                         	       0        5        0        0        5
36851 libllvm3.2                         	       0        1        0        0        1
36852 libllvm3.3                         	       0        1        0        0        1
36853 libllvm3.4                         	       0        3        0        0        3
36854 libllvm3.5                         	       0       77        0        0       77
36855 libllvm3.5v5                       	       0        1        0        0        1
36856 libllvm3.6v5                       	       0        1        0        0        1
36857 libllvm3.7                         	       0        2        0        0        2
36858 libllvm3.8                         	       0       15        0        0       15
36859 libllvm3.9                         	       0       99        0        0       99
36860 libllvm7                           	       0      279        1        0      278
36861 libllvm8                           	       0        3        0        0        3
36862 libllvm9                           	       0       67        0        0       67
36863 libllvmlibc-19-dev                 	       0        1        0        1        0
36864 libllvmspirvlib-15-dev             	       0        2        2        0        0
36865 libllvmspirvlib-17-dev             	       0        3        3        0        0
36866 libllvmspirvlib-19-dev             	       0        1        1        0        0
36867 libllvmspirvlib11                  	       0        4        0        0        4
36868 libllvmspirvlib14                  	       0       13        0        0       13
36869 libllvmspirvlib15                  	       0       37        0        0       37
36870 libllvmspirvlib17                  	       0        4        0        0        4
36871 libllvmspirvlib18.1                	       0        1        0        0        1
36872 libllvmspirvlib19.1                	       0        4        0        0        4
36873 liblmdb-file-perl                  	       0        2        0        0        2
36874 liblms7compact0                    	       0       37        3        0       34
36875 liblnk-utils                       	       0        4        4        0        0
36876 liblnk1                            	       0       17        0        0       17
36877 liblo-dev                          	       0       22       22        0        0
36878 liblo-tools                        	       0        5        5        0        0
36879 liblo10k1-0                        	       0        5        0        0        5
36880 libloader-java                     	       0      733        0        0      733
36881 libloader-java-doc                 	       0        4        0        0        4
36882 libloadpng4-dev                    	       0        1        1        0        0
36883 libloadpng4.4                      	       0        2        0        0        2
36884 liblocal-lib-perl                  	       0       48       48        0        0
36885 liblocale-currency-format-perl     	       0        2        2        0        0
36886 liblocale-maketext-fuzzy-perl      	       0        1        1        0        0
36887 liblocale-maketext-lexicon-perl    	       0        6        6        0        0
36888 liblocale-msgfmt-perl              	       0        4        4        0        0
36889 liblocale-po-perl                  	       0        6        6        0        0
36890 liblocale-ruby1.9.1                	       0        1        0        0        1
36891 liblocale-xgettext-perl            	       0        3        3        0        0
36892 liblocales-perl                    	       0        1        1        0        0
36893 liblockdev1                        	       0        4        0        0        4
36894 liblockdev1-dev                    	       0        1        1        0        0
36895 liblockfile-dev                    	       0       16       16        0        0
36896 liblodepng-dev                     	       0        1        1        0        0
36897 liblodepng0                        	       0        1        0        0        1
36898 liblog-any-adapter-tap-perl        	       0        1        1        0        0
36899 liblog-dispatch-array-perl         	       0        2        2        0        0
36900 liblog-dispatch-configurator-any-perl	       0        1        1        0        0
36901 liblog-dispatch-filerotate-perl    	       0        7        7        0        0
36902 liblog-dispatchouli-perl           	       0        1        1        0        0
36903 liblog-loglite-perl                	       0        1        1        0        0
36904 liblog-report-optional-perl        	       0        1        1        0        0
36905 liblog-report-perl                 	       0        1        1        0        0
36906 liblog-trace-perl                  	       0        3        3        0        0
36907 liblog4cplus-1.1-9                 	       0       27        0        0       27
36908 liblog4cplus-2.0.5                 	       0      166        4        0      162
36909 liblog4cplus-2.0.5t64              	       0       16        2        0       14
36910 liblog4cplus-dev                   	       0        1        1        0        0
36911 liblog4cplus-doc                   	       0        1        0        0        1
36912 liblog4cpp5                        	       0        1        0        0        1
36913 liblog4cpp5v5                      	       0       33        0        0       33
36914 liblog4cxx-dev                     	       0        4        4        0        0
36915 liblog4cxx10v5                     	       0        1        0        0        1
36916 liblog4cxx11                       	       0        2        0        0        2
36917 liblog4cxx15                       	       0        4        0        0        4
36918 liblog4j1.2-java                   	       0      655        0        0      655
36919 liblog4j1.2-java-doc               	       0        7        0        0        7
36920 liblog4j2-java                     	       0      143        0        0      143
36921 liblog4j2-java-doc                 	       0        1        0        0        1
36922 liblog4net1.2-cil                  	       0        5        5        0        0
36923 liblog4shib2                       	       0        1        0        0        1
36924 liblog4tango-dev                   	       0        1        1        0        0
36925 liblog4tango5v5                    	       0        2        0        0        2
36926 liblogback-java                    	       0      118        0        0      118
36927 liblogg4-dev                       	       0        1        1        0        0
36928 liblogg4.4                         	       0        1        0        0        1
36929 liblogging-stdlog0                 	       0      649        0        0      649
36930 liblognorm0                        	       0        2        2        0        0
36931 liblognorm1                        	       0      207        0        0      207
36932 liblognorm5                        	       0     4020        0        0     4020
36933 liblogs-ocaml                      	       0        1        1        0        0
36934 liblogs-ocaml-dev                  	       0        1        1        0        0
36935 liblogsys-dev                      	       0        1        1        0        0
36936 liblogsys4                         	       0        1        0        0        1
36937 libloki-dev                        	       0        4        4        0        0
36938 libloki0.1.7                       	       0        4        0        0        4
36939 liblombok-ast-java                 	       0        3        0        0        3
36940 liblombok-java                     	       0        2        0        0        2
36941 liblombok-patcher-java             	       0        1        0        0        1
36942 liblomiri-api0                     	       0        1        0        0        1
36943 liblomiri-thumbnailer-qt1.0        	       0        1        0        0        1
36944 liblomirigestures5                 	       0        1        0        0        1
36945 liblomirimetrics5                  	       0        1        0        0        1
36946 liblomiritoolkit5                  	       0        1        0        0        1
36947 liblong-jump-perl                  	       0        1        1        0        0
36948 liblopsub1                         	       0        5        0        0        5
36949 liblorene-debian1                  	       0        2        0        0        2
36950 liblorene-debian1t64               	       0        1        0        0        1
36951 liblorene-dev                      	       0        2        2        0        0
36952 liblorene-export-debian0           	       0        1        0        0        1
36953 liblorene-export-debian0t64        	       0        1        0        0        1
36954 liblorenef77-debian1               	       0        2        0        0        2
36955 liblorenef77-debian1t64            	       0        1        0        0        1
36956 liblossywav1                       	       0        1        0        0        1
36957 libloudmouth1-dev                  	       0        2        2        0        0
36958 liblouis-bin                       	       0        8        7        1        0
36959 liblouis-data                      	       0     3062        0        0     3062
36960 liblouis-dev                       	       0        3        3        0        0
36961 liblouis12                         	       0       78        0        0       78
36962 liblouis14                         	       0        2        0        0        2
36963 liblouis17                         	       0      171        1        0      170
36964 liblouis2                          	       0       19        0        0       19
36965 liblouis9                          	       0        1        0        0        1
36966 liblouisutdml-data                 	       0     2758        0        0     2758
36967 liblouisutdml-dev                  	       0        1        1        0        0
36968 liblouisutdml6                     	       0        1        0        0        1
36969 liblouisutdml7                     	       0       72        0        0       72
36970 liblouisutdml8                     	       0      120        0        0      120
36971 liblouisutdml9                     	       0     2422        0        0     2422
36972 liblouisutdml9t64                  	       0      182        0        0      182
36973 liblouisxml-bin                    	       0        1        1        0        0
36974 liblouisxml-data                   	       0        1        0        0        1
36975 liblouisxml-dev                    	       0        1        1        0        0
36976 liblouisxml1                       	       0        1        0        0        1
36977 liblpm-dev                         	       0        1        1        0        0
36978 liblpm-lua                         	       0        1        0        0        1
36979 liblpm1                            	       0        1        0        0        1
36980 liblpsolve55-dev                   	       0        3        3        0        0
36981 liblqr-1-0-dev                     	       0       75       74        1        0
36982 liblrcalc-dev                      	       0        9        9        0        0
36983 liblrcalc1                         	       0       10        0        0       10
36984 liblrdf0-dev                       	       0       10       10        0        0
36985 liblrm2                            	       0        9        0        0        9
36986 liblrm2-dev                        	       0        1        1        0        0
36987 liblrmd1                           	       0        4        0        0        4
36988 liblrmd28                          	       0        2        2        0        0
36989 liblrs-dev                         	       0        1        1        0        0
36990 liblrs0                            	       0        1        0        0        1
36991 liblrs1                            	       0        3        0        0        3
36992 liblsan0                           	       0     2476        0        0     2476
36993 liblsan0-arm64-cross               	       0       36        0        0       36
36994 liblsan0-ppc64-cross               	       0        6        0        0        6
36995 liblsan0-ppc64el-cross             	       0        1        0        0        1
36996 liblsan0-riscv64-cross             	       0        2        0        0        2
36997 liblscp-dev                        	       0        1        1        0        0
36998 liblscp6                           	       0        4        0        0        4
36999 liblsmash2                         	       0        2        0        0        2
37000 liblsof0                           	       0      176        0        0      176
37001 liblsofui9                         	       0        9        0        0        9
37002 libltc-dev                         	       0        1        1        0        0
37003 libltc11                           	       0     2832        0        0     2832
37004 liblttng-ust-ctl4                  	       0        4        0        0        4
37005 liblttng-ust-ctl5                  	       0       27        0        0       27
37006 liblttng-ust-ctl5t64               	       0       17        0        0       17
37007 liblttng-ust-dev                   	       0        1        1        0        0
37008 liblttng-ust-python-agent1         	       0        1        0        0        1
37009 liblttng-ust0                      	       0        4        0        0        4
37010 liblttoolbox3                      	       0        4        0        0        4
37011 liblttoolbox3-3.5-1                	       0        3        0        0        3
37012 liblua40                           	       0        2        0        0        2
37013 liblua5.1-luacsnd                  	       0        2        1        0        1
37014 liblua5.2-0-dbg                    	       0        1        1        0        0
37015 liblua5.3-0-dbg                    	       0        3        3        0        0
37016 liblua5.3-dev                      	       0       34       34        0        0
37017 liblua5.4-dev                      	       0       18       18        0        0
37018 liblua50-dev                       	       0        5        5        0        0
37019 libluabind-dev                     	       0        2        0        0        2
37020 libluabind0.9.1d1                  	       0        3        0        0        3
37021 libluajit-5.1-common               	       0      459        0        0      459
37022 libluajit2-5.1-common              	       0       28        0        0       28
37023 libluajit2-5.1-dev                 	       0        1        1        0        0
37024 liblualib40                        	       0        2        0        0        2
37025 liblualib50-dev                    	       0        4        4        0        0
37026 liblucene++-contrib0v5             	       0        1        0        0        1
37027 liblucene++-dev                    	       0        1        1        0        0
37028 liblucene++0t64                    	       0        1        0        0        1
37029 liblucene++0v5                     	       0       13        1        0       12
37030 liblucene3-contrib-java            	       0        8        0        0        8
37031 liblucene3-java                    	       0        8        0        0        8
37032 liblucene4-java                    	       0        1        0        0        1
37033 liblucene4.10-java                 	       0       12        0        0       12
37034 liblucene8-java                    	       0        2        0        0        2
37035 libluksde-utils                    	       0        2        2        0        0
37036 libluksde1                         	       0       15        0        0       15
37037 liblur3                            	       0        3        0        0        3
37038 liblutok3                          	       0        1        0        0        1
37039 liblv-perl                         	       0        1        1        0        0
37040 liblv2dynparam1-dev                	       0        1        1        0        0
37041 liblv2dynparamhost1-1              	       0        1        0        0        1
37042 liblv2dynparamplugin1-0            	       0        5        0        0        5
37043 liblvm2app2.2                      	       0       84        0        0       84
37044 liblvm2cmd2.02                     	       0       93        0        0       93
37045 liblwgeom-2.3-0                    	       0        3        0        0        3
37046 liblwgeom-2.4-0                    	       0        1        0        0        1
37047 liblwgeom-dev                      	       0        1        1        0        0
37048 liblwipv6-2                        	       0        1        0        0        1
37049 liblwjgl-java                      	       0        5        0        0        5
37050 liblwjgl-java-doc                  	       0        1        0        0        1
37051 liblwjgl-java-jni                  	       0        5        5        0        0
37052 liblwp-authen-oauth-perl           	       0        2        2        0        0
37053 liblwp-authen-oauth2-perl          	       0        2        2        0        0
37054 liblwp-authen-wsse-perl            	       0       12       12        0        0
37055 liblwp-protocol-http-socketunix-perl	       0        2        2        0        0
37056 liblwp-protocol-socks-perl         	       0        6        6        0        0
37057 liblwp-useragent-chicaching-perl   	       0        2        2        0        0
37058 liblwp-useragent-progressbar-perl  	       0        1        1        0        0
37059 liblwpx-paranoidagent-perl         	       0        3        3        0        0
37060 liblwres1                          	       0        1        0        0        1
37061 liblwres141                        	       0      188        0        0      188
37062 liblwres161                        	       0      306        0        0      306
37063 liblwres40                         	       0        5        0        0        5
37064 liblwres60                         	       0       18        0        0       18
37065 liblwres80                         	       0       20        0        0       20
37066 liblwres9                          	       0        3        0        0        3
37067 liblwres90                         	       0       85        0        0       85
37068 liblwt-log-ocaml                   	       0        1        1        0        0
37069 liblwt-log-ocaml-dev               	       0        1        1        0        0
37070 liblwt-ocaml                       	       0        3        3        0        0
37071 liblwt-ocaml-dev                   	       0        2        2        0        0
37072 liblxi1                            	       0        1        0        0        1
37073 liblxqt-backlight-helper           	       0       10        7        3        0
37074 liblxqt-dev                        	       0        1        1        0        0
37075 liblxqt-globalkeys-ui1-dev         	       0        2        2        0        0
37076 liblxqt-globalkeys1-dev            	       0        2        2        0        0
37077 liblxqt1-dev                       	       0        1        1        0        0
37078 liblz1                             	       0     1459        4        0     1455
37079 liblz4-java                        	       0        1        0        0        1
37080 liblz4-jni                         	       0        1        0        0        1
37081 liblz4-tool                        	       0       39        1        0       38
37082 liblzf-dev                         	       0        1        1        0        0
37083 liblzfse1                          	       0        1        0        0        1
37084 liblzma-doc                        	       0       14        0        0       14
37085 liblzma2                           	       0        8        0        0        8
37086 liblzo-dev                         	       0        1        1        0        0
37087 liblzo1                            	       0        4        0        0        4
37088 libm4ri-0.0.20140914               	       0        1        0        0        1
37089 libm4ri-0.0.20200125               	       0        9        0        0        9
37090 libm4ri-dev                        	       0        9        9        0        0
37091 libm4rie-0.0.20150908              	       0        1        0        0        1
37092 libm4rie-0.0.20200125              	       0        9        0        0        9
37093 libm4rie-dev                       	       0        9        9        0        0
37094 libmaa2                            	       0        1        0        0        1
37095 libmaa3                            	       0        9        0        0        9
37096 libmac-widgets-java                	       0        3        0        0        3
37097 libmac10                           	       0        2        0        0        2
37098 libmac2                            	       0        5        0        0        5
37099 libmac8                            	       0        1        0        0        1
37100 libmacaroons0                      	       0        1        0        0        1
37101 libmad-ocaml                       	       0        3        3        0        0
37102 libmad-ocaml-dev                   	       0        3        3        0        0
37103 libmadlib                          	       0        1        0        0        1
37104 libmadlib-dev                      	       0        1        1        0        0
37105 libmaeparser1                      	       0       61        0        0       61
37106 libmagic-ocaml                     	       0        1        1        0        0
37107 libmagic-ocaml-dev                 	       0        1        1        0        0
37108 libmagic1-dbgsym                   	       0        1        1        0        0
37109 libmagick++-6.q16-5                	       0        3        0        0        3
37110 libmagick++-6.q16-7                	       0       34        0        0       34
37111 libmagick++-6.q16-9                	       0        2        0        0        2
37112 libmagick++-6.q16-9t64             	       0       18        0        0       18
37113 libmagick++-6.q16-dev              	       0       41        0        0       41
37114 libmagick++-6.q16hdri-8            	       0        1        0        0        1
37115 libmagick++-6.q16hdri-dev          	       0        1        0        0        1
37116 libmagick++-7-5                    	       0        5        0        0        5
37117 libmagick++-7-headers              	       0        1        1        0        0
37118 libmagick++-7.q16-5                	       0       31        0        0       31
37119 libmagick++-7.q16-dev              	       0        1        0        0        1
37120 libmagick++-7.q16hdri-5            	       0        1        0        0        1
37121 libmagick++-7.q16hdri-dev          	       0        1        0        0        1
37122 libmagick++-dev                    	       0       36        0        0       36
37123 libmagick++5                       	       0        7        0        0        7
37124 libmagick++9c2a                    	       0        1        0        0        1
37125 libmagick9                         	       0        1        1        0        0
37126 libmagickcore-6.q16-2-extra        	       0       10        0        0       10
37127 libmagickcore-6.q16-3              	       0      121        0        0      121
37128 libmagickcore-6.q16-3-extra        	       0       99        0        0       99
37129 libmagickcore-6.q16-5              	       0        1        0        0        1
37130 libmagickcore-6.q16-7              	       0        9        0        0        9
37131 libmagickcore-6.q16-7-extra        	       0      101        0        0      101
37132 libmagickcore-6.q16-dev            	       0       71        0        0       71
37133 libmagickcore-6.q16hdri-3          	       0        1        0        0        1
37134 libmagickcore-6.q16hdri-3-extra    	       0        1        0        0        1
37135 libmagickcore-6.q16hdri-6          	       0        7        0        0        7
37136 libmagickcore-6.q16hdri-6-extra    	       0        5        0        0        5
37137 libmagickcore-6.q16hdri-7-extra    	       0        1        0        0        1
37138 libmagickcore-6.q16hdri-7t64       	       0        1        0        0        1
37139 libmagickcore-6.q16hdri-dev        	       0        1        0        0        1
37140 libmagickcore-7-10                 	       0       16        0        0       16
37141 libmagickcore-7-arch-config        	       0        2        2        0        0
37142 libmagickcore-7-headers            	       0        2        2        0        0
37143 libmagickcore-7.q16-10             	       0      157        2        0      155
37144 libmagickcore-7.q16-10-extra       	       0      149        0        0      149
37145 libmagickcore-7.q16-dev            	       0        2        0        0        2
37146 libmagickcore-7.q16hdri-10         	       0        1        0        0        1
37147 libmagickcore-7.q16hdri-10-extra   	       0        1        0        0        1
37148 libmagickcore-7.q16hdri-dev        	       0        1        0        0        1
37149 libmagickcore-dev                  	       0       24        0        0       24
37150 libmagickcore3                     	       0        1        1        0        0
37151 libmagickcore5                     	       0       17        0        0       17
37152 libmagickcore5-extra               	       0       11        0        0       11
37153 libmagickwand-6.q16-3              	       0      120        0        0      120
37154 libmagickwand-6.q16-5              	       0        1        0        0        1
37155 libmagickwand-6.q16-7              	       0        9        0        0        9
37156 libmagickwand-6.q16-dev            	       0       59        0        0       59
37157 libmagickwand-6.q16hdri-3          	       0        1        0        0        1
37158 libmagickwand-6.q16hdri-6          	       0        7        0        0        7
37159 libmagickwand-6.q16hdri-7t64       	       0        1        0        0        1
37160 libmagickwand-6.q16hdri-dev        	       0        1        0        0        1
37161 libmagickwand-7-10                 	       0       16        0        0       16
37162 libmagickwand-7-headers            	       0        2        2        0        0
37163 libmagickwand-7.q16-10             	       0      156        2        0      154
37164 libmagickwand-7.q16-dev            	       0        2        0        0        2
37165 libmagickwand-7.q16hdri-10         	       0        1        0        0        1
37166 libmagickwand-7.q16hdri-dev        	       0        1        0        0        1
37167 libmagickwand-dev                  	       0       21        0        0       21
37168 libmagickwand5                     	       0       17        0        0       17
37169 libmagics++-data                   	       0        6        0        0        6
37170 libmagics++-dev                    	       0        1        1        0        0
37171 libmagics++-metview-dev            	       0        1        0        0        1
37172 libmagplus3v5                      	       0        5        0        0        5
37173 libmail-bulkmail-perl              	       0        1        1        0        0
37174 libmail-gnupg-perl                 	       0        5        4        1        0
37175 libmail-java                       	       0      835        0        0      835
37176 libmail-java-doc                   	       0        9        0        0        9
37177 libmail-mboxparser-perl            	       0        1        1        0        0
37178 libmail-pop3client-perl            	       0        5        5        0        0
37179 libmail-spf-xs-perl                	       0        1        0        0        1
37180 libmailcommon-data                 	       0       10        0        0       10
37181 libmailimporter-data               	       0        9        0        0        9
37182 libmailutils-dev                   	       0        3        3        0        0
37183 libmailutils4                      	       0        4        0        0        4
37184 libmailutils5                      	       0      163        0        0      163
37185 libmailutils7                      	       0      291        0        0      291
37186 libmailutils8                      	       0        2        0        0        2
37187 libmailutils9                      	       0      640        0        0      640
37188 libmailutils9t64                   	       0       77        0        0       77
37189 libmalaga7                         	       0        2        0        0        2
37190 libmalai-java                      	       0        5        0        0        5
37191 libmalcontent-0-dev                	       0        1        1        0        0
37192 libmalcontent-ui-0-0               	       0       71        0        0       71
37193 libmalcontent-ui-1-1               	       0      331        0        2      329
37194 libmaliit-plugins2                 	       0        1        1        0        0
37195 libmaloc1                          	       0        7        0        0        7
37196 libmanette-0.2-dev                 	       0        1        1        0        0
37197 libmango-perl                      	       0        1        1        0        0
37198 libmap-msgs-dev                    	       0        1        1        0        0
37199 libmapbox-variant-dev              	       0        2        0        0        2
37200 libmapnik-dev                      	       0        1        1        0        0
37201 libmapnik3.0                       	       0        4        4        0        0
37202 libmapnik3.1                       	       0       21       21        0        0
37203 libmapscript-perl                  	       0        1        0        0        1
37204 libmapscript-ruby1.9.1             	       0        1        0        0        1
37205 libmapserver-dev                   	       0        1        1        0        0
37206 libmarble-dev                      	       0        3        3        0        0
37207 libmarblewidget-qt5-25             	       0        3        0        0        3
37208 libmarblewidget-qt5-28             	       0      130        1        0      129
37209 libmarblewidget-qt6-28             	       0        4        0        0        4
37210 libmarc-charset-perl               	       0        3        3        0        0
37211 libmarc-file-marcmaker-perl        	       0        1        1        0        0
37212 libmarc-file-mij-perl              	       0        2        2        0        0
37213 libmarc-lint-perl                  	       0        1        1        0        0
37214 libmarc-parser-raw-perl            	       0        1        1        0        0
37215 libmarc-record-perl                	       0        3        3        0        0
37216 libmarc-spec-perl                  	       0        1        1        0        0
37217 libmarc-xml-perl                   	       0        3        3        0        0
37218 libmarc4j-java                     	       0        2        0        0        2
37219 libmarco-dev                       	       0        1        1        0        0
37220 libmarco-private0                  	       0        3        0        0        3
37221 libmarco-private1                  	       0       65        0        0       65
37222 libmariadb-java                    	       0       43        0        0       43
37223 libmariadb2                        	       0        2        0        0        2
37224 libmariadbclient-dev               	       0        6        2        0        4
37225 libmariadbclient-dev-compat        	       0        3        0        0        3
37226 libmariadbd-dev                    	       0        9        7        2        0
37227 libmariadbd18                      	       0        3        0        0        3
37228 libmariadbd19                      	       0        9        0        0        9
37229 libmariadbd19t64                   	       0        4        1        0        3
37230 libmarkdent-perl                   	       0        1        1        0        0
37231 libmarkdown-php                    	       0        1        1        0        0
37232 libmarkdown2-dev                   	       0        2        2        0        0
37233 libmartchus-c++utilities5          	       0       10        6        0        4
37234 libmartchus-qtforkawesome1         	       0       10        6        0        4
37235 libmason-perl                      	       0        1        1        0        0
37236 libmason-plugin-cache-perl         	       0        1        1        0        0
37237 libmason-plugin-htmlfilters-perl   	       0        1        1        0        0
37238 libmason-plugin-routersimple-perl  	       0        1        1        0        0
37239 libmasonx-processdir-perl          	       0        1        1        0        0
37240 libmatch-simple-xs-perl            	       0       29        0        0       29
37241 libmatchbox1                       	       0        8        0        0        8
37242 libmate-desktop-doc                	       0        4        0        0        4
37243 libmate-menu-dev                   	       0        1        1        0        0
37244 libmate-panel-applet-dev           	       0        1        1        0        0
37245 libmate-panel-applet-doc           	       0        3        0        0        3
37246 libmate-slab0t64                   	       0       25        0        0       25
37247 libmate-window-settings1           	       0      505        1        2      502
37248 libmate-window-settings1t64        	       0       25        0        0       25
37249 libmatedict6                       	       0      527        2        0      525
37250 libmatekbd-common                  	       0      542        0        0      542
37251 libmatekbd-dev                     	       0        1        1        0        0
37252 libmatekeyring                     	       0        1        0        0        1
37253 libmatemixer-common                	       0      539        0        0      539
37254 libmatemixer-doc                   	       0        3        0        0        3
37255 libmatepolkit                      	       0        1        0        0        1
37256 libmateweather-dev                 	       0        1        1        0        0
37257 libmatewnck                        	       0        1        1        0        0
37258 libmatewnck-common                 	       0        1        0        0        1
37259 libmath-base-convert-perl          	       0       12       12        0        0
37260 libmath-basecnv-perl               	       0        2        2        0        0
37261 libmath-bezier-perl                	       0        2        2        0        0
37262 libmath-bigint-gmp-perl            	       0        7        0        0        7
37263 libmath-cartesian-product-perl     	       0        1        1        0        0
37264 libmath-cephes-perl                	       0        1        0        0        1
37265 libmath-combinatorics-clojure      	       0        1        0        0        1
37266 libmath-combinatorics-perl         	       0        2        2        0        0
37267 libmath-convexhull-monotonechain-perl	       0       27        0        0       27
37268 libmath-derivative-perl            	       0        4        4        0        0
37269 libmath-geometry-voronoi-perl      	       0       27        0        0       27
37270 libmath-gmp-perl                   	       0        2        0        0        2
37271 libmath-int128-perl                	       0        5        0        0        5
37272 libmath-int64-perl                 	       0        5        0        0        5
37273 libmath-libm-perl                  	       0       27        0        0       27
37274 libmath-numeric-tower-clojure      	       0        1        0        0        1
37275 libmath-planepath-perl             	       0       27       27        0        0
37276 libmath-polygon-perl               	       0        1        1        0        0
37277 libmath-prime-util-gmp-perl        	       0        3        0        0        3
37278 libmath-prime-util-perl            	       0        3        3        0        0
37279 libmath-random-mt-perl             	       0        4        2        0        2
37280 libmath-spline-perl                	       0        4        4        0        0
37281 libmath-tamuanova-perl             	       0        1        0        0        1
37282 libmath-units-perl                 	       0        1        1        0        0
37283 libmath-vec-perl                   	       0        2        2        0        0
37284 libmath-vecstat-perl               	       0        3        3        0        0
37285 libmatheval-dev                    	       0        1        1        0        0
37286 libmatheval1                       	       0        9        0        0        9
37287 libmathic-dev                      	       0        1        1        0        0
37288 libmathic0v5                       	       0        1        0        0        1
37289 libmathicgb-dev                    	       0        1        1        0        0
37290 libmathicgb0                       	       0        1        0        0        1
37291 libmathml-ruby1.9.1                	       0        1        0        0        1
37292 libmatio-dev                       	       0        7        7        0        0
37293 libmatio-doc                       	       0        1        0        0        1
37294 libmatio11                         	       0       36        1        0       35
37295 libmatio13                         	       0        6        0        0        6
37296 libmatio4                          	       0        3        0        0        3
37297 libmatroska-dev                    	       0       14       14        0        0
37298 libmatroska0                       	       0        3        0        0        3
37299 libmatroska5                       	       0        5        0        0        5
37300 libmatroska6v5                     	       0      170        0        0      170
37301 libmatthew-debug-java              	       0        2        0        0        2
37302 libmaven-ant-tasks-java            	       0        2        0        0        2
37303 libmaven-antrun-extended-plugin-java	       0        1        0        0        1
37304 libmaven-antrun-plugin-java        	       0        1        0        0        1
37305 libmaven-archiver-java             	       0       53        0        0       53
37306 libmaven-archiver-java-doc         	       0        1        0        0        1
37307 libmaven-artifact-transfer-java    	       0        4        0        0        4
37308 libmaven-assembly-plugin-java      	       0        1        0        0        1
37309 libmaven-bundle-plugin-java        	       0        5        0        0        5
37310 libmaven-clean-plugin-java         	       0        5        0        0        5
37311 libmaven-common-artifact-filters-java	       0        6        0        0        6
37312 libmaven-common-artifact-filters-java-doc	       0        1        0        0        1
37313 libmaven-compiler-plugin-java      	       0       24        0        0       24
37314 libmaven-dependency-analyzer-java  	       0        3        0        0        3
37315 libmaven-dependency-plugin-java    	       0        3        0        0        3
37316 libmaven-dependency-plugin-java-doc	       0        1        0        0        1
37317 libmaven-dependency-tree-java      	       0        6        0        0        6
37318 libmaven-dependency-tree-java-doc  	       0        1        0        0        1
37319 libmaven-deploy-plugin-java        	       0        5        0        0        5
37320 libmaven-doxia-tools-java          	       0        2        0        0        2
37321 libmaven-doxia-tools-java-doc      	       0        1        0        0        1
37322 libmaven-ejb-plugin-java           	       0        1        0        0        1
37323 libmaven-enforcer-plugin-java      	       0        2        0        0        2
37324 libmaven-exec-plugin-java          	       0        2        0        0        2
37325 libmaven-file-management-java      	       0      370        0        0      370
37326 libmaven-file-management-java-doc  	       0        1        0        0        1
37327 libmaven-filtering-java            	       0        5        0        0        5
37328 libmaven-install-plugin-java       	       0        6        0        0        6
37329 libmaven-invoker-java              	       0        3        0        0        3
37330 libmaven-invoker-plugin-java       	       0        1        0        0        1
37331 libmaven-jar-plugin-java           	       0       52        0        0       52
37332 libmaven-javadoc-plugin-java       	       0        3        0        0        3
37333 libmaven-jaxb2-plugin-java         	       0        1        0        0        1
37334 libmaven-mapping-java              	       0        1        0        0        1
37335 libmaven-parent-java               	       0      461        0        0      461
37336 libmaven-plugin-testing-java       	       0        1        0        0        1
37337 libmaven-plugin-tools-java         	       0        7        0        0        7
37338 libmaven-processor-plugin-java     	       0        1        0        0        1
37339 libmaven-reporting-api-java        	       0       11        0        0       11
37340 libmaven-reporting-exec-java       	       0        5        0        0        5
37341 libmaven-reporting-impl-java       	       0        8        0        0        8
37342 libmaven-reporting-impl-java-doc   	       0        1        0        0        1
37343 libmaven-repository-builder-java   	       0        1        0        0        1
37344 libmaven-repository-builder-java-doc	       0        1        0        0        1
37345 libmaven-resolver-1.6-java         	       0        6        0        0        6
37346 libmaven-resolver-java             	       0      457        0        0      457
37347 libmaven-resolver-transport-http-java	       0        3        0        0        3
37348 libmaven-resources-plugin-java     	       0        5        0        0        5
37349 libmaven-resources-plugin-java-doc 	       0        1        0        0        1
37350 libmaven-scm-java                  	       0        4        0        0        4
37351 libmaven-scm-java-doc              	       0        1        0        0        1
37352 libmaven-scm-providers-java        	       0        1        0        0        1
37353 libmaven-script-interpreter-java   	       0        1        0        0        1
37354 libmaven-shade-plugin-java         	       0        1        0        0        1
37355 libmaven-shade-plugin-java-doc     	       0        1        0        0        1
37356 libmaven-shared-incremental-java   	       0       24        0        0       24
37357 libmaven-shared-incremental-java-doc	       0        1        0        0        1
37358 libmaven-shared-io-java            	       0      369        0        0      369
37359 libmaven-shared-io-java-doc        	       0        1        0        0        1
37360 libmaven-shared-jar-java           	       0        1        0        0        1
37361 libmaven-shared-jar-java-doc       	       0        1        0        0        1
37362 libmaven-shared-utils-java         	       0      462        0        0      462
37363 libmaven-shared-utils-java-doc     	       0        2        0        0        2
37364 libmaven-site-plugin-java          	       0        5        0        0        5
37365 libmaven-site-plugin-java-doc      	       0        1        0        0        1
37366 libmaven-source-plugin-java        	       0        2        0        0        2
37367 libmaven-verifier-java             	       0        1        0        0        1
37368 libmaven-verifier-java-doc         	       0        1        0        0        1
37369 libmaven-war-plugin-java           	       0        1        0        0        1
37370 libmaven-war-plugin-java-doc       	       0        1        0        0        1
37371 libmaven2-core-java                	       0        4        0        0        4
37372 libmaven2-core-java-doc            	       0        1        0        0        1
37373 libmaven3-core-java                	       0      460        0        0      460
37374 libmaxflow0                        	       0        2        0        0        2
37375 libmaxmind-db-common-perl          	       0        5        5        0        0
37376 libmaxmind-db-reader-perl          	       0        5        5        0        0
37377 libmaxmind-db-reader-xs-perl       	       0        4        0        0        4
37378 libmaxminddb-dev                   	       0        5        5        0        0
37379 libmbassador-java                  	       0       20        0        0       20
37380 libmbedcrypto0                     	       0        2        0        0        2
37381 libmbedtls-doc                     	       0        1        0        0        1
37382 libmbedtls10                       	       0        1        0        0        1
37383 libmbedtls14t64                    	       0       32        1        0       31
37384 libmbedx509-1t64                   	       0       32        1        0       31
37385 libmbim-glib0                      	       0        1        0        0        1
37386 libmbt1                            	       0        4        0        0        4
37387 libmbtserver1                      	       0        2        0        0        2
37388 libmbus0                           	       0        1        1        0        0
37389 libmce-perl                        	       0        2        2        0        0
37390 libmckoisqldb-java                 	       0        4        0        0        4
37391 libmcpp-dev                        	       0        3        3        0        0
37392 libmcpp0                           	       0       76        0        0       76
37393 libmcs-utils                       	       0        1        1        0        0
37394 libmcs1                            	       0        2        2        0        0
37395 libmd3-1                           	       0        1        0        0        1
37396 libmd4c-dev                        	       0        1        1        0        0
37397 libmd4c-html0                      	       0        1        0        0        1
37398 libmd4c-html0-dev                  	       0        1        0        0        1
37399 libmd4c0-dbgsym                    	       0        1        1        0        0
37400 libmdb2                            	       0       10        0        0       10
37401 libmdb3                            	       0       25        0        0       25
37402 libmdb3t64                         	       0        3        0        0        3
37403 libmdbsql2                         	       0        9        0        0        9
37404 libmdbsql3                         	       0       25        0        0       25
37405 libmdbsql3t64                      	       0        3        0        0        3
37406 libmdbtools                        	       0        2        0        0        2
37407 libmdc2                            	       0        3        0        0        3
37408 libmdc3                            	       0       12        0        0       12
37409 libmdds-dev                        	       0        1        0        0        1
37410 libmdnsd1                          	       0        1        0        0        1
37411 libmeanwhile-dev                   	       0        4        4        0        0
37412 libmecab-ruby1.9.1                 	       0        1        0        0        1
37413 libmecab2                          	       0       19        0        0       19
37414 libmed-dev                         	       0        1        0        0        1
37415 libmed-doc                         	       0        1        0        0        1
37416 libmed-tools                       	       0        3        1        2        0
37417 libmed11                           	       0        4        0        0        4
37418 libmedc-dev                        	       0        6        6        0        0
37419 libmedc11                          	       0      107        1        0      106
37420 libmedc11t64                       	       0       15        0        0       15
37421 libmedc1v5                         	       0        1        0        0        1
37422 libmedia-convert-perl              	       0        2        2        0        0
37423 libmediaart-1.0-0                  	       0        8        0        0        8
37424 libmediaart-1.0-dev                	       0        1        1        0        0
37425 libmediaart-doc                    	       0        1        0        0        1
37426 libmediainfo-dev                   	       0        3        3        0        0
37427 libmediainfo-doc                   	       0        4        0        0        4
37428 libmediainfo0                      	       0        1        0        0        1
37429 libmediascanner-2.0-4              	       0        1        0        0        1
37430 libmediastreamer-base10            	       0       15        0        0       15
37431 libmediastreamer-base3             	       0       15        0        0       15
37432 libmediastreamer-data              	       0       26        0        0       26
37433 libmediastreamer-dev               	       0        1        1        0        0
37434 libmediastreamer-voip10            	       0       15        0        0       15
37435 libmediastreamer0                  	       0        1        0        0        1
37436 libmediastreamer1                  	       0        1        0        0        1
37437 libmediastreamer11                 	       0        6        2        0        4
37438 libmediastreamer12                 	       0       24        1        0       23
37439 libmediastreamer13                 	       0        1        0        0        1
37440 libmediastreamer13t64              	       0        1        0        0        1
37441 libmedimport0v5                    	       0        4        0        0        4
37442 libmedley-clojure                  	       0        1        0        0        1
37443 libmeep-openmpi-dev                	       0        1        0        0        1
37444 libmeep-openmpi23                  	       0        1        0        0        1
37445 libmeep12                          	       0        1        0        0        1
37446 libmeep27                          	       0        1        0        0        1
37447 libmeep30                          	       0        3        0        0        3
37448 libmemcache-client-ruby1.9.1       	       0        1        0        0        1
37449 libmemcached-dev                   	       0        7        7        0        0
37450 libmemcached-tools                 	       0        5        5        0        0
37451 libmemcached10                     	       0        2        0        0        2
37452 libmemcachedutil2t64               	       0        3        1        0        2
37453 libmemtailor0                      	       0        1        0        0        1
37454 libmenhir-ocaml-dev                	       0        1        1        0        0
37455 libmenlo-legacy-perl               	       0        3        3        0        0
37456 libmenlo-perl                      	       0        3        3        0        0
37457 libmenu-cache-dev                  	       0        5        5        0        0
37458 libmenu-cache-doc                  	       0        1        0        0        1
37459 libmenu-cache1                     	       0        1        0        0        1
37460 libmercator-0.2-4c2a               	       0        1        0        0        1
37461 libmercator-0.3-3                  	       0        1        0        0        1
37462 libmeshoptimizer-dev               	       0        1        1        0        0
37463 libmeshoptimizer2d                 	       0        4        0        0        4
37464 libmessage-filters-dev             	       0        2        2        0        0
37465 libmessage-filters1d               	       0        3        0        0        3
37466 libmessagelist4                    	       0        2        0        0        2
37467 libmessaging-menu-dev              	       0        1        1        0        0
37468 libmessaging-menu0                 	       0        9        0        0        9
37469 libmessagingmenu12.10-cil          	       0        1        0        0        1
37470 libmeta-perl                       	       0        1        0        0        1
37471 libmetacity1                       	       0        8        0        0        8
37472 libmetadata-extractor-java         	       0       72        0        0       72
37473 libmethod-autoload-perl            	       0        3        3        0        0
37474 libmethod-signatures-simple-perl   	       0        1        1        0        0
37475 libmetis-dev                       	       0       13       13        0        0
37476 libmetis-edf4.1                    	       0        1        0        0        1
37477 libmetkit-data                     	       0        1        0        0        1
37478 libmetkit0d                        	       0        1        0        0        1
37479 libmetrics-any-perl                	       0       31       31        0        0
37480 libmetro-policy-java               	       0        3        0        0        3
37481 libmetview0d                       	       0        1        0        0        1
37482 libmfsio1                          	       0        1        0        0        1
37483 libmfx-dev                         	       0        6        6        0        0
37484 libmfx-tools                       	       0        2        2        0        0
37485 libmfx0                            	       0       14        0        0       14
37486 libmgba                            	       0        1        0        0        1
37487 libmgba-dev                        	       0        1        1        0        0
37488 libmgba0.10                        	       0       14        0        0       14
37489 libmgba0.10t64                     	       0        1        0        0        1
37490 libmgl-data                        	       0        8        0        0        8
37491 libmgl-fltk7.5.0                   	       0        1        0        0        1
37492 libmgl-fltk8                       	       0        3        0        0        3
37493 libmgl-qt5-8                       	       0        2        0        0        2
37494 libmgl7.2.0                        	       0        1        0        0        1
37495 libmgl7.5.0                        	       0        1        0        0        1
37496 libmgl8                            	       0        5        0        0        5
37497 libmhash-dev                       	       0        6        6        0        0
37498 libmia-2.4-4                       	       0        2        0        0        2
37499 libmialm3                          	       0        2        0        0        2
37500 libmiaviewit0                      	       0        2        0        0        2
37501 libmicroba-java                    	       0       25        0        0       25
37502 libmicroblog4                      	       0        7        0        0        7
37503 libmicrodns-dev                    	       0        4        4        0        0
37504 libmicrodns0                       	       0       49        0        0       49
37505 libmicrohttpd-dev                  	       0       27       26        1        0
37506 libmicrohttpd10                    	       0        9        0        0        9
37507 libmicrohttpd5                     	       0        1        0        0        1
37508 libmidi-perl                       	       0        2        2        0        0
37509 libmiglayout-java                  	       0       45        0        0       45
37510 libmigrate-parsetree-ocaml         	       0        1        0        0        1
37511 libmikmod-config                   	       0       16        7        0        9
37512 libmikmod2                         	       0       12        0        0       12
37513 libmikmod2-dev                     	       0        3        3        0        0
37514 libmimalloc2.0                     	       0       12        0        0       12
37515 libmimalloc3                       	       0        4        0        0        4
37516 libmime-encwords-perl              	       0        3        3        0        0
37517 libmime-explode-perl               	       0        1        0        0        1
37518 libmime-lite-html-perl             	       0        3        3        0        0
37519 libmime-perl                       	       0        2        0        0        2
37520 libmimelib1-dev                    	       0        1        1        0        0
37521 libmimelib1c2a                     	       0        2        0        0        2
37522 libmimelib1c2a-trinity             	       0       30        1        0       29
37523 libmimepull-java                   	       0        3        0        0        3
37524 libmimetic0                        	       0        1        0        0        1
37525 libmimetic0t64                     	       0        1        0        0        1
37526 libmimetic0v5                      	       0        1        0        0        1
37527 libmimetreeparser-data             	       0        6        0        0        6
37528 libmimic-doc                       	       0        1        0        0        1
37529 libmimic0                          	       0       26        0        0       26
37530 libminc-dev                        	       0        2        2        0        0
37531 libminc2-5.2.0                     	       0        3        0        0        3
37532 libminc2-5.3.0                     	       0        8        0        0        8
37533 libming-dev                        	       0        1        1        0        0
37534 libming-util                       	       0        1        1        0        0
37535 libming0                           	       0        1        0        0        1
37536 libming1                           	       0        1        0        0        1
37537 libmini18n-dev                     	       0        1        1        0        0
37538 libmini18n1                        	       0        7        0        0        7
37539 libminidjvu-dev                    	       0        1        1        0        0
37540 libminidjvu-mod-settings0          	       0        1        0        0        1
37541 libminidjvu-mod0                   	       0        1        0        0        1
37542 libminidjvu0                       	       0        6        0        0        6
37543 libminidjvu0t64                    	       0        2        0        0        2
37544 libminify-maven-plugin-java        	       0        1        0        0        1
37545 libminilector38u-ccid-bit4id       	       0        3        3        0        0
37546 libminimap0                        	       0        1        0        0        1
37547 libminini1                         	       0        7        0        0        7
37548 libminion-backend-sqlite-perl      	       0        1        1        0        0
37549 libminion-perl                     	       0        1        1        0        0
37550 libminiupnpc-dev                   	       0       21       21        0        0
37551 libminiupnpc10                     	       0       35        0        0       35
37552 libminiupnpc5                      	       0       10       10        0        0
37553 libminiupnpc8                      	       0        2        0        0        2
37554 libminizip-dev                     	       0       62       62        0        0
37555 libminlog-java                     	       0       47        0        0       47
37556 libminpack1                        	       0        4        0        0        4
37557 libmirage11                        	       0        2        1        0        1
37558 libmiral3                          	       0        1        0        0        1
37559 libmiral5                          	       0        1        0        0        1
37560 libmirclient9                      	       0        1        0        0        1
37561 libmircommon7                      	       0        1        0        0        1
37562 libmircommon9                      	       0        2        0        0        2
37563 libmircookie2                      	       0        2        0        0        2
37564 libmircore1                        	       0        1        0        0        1
37565 libmircore2                        	       0        2        0        0        2
37566 libmiroil3                         	       0        1        0        0        1
37567 libmirplatform18                   	       0        1        0        0        1
37568 libmirplatform24                   	       0        2        0        0        2
37569 libmirprotobuf3                    	       0        1        0        0        1
37570 libmirserver53                     	       0        1        0        0        1
37571 libmirserver58                     	       0        1        0        0        1
37572 libmirwayland0                     	       0        1        0        0        1
37573 libmirwayland4                     	       0        2        0        0        2
37574 libmission-control-plugins0t64     	       0        8        0        0        8
37575 libmixin-linewise-perl             	       0       11       11        0        0
37576 libmixlib-cli-ruby1.9.1            	       0        1        0        0        1
37577 libmjollnir0                       	       0        1        0        0        1
37578 libmjpegtools-1.9                  	       0        1        0        0        1
37579 libmjpegtools-dev                  	       0        3        3        0        0
37580 libmjpegutils-2.0-0                	       0        3        0        0        3
37581 libmjpegutils-2.1-0                	       0     2763        0        0     2763
37582 libmjpegutils-2.1-0t64             	       0      199        0        0      199
37583 libmjpegutils-2.2-0                	       0       45        0        0       45
37584 libmjpegutils-2.2-0t64             	       0       12        0        0       12
37585 libmjson-java                      	       0        7        0        0        7
37586 libmkl-avx                         	       0        5        0        0        5
37587 libmkl-avx2                        	       0        5        0        0        5
37588 libmkl-avx512                      	       0        5        0        0        5
37589 libmkl-avx512-mic                  	       0        5        0        0        5
37590 libmkl-blacs-intelmpi-ilp64        	       0        1        0        0        1
37591 libmkl-blacs-intelmpi-lp64         	       0        1        0        0        1
37592 libmkl-blacs-openmpi-ilp64         	       0        1        0        0        1
37593 libmkl-blacs-openmpi-lp64          	       0        1        0        0        1
37594 libmkl-blacs-sgimpt-ilp64          	       0        1        0        0        1
37595 libmkl-blacs-sgimpt-lp64           	       0        1        0        0        1
37596 libmkl-cdft-core                   	       0        1        0        0        1
37597 libmkl-cluster-dev                 	       0        1        0        0        1
37598 libmkl-computational-dev           	       0        4        0        0        4
37599 libmkl-core                        	       0        5        0        0        5
37600 libmkl-def                         	       0        5        0        0        5
37601 libmkl-dev                         	       0        4        4        0        0
37602 libmkl-gf-ilp64                    	       0        5        0        0        5
37603 libmkl-gf-lp64                     	       0        5        0        0        5
37604 libmkl-gnu-thread                  	       0        5        0        0        5
37605 libmkl-intel-ilp64                 	       0        5        0        0        5
37606 libmkl-intel-lp64                  	       0        5        0        0        5
37607 libmkl-intel-thread                	       0        5        0        0        5
37608 libmkl-interface-dev               	       0        4        0        0        4
37609 libmkl-locale                      	       0        5        0        0        5
37610 libmkl-mc                          	       0        5        0        0        5
37611 libmkl-mc3                         	       0        5        0        0        5
37612 libmkl-meta-cluster                	       0        1        0        0        1
37613 libmkl-meta-computational          	       0        5        0        0        5
37614 libmkl-meta-interface              	       0        5        0        0        5
37615 libmkl-meta-threading              	       0        5        0        0        5
37616 libmkl-pgi-thread                  	       0        5        0        0        5
37617 libmkl-rt                          	       0        4        0        0        4
37618 libmkl-scalapack-ilp64             	       0        1        0        0        1
37619 libmkl-scalapack-lp64              	       0        1        0        0        1
37620 libmkl-sequential                  	       0        5        0        0        5
37621 libmkl-tbb-thread                  	       0        2        0        0        2
37622 libmkl-threading-dev               	       0        4        0        0        4
37623 libmkl-vml-avx                     	       0        5        0        0        5
37624 libmkl-vml-avx2                    	       0        5        0        0        5
37625 libmkl-vml-avx512                  	       0        5        0        0        5
37626 libmkl-vml-avx512-mic              	       0        5        0        0        5
37627 libmkl-vml-cmpt                    	       0        5        0        0        5
37628 libmkl-vml-def                     	       0        5        0        0        5
37629 libmkl-vml-mc                      	       0        5        0        0        5
37630 libmkl-vml-mc2                     	       0        5        0        0        5
37631 libmkl-vml-mc3                     	       0        5        0        0        5
37632 libmkv0                            	       0        3        0        0        3
37633 libmlpack-dev                      	       0        1        1        0        0
37634 libmlpack3                         	       0        2        0        0        2
37635 libmlpost-ocaml-dev                	       0        3        3        0        0
37636 libmlpost-ocaml-doc                	       0        3        0        0        3
37637 libmlrisctools-smlnj               	       0        1        0        0        1
37638 libmlt++-7-7                       	       0        7        0        0        7
37639 libmlt++-dev                       	       0        2        2        0        0
37640 libmlt++3                          	       0       63        0        0       63
37641 libmlt++7                          	       0      160        1        0      159
37642 libmlt-7-7                         	       0        7        0        0        7
37643 libmlt-data                        	       0      219        0        0      219
37644 libmlt-dev                         	       0        1        1        0        0
37645 libmlt6                            	       0       63        0        0       63
37646 libmlt7                            	       0      160        1        0      159
37647 libmlt7-data                       	       0        7        0        0        7
37648 libmlv3                            	       0        1        0        0        1
37649 libmlv3-dev                        	       0        1        1        0        0
37650 libmm-dev                          	       0        1        1        0        0
37651 libmm-glib-doc                     	       0        1        0        0        1
37652 libmm-ocaml                        	       0        1        1        0        0
37653 libmm-ocaml-dev                    	       0        1        1        0        0
37654 libmm14                            	       0        6        1        0        5
37655 libmmap-ocaml                      	       0        1        1        0        0
37656 libmmdb2-0                         	       0        1        0        0        1
37657 libmmdb2-dev                       	       0        1        1        0        0
37658 libmmpong0.9                       	       0        1        0        0        1
37659 libmms-dev                         	       0        5        5        0        0
37660 libmmtf-java                       	       0        1        0        0        1
37661 libmnemonicsetter-java             	       0       30        0        0       30
37662 libmng-dev                         	       0       15       15        0        0
37663 libmng2                            	       0       47        0        0       47
37664 libmnl-dev                         	       0       20       20        0        0
37665 libmobi-dev                        	       0        1        1        0        0
37666 libmobi-tools                      	       0        2        2        0        0
37667 libmobi0                           	       0        3        0        0        3
37668 libmockito-java                    	       0        3        0        0        3
37669 libmockobjects-java                	       0        2        0        0        2
37670 libmockobjects-java-doc            	       0        1        0        0        1
37671 libmodbus-dev                      	       0        7        7        0        0
37672 libmodello-java                    	       0        4        0        0        4
37673 libmodello-maven-plugin-java       	       0        1        0        0        1
37674 libmodem-vgetty-perl               	       0        1        1        0        0
37675 libmodemmanagerqt1                 	       0        1        0        0        1
37676 libmodern-perl-perl                	       0        7        7        0        0
37677 libmodglue1                        	       0        1        1        0        0
37678 libmodhmm-dev                      	       0        1        0        0        1
37679 libmodhmm0                         	       0        1        0        0        1
37680 libmodpbase64-0                    	       0        4        0        0        4
37681 libmodpbase64-dev                  	       0        3        3        0        0
37682 libmodplug0c2                      	       0        1        0        0        1
37683 libmodulator-java                  	       0        1        0        0        1
37684 libmodule-bundled-files-perl       	       0        2        2        0        0
37685 libmodule-cpants-analyse-perl      	       0        1        1        0        0
37686 libmodule-depends-perl             	       0       10       10        0        0
37687 libmodule-extract-perl             	       0        1        1        0        0
37688 libmodule-extract-use-perl         	       0        1        1        0        0
37689 libmodule-extract-version-perl     	       0        1        1        0        0
37690 libmodule-extractuse-perl          	       0        1        1        0        0
37691 libmodule-info-perl                	       0        3        3        0        0
37692 libmodule-inspector-perl           	       0        1        1        0        0
37693 libmodule-install-authorrequires-perl	       0        1        1        0        0
37694 libmodule-install-authortests-perl 	       0        2        2        0        0
37695 libmodule-install-contributors-perl	       0        1        1        0        0
37696 libmodule-install-perl             	       0        5        5        0        0
37697 libmodule-install-readmefrompod-perl	       0        1        1        0        0
37698 libmodule-manifest-perl            	       0        3        3        0        0
37699 libmodule-manifest-skip-perl       	       0        7        7        0        0
37700 libmodule-math-depends-perl        	       0        1        1        0        0
37701 libmodule-metadata-perl            	       0        5        5        0        0
37702 libmodule-path-perl                	       0        1        1        0        0
37703 libmodule-used-perl                	       0        1        1        0        0
37704 libmodule-util-perl                	       0       12       12        0        0
37705 libmodule-versions-report-perl     	       0        1        1        0        0
37706 libmodule-want-perl                	       0        1        1        0        0
37707 libmodulemd2                       	       0        5        0        0        5
37708 libmoe1.5                          	       0        1        1        0        0
37709 libmoebinv0                        	       0        2        0        0        2
37710 libmojo-executor-java              	       0        1        0        0        1
37711 libmojo-ioloop-readwriteprocess-perl	       0        1        1        0        0
37712 libmojo-jwt-perl                   	       0        2        2        0        0
37713 libmojo-pg-perl                    	       0        1        1        0        0
37714 libmojo-rabbitmq-client-perl       	       0        1        1        0        0
37715 libmojo-sqlite-perl                	       0        1        1        0        0
37716 libmojolicious-plugin-assetpack-perl	       0        1        1        0        0
37717 libmojolicious-plugin-authentication-perl	       0        1        1        0        0
37718 libmojolicious-plugin-authorization-perl	       0        1        1        0        0
37719 libmojolicious-plugin-basicauth-perl	       0        1        1        0        0
37720 libmojolicious-plugin-bcrypt-perl  	       0        1        1        0        0
37721 libmojolicious-plugin-i18n-perl    	       0        1        1        0        0
37722 libmojolicious-plugin-mailexception-perl	       0        1        1        0        0
37723 libmojolicious-plugin-oauth2-perl  	       0        1        1        0        0
37724 libmojolicious-plugin-openapi-perl 	       0        4        3        1        0
37725 libmojolicious-plugin-renderfile-perl	       0        2        2        0        0
37726 libmondorescue-perl                	       0        1        1        0        0
37727 libmongo-client0                   	       0        2        0        0        2
37728 libmongoc-1.0-0                    	       0       59        0        0       59
37729 libmongoc-1.0-0t64                 	       0        6        0        0        6
37730 libmongoc-dev                      	       0        1        1        0        0
37731 libmongoclient-dev                 	       0        1        1        0        0
37732 libmongoclient0                    	       0        1        0        0        1
37733 libmongocrypt-dev                  	       0        1        1        0        0
37734 libmongocrypt0                     	       0       61        0        0       61
37735 libmongodb-java                    	       0      143        0        0      143
37736 libmongodb-perl                    	       0        3        3        0        0
37737 libmongoose2                       	       0       31        0        0       31
37738 libmongoose3                       	       0        1        0        0        1
37739 libmonkey-patch-action-perl        	       0        1        1        0        0
37740 libmono-2.0-1                      	       0       59        0        0       59
37741 libmono-accessibility2.0-cil       	       0        3        3        0        0
37742 libmono-addins-cil-dev             	       0        3        3        0        0
37743 libmono-addins-gui0.2-cil          	       0       21       21        0        0
37744 libmono-addins-msbuild-cil-dev     	       0        2        2        0        0
37745 libmono-addins-msbuild0.2-cil      	       0        3        3        0        0
37746 libmono-addins0.2-cil              	       0       27       27        0        0
37747 libmono-bytefx0.7.6.2-cil          	       0        1        1        0        0
37748 libmono-c5-1.1-cil                 	       0        3        3        0        0
37749 libmono-cairo2.0-cil               	       0        3        3        0        0
37750 libmono-cecil-private-cil          	       0       95       93        2        0
37751 libmono-cecil-vb0.9-cil            	       0        2        2        0        0
37752 libmono-codecontracts4.0-cil       	       0       91       89        2        0
37753 libmono-compilerservices-symbolwriter4.0-cil	       0       92       90        2        0
37754 libmono-corlib2.0-cil              	       0        3        3        0        0
37755 libmono-corlib4.0-cil              	       0        4        4        0        0
37756 libmono-cscompmgd0.0-cil           	       0       87       85        2        0
37757 libmono-cscompmgd8.0-cil           	       0        3        3        0        0
37758 libmono-csharp4.0c-cil             	       0      118      115        3        0
37759 libmono-custommarshalers4.0-cil    	       0       91       89        2        0
37760 libmono-data-tds2.0-cil            	       0        3        3        0        0
37761 libmono-data-tds4.0-cil            	       0       97       95        2        0
37762 libmono-data2.0-cil                	       0        1        1        0        0
37763 libmono-db2-1.0-cil                	       0       90       88        2        0
37764 libmono-debugger-soft-cil          	       0        1        0        0        1
37765 libmono-debugger-soft2.0a-cil      	       0        3        3        0        0
37766 libmono-debugger-soft4.0a-cil      	       0       90       88        2        0
37767 libmono-debugging-cil              	       0        1        1        0        0
37768 libmono-debugging-soft-cil         	       0        1        0        0        1
37769 libmono-entityframework-sqlserver6.0-cil	       0        3        3        0        0
37770 libmono-entityframework6.0-cil     	       0        3        3        0        0
37771 libmono-firebirdsql1.7-cil         	       0        1        1        0        0
37772 libmono-getoptions2.0-cil          	       0        1        1        0        0
37773 libmono-http4.0-cil                	       0       91       89        2        0
37774 libmono-i18n-cjk4.0-cil            	       0      110      108        2        0
37775 libmono-i18n-mideast4.0-cil        	       0      110      108        2        0
37776 libmono-i18n-other4.0-cil          	       0      110      108        2        0
37777 libmono-i18n-rare4.0-cil           	       0      110      108        2        0
37778 libmono-i18n-west2.0-cil           	       0        3        3        0        0
37779 libmono-i18n2.0-cil                	       0        3        3        0        0
37780 libmono-i18n4.0-all                	       0      110        0        0      110
37781 libmono-ldap2.0-cil                	       0        3        3        0        0
37782 libmono-ldap4.0-cil                	       0      122      120        2        0
37783 libmono-management2.0-cil          	       0        3        3        0        0
37784 libmono-management4.0-cil          	       0       93       90        3        0
37785 libmono-messaging-rabbitmq2.0-cil  	       0        3        3        0        0
37786 libmono-messaging-rabbitmq4.0-cil  	       0       91       89        2        0
37787 libmono-messaging2.0-cil           	       0        3        3        0        0
37788 libmono-messaging4.0-cil           	       0       96       94        2        0
37789 libmono-microsoft-build-engine4.0-cil	       0       94       92        2        0
37790 libmono-microsoft-build-framework4.0-cil	       0       94       92        2        0
37791 libmono-microsoft-build-tasks-v4.0-4.0-cil	       0       93       91        2        0
37792 libmono-microsoft-build-utilities-v4.0-4.0-cil	       0       94       92        2        0
37793 libmono-microsoft-build2.0-cil     	       0        3        3        0        0
37794 libmono-microsoft-build4.0-cil     	       0       91       89        2        0
37795 libmono-microsoft-csharp4.0-cil    	       0       99       96        3        0
37796 libmono-microsoft-visualbasic10.0-cil	       0        2        2        0        0
37797 libmono-microsoft-visualbasic11.0-cil	       0        1        1        0        0
37798 libmono-microsoft-visualbasic8.0-cil	       0        1        1        0        0
37799 libmono-microsoft-visualc10.0-cil  	       0       91       89        2        0
37800 libmono-microsoft-web-infrastructure1.0-cil	       0       92       90        2        0
37801 libmono-microsoft8.0-cil           	       0        3        3        0        0
37802 libmono-npgsql2.0-cil              	       0        3        3        0        0
37803 libmono-npgsql4.0-cil              	       0        4        4        0        0
37804 libmono-opensystem-c4.0-cil        	       0        4        4        0        0
37805 libmono-oracle2.0-cil              	       0        3        3        0        0
37806 libmono-oracle4.0-cil              	       0       91       89        2        0
37807 libmono-parallel4.0-cil            	       0       90       88        2        0
37808 libmono-peapi2.0a-cil              	       0        3        3        0        0
37809 libmono-peapi4.0a-cil              	       0       90       88        2        0
37810 libmono-posix2.0-cil               	       0        3        3        0        0
37811 libmono-profiler                   	       0       53       49        2        2
37812 libmono-profiler-gui-thread-check  	       0       16        0        0       16
37813 libmono-rabbitmq2.0-cil            	       0        3        3        0        0
37814 libmono-rabbitmq4.0-cil            	       0       91       89        2        0
37815 libmono-relaxng2.0-cil             	       0        3        3        0        0
37816 libmono-relaxng4.0-cil             	       0       91       89        2        0
37817 libmono-security2.0-cil            	       0        3        3        0        0
37818 libmono-sharpzip2.6-cil            	       0        3        3        0        0
37819 libmono-sharpzip2.84-cil           	       0        3        3        0        0
37820 libmono-sharpzip4.84-cil           	       0      117      115        2        0
37821 libmono-simd2.0-cil                	       0        3        3        0        0
37822 libmono-simd4.0-cil                	       0       91       89        2        0
37823 libmono-smdiagnostics0.0-cil       	       0       87       85        2        0
37824 libmono-sqlite2.0-cil              	       0        3        3        0        0
37825 libmono-system-componentmodel-composition4.0-cil	       0       92       90        2        0
37826 libmono-system-componentmodel-dataannotations4.0-cil	       0      122      120        2        0
37827 libmono-system-configuration-install4.0-cil	       0       96       94        2        0
37828 libmono-system-data-datasetextensions4.0-cil	       0       91       89        2        0
37829 libmono-system-data-entity4.0-cil  	       0       87       85        2        0
37830 libmono-system-data-linq2.0-cil    	       0        3        3        0        0
37831 libmono-system-data-linq4.0-cil    	       0       92       90        2        0
37832 libmono-system-data-services-client4.0-cil	       0       93       91        2        0
37833 libmono-system-data-services2.0-cil	       0        3        3        0        0
37834 libmono-system-data-services4.0-cil	       0       91       89        2        0
37835 libmono-system-data2.0-cil         	       0        3        3        0        0
37836 libmono-system-deployment4.0-cil   	       0       87       85        2        0
37837 libmono-system-design4.0-cil       	       0      122      120        2        0
37838 libmono-system-drawing-design4.0-cil	       0       92       90        2        0
37839 libmono-system-dynamic4.0-cil      	       0       91       89        2        0
37840 libmono-system-enterpriseservices4.0-cil	       0      197      193        4        0
37841 libmono-system-identitymodel-selectors4.0-cil	       0       96       94        2        0
37842 libmono-system-identitymodel4.0-cil	       0       96       94        2        0
37843 libmono-system-io-compression-filesystem4.0-cil	       0       90       88        2        0
37844 libmono-system-io-compression4.0-cil	       0       90       88        2        0
37845 libmono-system-json-microsoft4.0-cil	       0       90       88        2        0
37846 libmono-system-json2.0-cil         	       0        3        3        0        0
37847 libmono-system-json4.0-cil         	       0       90       88        2        0
37848 libmono-system-ldap-protocols4.0-cil	       0       90       88        2        0
37849 libmono-system-ldap2.0-cil         	       0        3        3        0        0
37850 libmono-system-ldap4.0-cil         	       0      122      120        2        0
37851 libmono-system-management4.0-cil   	       0       91       89        2        0
37852 libmono-system-messaging2.0-cil    	       0        3        3        0        0
37853 libmono-system-messaging4.0-cil    	       0       96       94        2        0
37854 libmono-system-net-http-formatting4.0-cil	       0       90       88        2        0
37855 libmono-system-net2.0-cil          	       0        3        3        0        0
37856 libmono-system-net4.0-cil          	       0       91       89        2        0
37857 libmono-system-numerics-vectors4.0-cil	       0       87       85        2        0
37858 libmono-system-reactive-core2.2-cil	       0       90       88        2        0
37859 libmono-system-reactive-debugger2.2-cil	       0       90       88        2        0
37860 libmono-system-reactive-experimental2.2-cil	       0       90       88        2        0
37861 libmono-system-reactive-interfaces2.2-cil	       0       90       88        2        0
37862 libmono-system-reactive-linq2.2-cil	       0       90       88        2        0
37863 libmono-system-reactive-observable-aliases0.0-cil	       0       90       88        2        0
37864 libmono-system-reactive-platformservices2.2-cil	       0       90       88        2        0
37865 libmono-system-reactive-providers2.2-cil	       0       90       88        2        0
37866 libmono-system-reactive-runtime-remoting2.2-cil	       0       90       88        2        0
37867 libmono-system-reactive-windows-forms2.2-cil	       0       90       88        2        0
37868 libmono-system-reactive-windows-threading2.2-cil	       0       90       88        2        0
37869 libmono-system-reflection-context4.0-cil	       0       87       85        2        0
37870 libmono-system-runtime-caching4.0-cil	       0       93       91        2        0
37871 libmono-system-runtime-durableinstancing4.0-cil	       0       91       89        2        0
37872 libmono-system-runtime-interopservices-runtimeinformation4.0-cil	       0        3        3        0        0
37873 libmono-system-runtime-serialization-formatters-soap4.0-cil	       0      193      189        4        0
37874 libmono-system-runtime2.0-cil      	       0        3        3        0        0
37875 libmono-system-runtime4.0-cil      	       0      110      108        2        0
37876 libmono-system-servicemodel-activation4.0-cil	       0       96       94        2        0
37877 libmono-system-servicemodel-discovery4.0-cil	       0       91       89        2        0
37878 libmono-system-servicemodel-internals0.0-cil	       0      106      104        2        0
37879 libmono-system-servicemodel-routing4.0-cil	       0       91       89        2        0
37880 libmono-system-servicemodel-web4.0-cil	       0       91       89        2        0
37881 libmono-system-serviceprocess4.0-cil	       0       91       89        2        0
37882 libmono-system-threading-tasks-dataflow4.0-cil	       0       90       88        2        0
37883 libmono-system-web-abstractions4.0-cil	       0       91       89        2        0
37884 libmono-system-web-applicationservices4.0-cil	       0      123      121        2        0
37885 libmono-system-web-dynamicdata4.0-cil	       0       91       89        2        0
37886 libmono-system-web-extensions-design4.0-cil	       0       91       89        2        0
37887 libmono-system-web-extensions4.0-cil	       0       92       90        2        0
37888 libmono-system-web-http-selfhost4.0-cil	       0       90       88        2        0
37889 libmono-system-web-http-webhost4.0-cil	       0       90       88        2        0
37890 libmono-system-web-http4.0-cil     	       0       90       88        2        0
37891 libmono-system-web-mobile4.0-cil   	       0       87       85        2        0
37892 libmono-system-web-mvc1.0-cil      	       0        3        3        0        0
37893 libmono-system-web-mvc2.0-cil      	       0        3        3        0        0
37894 libmono-system-web-mvc3.0-cil      	       0       91       89        2        0
37895 libmono-system-web-razor2.0-cil    	       0       91       89        2        0
37896 libmono-system-web-regularexpressions4.0-cil	       0       87       85        2        0
37897 libmono-system-web-routing4.0-cil  	       0       91       89        2        0
37898 libmono-system-web-webpages-deployment2.0-cil	       0       91       89        2        0
37899 libmono-system-web-webpages-razor2.0-cil	       0       91       89        2        0
37900 libmono-system-web-webpages2.0-cil 	       0       91       89        2        0
37901 libmono-system-web2.0-cil          	       0        3        3        0        0
37902 libmono-system-windows-forms-datavisualization4.0a-cil	       0       90       88        2        0
37903 libmono-system-windows4.0-cil      	       0       90       88        2        0
37904 libmono-system-workflow-activities4.0-cil	       0       87       85        2        0
37905 libmono-system-workflow-componentmodel4.0-cil	       0       87       85        2        0
37906 libmono-system-workflow-runtime4.0-cil	       0       87       85        2        0
37907 libmono-system-xaml4.0-cil         	       0       93       91        2        0
37908 libmono-system-xml-serialization4.0-cil	       0       90       88        2        0
37909 libmono-system2.0-cil              	       0        3        3        0        0
37910 libmono-tasklets2.0-cil            	       0        3        3        0        0
37911 libmono-tasklets4.0-cil            	       0       91       89        2        0
37912 libmono-upnp-cil                   	       0        2        2        0        0
37913 libmono-wcf3.0a-cil                	       0        3        3        0        0
37914 libmono-web4.0-cil                 	       0        6        6        0        0
37915 libmono-webbrowser2.0-cil          	       0        3        3        0        0
37916 libmono-webbrowser4.0-cil          	       0      193      189        4        0
37917 libmono-webmatrix-data4.0-cil      	       0       91       89        2        0
37918 libmono-windowsbase3.0-cil         	       0        3        3        0        0
37919 libmono-winforms2.0-cil            	       0        3        3        0        0
37920 libmono-xbuild-tasks2.0-cil        	       0        3        3        0        0
37921 libmono-xbuild-tasks4.0-cil        	       0       93       91        2        0
37922 libmono0                           	       0        1        0        0        1
37923 libmono2.0-cil                     	       0        3        3        0        0
37924 libmonoboehm-2.0-1                 	       0       74        0        0       74
37925 libmonoboehm-2.0-dev               	       0        3        3        0        0
37926 libmonosgen-2.0-1                  	       0       99        0        0       99
37927 libmonospaceif-common              	       0        2        0        0        2
37928 libmoon                            	       0        1        0        0        1
37929 libmoose-autobox-perl              	       0        1        1        0        0
37930 libmoose-perl                      	       0      108        0        0      108
37931 libmoosex-abstractmethod-perl      	       0        1        1        0        0
37932 libmoosex-aliases-perl             	       0        2        2        0        0
37933 libmoosex-app-cmd-perl             	       0        2        2        0        0
37934 libmoosex-arrayref-perl            	       0        6        6        0        0
37935 libmoosex-async-perl               	       0        1        1        0        0
37936 libmoosex-attribute-chained-perl   	       0        1        1        0        0
37937 libmoosex-attribute-env-perl       	       0        2        2        0        0
37938 libmoosex-attributehelpers-perl    	       0        1        1        0        0
37939 libmoosex-attributeshortcuts-perl  	       0        1        1        0        0
37940 libmoosex-blessed-reconstruct-perl 	       0        1        1        0        0
37941 libmoosex-clone-perl               	       0        1        1        0        0
37942 libmoosex-compiletime-traits-perl  	       0        1        1        0        0
37943 libmoosex-configfromfile-perl      	       0        2        2        0        0
37944 libmoosex-daemonize-perl           	       0        1        1        0        0
37945 libmoosex-declare-perl             	       0        1        1        0        0
37946 libmoosex-followpbp-perl           	       0        2        2        0        0
37947 libmoosex-has-options-perl         	       0        1        1        0        0
37948 libmoosex-has-sugar-perl           	       0        7        7        0        0
37949 libmoosex-hasdefaults-perl         	       0        1        1        0        0
37950 libmoosex-lazyrequire-perl         	       0        2        2        0        0
37951 libmoosex-log-log4perl-perl        	       0        1        1        0        0
37952 libmoosex-meta-typeconstraint-forcecoercion-perl	       0        2        2        0        0
37953 libmoosex-meta-typeconstraint-mooish-perl	       0        1        1        0        0
37954 libmoosex-method-signatures-perl   	       0        2        2        0        0
37955 libmoosex-multiinitarg-perl        	       0        1        1        0        0
37956 libmoosex-object-pluggable-perl    	       0        2        2        0        0
37957 libmoosex-oneargnew-perl           	       0        1        1        0        0
37958 libmoosex-params-validate-perl     	       0        1        1        0        0
37959 libmoosex-role-withoverloading-perl	       0        3        0        0        3
37960 libmoosex-semiaffordanceaccessor-perl	       0        7        7        0        0
37961 libmoosex-setonce-perl             	       0        1        1        0        0
37962 libmoosex-singlearg-perl           	       0        1        1        0        0
37963 libmoosex-storage-perl             	       0        1        1        0        0
37964 libmoosex-strictconstructor-perl   	       0        5        5        0        0
37965 libmoosex-traitfor-meta-class-betteranonclassnames-perl	       0        1        1        0        0
37966 libmoosex-traits-perl              	       0        3        3        0        0
37967 libmoosex-types-common-perl        	       0        2        2        0        0
37968 libmoosex-types-datetime-morecoercions-perl	       0        1        1        0        0
37969 libmoosex-types-datetime-perl      	       0        2        2        0        0
37970 libmoosex-types-json-perl          	       0        1        1        0        0
37971 libmoosex-types-netaddr-ip-perl    	       0        1        1        0        0
37972 libmoosex-types-path-class-perl    	       0        3        3        0        0
37973 libmoosex-types-path-tiny-perl     	       0        2        2        0        0
37974 libmoosex-types-perl-perl          	       0        1        1        0        0
37975 libmoosex-types-set-object-perl    	       0        1        1        0        0
37976 libmoosex-types-stringlike-perl    	       0        2        2        0        0
37977 libmoosex-types-structured-perl    	       0        3        3        0        0
37978 libmoosex-types-varianttable-perl  	       0        1        1        0        0
37979 libmoosex-util-perl                	       0        1        1        0        0
37980 libmoosex-yaml-perl                	       0        1        1        0        0
37981 libmoox-log-any-perl               	       0        1        1        0        0
37982 libmoox-role-logger-perl           	       0        1        1        0        0
37983 libmoox-singleton-perl             	       0        1        1        0        0
37984 libmoox-struct-perl                	       0       38       37        1        0
37985 libmoox-types-mooselike-numeric-perl	       0        7        7        0        0
37986 libmoox-types-setobject-perl       	       0        1        1        0        0
37987 libmoox-typetiny-perl              	       0        3        3        0        0
37988 libmopac7-1gf                      	       0        3        0        0        3
37989 libmorfologik-stemming2-java       	       0        1        0        0        1
37990 libmorph                           	       0        6        0        0        6
37991 libmorph-dev                       	       0        1        1        0        0
37992 libmosquitto-dev                   	       0        5        5        0        0
37993 libmotif-common                    	       0      411        0        0      411
37994 libmotif3                          	       0        2        0        0        2
37995 libmotif4                          	       0        1        0        0        1
37996 libmount1-dbgsym                   	       0        1        1        0        0
37997 libmouse-perl                      	       0      646        0        0      646
37998 libmousex-configfromfile-perl      	       0        1        1        0        0
37999 libmousex-getopt-perl              	       0        1        1        0        0
38000 libmousex-types-path-class-perl    	       0        1        1        0        0
38001 libmousex-types-perl               	       0        1        1        0        0
38002 libmove-base-msgs-dev              	       0        1        1        0        0
38003 libmovit-dev                       	       0        1        1        0        0
38004 libmovit5                          	       0        6        0        0        6
38005 libmovit8                          	       0      216        1        0      215
38006 libmowgli-2-0                      	       0        2        0        0        2
38007 libmowgli1                         	       0        1        0        0        1
38008 libmowgli2                         	       0        4        4        0        0
38009 libmozilla-ca-perl                 	       0        1        1        0        0
38010 libmozjpeg-dev                     	       0        1        1        0        0
38011 libmozjpeg8                        	       0        1        0        0        1
38012 libmozjs-102-dev                   	       0        2        2        0        0
38013 libmozjs-115-0                     	       0        1        0        0        1
38014 libmozjs-128-0                     	       0       42        1        1       40
38015 libmozjs-128-dev                   	       0        1        0        1        0
38016 libmozjs-24-0                      	       0       45        0        0       45
38017 libmozjs-52-0                      	       0       12        0        0       12
38018 libmozjs-60-0                      	       0       20        1        0       19
38019 libmozjs-60-dev                    	       0        1        1        0        0
38020 libmozjs-78-dev                    	       0        3        3        0        0
38021 libmozjs-91-0                      	       0        1        0        0        1
38022 libmozjs-dev                       	       0        1        1        0        0
38023 libmozjs0d                         	       0        1        0        0        1
38024 libmozjs10d                        	       0        8        0        0        8
38025 libmozjs17d                        	       0        4        0        0        4
38026 libmozjs185-1.0                    	       0       15        0        0       15
38027 libmozjs185-1.0-dbgsym             	       0        1        1        0        0
38028 libmozjs24d                        	       0       10        0        0       10
38029 libmozjs26d                        	       0        2        0        0        2
38030 libmozjs2d                         	       0        1        0        0        1
38031 libmozldap-0d                      	       0        1        0        0        1
38032 libmp3-tag-perl                    	       0       49       49        0        0
38033 libmp3lame-ocaml                   	       0        2        2        0        0
38034 libmp3lame-ocaml-dev               	       0        2        2        0        0
38035 libmp3spi-java                     	       0        1        0        0        1
38036 libmp3splt-dev                     	       0        1        1        0        0
38037 libmp3splt0                        	       0        7        0        0        7
38038 libmp3splt0-flac                   	       0        4        0        0        4
38039 libmp3splt0-mp3                    	       0        6        0        0        6
38040 libmp3splt0-ogg                    	       0        6        0        0        6
38041 libmp3tag-ruby1.9.1                	       0        1        0        0        1
38042 libmp4-info-perl                   	       0        4        3        1        0
38043 libmp4v2-1                         	       0        2        0        0        2
38044 libmp4v2-2                         	       0       43        0        0       43
38045 libmp4v2-dev                       	       0        3        2        1        0
38046 libmpack0                          	       0        3        0        0        3
38047 libmpc2                            	       0       31        0        0       31
38048 libmpcdec-dev                      	       0       21       21        0        0
38049 libmpcdec3                         	       0        2        0        0        2
38050 libmpd-dev                         	       0        1        1        0        0
38051 libmpd1                            	       0       18        0        0       18
38052 libmpdclient-dev                   	       0       14       14        0        0
38053 libmpdclient-doc                   	       0        2        0        0        2
38054 libmpdec-dev                       	       0        2        2        0        0
38055 libmpeg2-4-dev                     	       0       28       27        1        0
38056 libmpeg2encpp-2.0-0                	       0        3        0        0        3
38057 libmpeg2encpp-2.1-0                	       0     2762        0        0     2762
38058 libmpeg2encpp-2.1-0t64             	       0      199        0        0      199
38059 libmpeg2encpp-2.2-0                	       0       44        0        0       44
38060 libmpeg2encpp-2.2-0t64             	       0       12        0        0       12
38061 libmpeg3-1                         	       0        1        0        0        1
38062 libmpeg3-2                         	       0       21        0        0       21
38063 libmpeg3-2t64                      	       0        5        0        0        5
38064 libmpeg3-dev                       	       0       17       17        0        0
38065 libmpeg3hv-1.5.0-1                 	       0        1        0        0        1
38066 libmpfi-dev                        	       0       10        0        0       10
38067 libmpfi-dev-common                 	       0       10       10        0        0
38068 libmpfi0                           	       0       74        0        0       74
38069 libmpfr-doc                        	       0       10        0        0       10
38070 libmpfr1ldbl                       	       0        2        0        0        2
38071 libmpfr4                           	       0      480        0        0      480
38072 libmpfrc++-dev                     	       0       14       14        0        0
38073 libmpich-dev                       	       0       13       13        0        0
38074 libmpich1.0-dev                    	       0        1        1        0        0
38075 libmpich1.0gf                      	       0        3        3        0        0
38076 libmpich12                         	       0       16        0        0       16
38077 libmpj-java                        	       0        1        1        0        0
38078 libmplex2-2.0-0                    	       0        3        0        0        3
38079 libmplex2-2.1-0                    	       0     2762        0        0     2762
38080 libmplex2-2.1-0t64                 	       0      199        0        0      199
38081 libmplex2-2.2-0                    	       0       44        0        0       44
38082 libmplex2-2.2-0t64                 	       0       12        0        0       12
38083 libmpris-qt5-1                     	       0        7        0        0        7
38084 libmps3                            	       0        1        0        0        1
38085 libmpv-dev                         	       0       14       14        0        0
38086 libmpv1                            	       0       26        1        0       25
38087 libmpvqt1                          	       0        2        0        0        2
38088 libmpx0                            	       0        7        0        0        7
38089 libmpx2                            	       0      512        0        0      512
38090 libmpx2-dbg                        	       0        1        1        0        0
38091 libmpx2-i386-cross                 	       0        4        0        0        4
38092 libmqtt-client-java                	       0        1        0        0        1
38093 libmrm4                            	       0       38        0        0       38
38094 libmrml1c2a                        	       0        5        0        0        5
38095 libmrss0                           	       0        8        0        0        8
38096 libmrss0-dev                       	       0        1        1        0        0
38097 libmsgcat-perl                     	       0        3        0        0        3
38098 libmsgpack-cxx-dev                 	       0        3        3        0        0
38099 libmsgpack-dev                     	       0        4        4        0        0
38100 libmsgpack-java                    	       0        1        0        0        1
38101 libmsgpack-ruby1.9.1               	       0        1        0        0        1
38102 libmsgpack3                        	       0        1        0        0        1
38103 libmsgraph-0-1                     	       0       94        0        0       94
38104 libmsgraph-1-1                     	       0       41        0        0       41
38105 libmsgraph-dev                     	       0        1        0        1        0
38106 libmsgraph-doc                     	       0        1        0        0        1
38107 libmsgsl-dev                       	       0        4        0        0        4
38108 libmsi0                            	       0       18        0        0       18
38109 libmsiecf-utils                    	       0        1        1        0        0
38110 libmsiecf1                         	       0       15        0        0       15
38111 libmsn0.3                          	       0        5        0        0        5
38112 libmsoffice-word-surgeon-perl      	       0        1        1        0        0
38113 libmsoffice-word-template-perl     	       0        1        1        0        0
38114 libmspack-dev                      	       0        6        6        0        0
38115 libmspack-doc                      	       0        2        0        0        2
38116 libmspub-0.0-0                     	       0        1        0        0        1
38117 libmsv-java                        	       0      188        0        0      188
38118 libmsv1                            	       0        1        0        0        1
38119 libmt32emu                         	       0        1        0        0        1
38120 libmtbl-dev                        	       0        1        1        0        0
38121 libmtbl1                           	       0        1        0        0        1
38122 libmtj-java                        	       0        1        0        0        1
38123 libmtp-dev                         	       0       24       24        0        0
38124 libmtp-doc                         	       0        4        0        0        4
38125 libmtp7                            	       0        1        0        0        1
38126 libmu-dbm9                         	       0        3        0        0        3
38127 libmu-dbm9t64                      	       0        1        0        0        1
38128 libmuffin-dev                      	       0        1        1        0        0
38129 libmujs-dev                        	       0       13       13        0        0
38130 libmujs1                           	       0       43        0        0       43
38131 libmultibitnums-ruby1.9.1          	       0        1        0        0        1
38132 libmulticobex1                     	       0       17        0        0       17
38133 libmulticobex1t64                  	       0        2        0        0        2
38134 libmultidimensional-perl           	       0       24        0        0       24
38135 libmultiverse-core-java            	       0       68        0        0       68
38136 libmumps-4.10.0                    	       0        1        0        0        1
38137 libmumps-5.3                       	       0        2        0        0        2
38138 libmumps-5.3.3                     	       0        1        0        0        1
38139 libmumps-5.4                       	       0        2        0        0        2
38140 libmumps-5.5                       	       0       55        0        0       55
38141 libmumps-5.6                       	       0        2        0        0        2
38142 libmumps-5.6t64                    	       0        1        0        0        1
38143 libmumps-5.7                       	       0        2        0        0        2
38144 libmumps-dev                       	       0        4        0        0        4
38145 libmumps-headers-dev               	       0        4        4        0        0
38146 libmumps-scotch-5.1.2              	       0        1        0        0        1
38147 libmumps-seq-5.3                   	       0        1        0        0        1
38148 libmumps-seq-5.5                   	       0        5        0        0        5
38149 libmumps-seq-5.6                   	       0        1        0        0        1
38150 libmumps-seq-dev                   	       0        1        0        0        1
38151 libmunge-maven-plugin-java         	       0        1        0        0        1
38152 libmunge2                          	       0      271        4        0      267
38153 libmuparser-dev                    	       0        6        6        0        0
38154 libmuparser2                       	       0        1        0        0        1
38155 libmuparserx-dev                   	       0        2        2        0        0
38156 libmuparserx4.0.11                 	       0        2        0        0        2
38157 libmupdf-dev                       	       0        6        5        1        0
38158 libmupdf25.1                       	       0       23        0        0       23
38159 libmupen64plus-dev                 	       0        1        1        0        0
38160 libmupen64plus2                    	       0       16        0        0       16
38161 libmurmurhash2                     	       0        2        0        0        2
38162 libmuroar0                         	       0        1        0        0        1
38163 libmuscle1                         	       0        1        0        0        1
38164 libmusic1v5                        	       0        1        0        0        1
38165 libmusicbrainz                     	       0        1        1        0        0
38166 libmusicbrainz-discid-perl         	       0      102        0        0      102
38167 libmusicbrainz3-6                  	       0       23        0        0       23
38168 libmusicbrainz4c2a                 	       0        3        0        0        3
38169 libmusicbrainz5-0                  	       0        3        0        0        3
38170 libmusicbrainz5-1                  	       0       10        0        0       10
38171 libmusicbrainz5-2                  	       0      354        0        0      354
38172 libmusicbrainz5-dev                	       0        3        3        0        0
38173 libmustache-d-dev                  	       0        1        0        0        1
38174 libmustache-d0                     	       0        1        0        0        1
38175 libmutter-10-0                     	       0        1        0        0        1
38176 libmutter-12-0                     	       0        2        0        0        2
38177 libmutter-14-0                     	       0        5        3        0        2
38178 libmutter-15-0                     	       0        8        0        0        8
38179 libmutter-16-0                     	       0        4        0        1        3
38180 libmutter-3-0                      	       0        6        1        0        5
38181 libmutter-7-0                      	       0       31        3        0       28
38182 libmutter-9-0                      	       0        1        0        0        1
38183 libmutter0i                        	       0        4        0        0        4
38184 libmwaw-0.2-2                      	       0        1        0        0        1
38185 libmwaw-dev                        	       0        1        0        0        1
38186 libmx-1.0-2                        	       0        1        0        0        1
38187 libmx-bin                          	       0        6        6        0        0
38188 libmx-common                       	       0        6        0        0        6
38189 libmx4j-java                       	       0        4        0        0        4
38190 libmxml-bin                        	       0       39       39        0        0
38191 libmxml-dev                        	       0       45       45        0        0
38192 libmygpo-qt-dev                    	       0        2        2        0        0
38193 libmygpo-qt1                       	       0        6        0        0        6
38194 libmygui-dev                       	       0        6        6        0        0
38195 libmygui.ogreplatform0debian1v5    	       0        7        0        0        7
38196 libmygui.ogreplatform0debian1v5-dbgsym	       0        1        1        0        0
38197 libmygui.opengl3platform0debian1v5 	       0        5        0        0        5
38198 libmygui.opengl3platform0debian1v5-dbgsym	       0        1        1        0        0
38199 libmygui.openglplatform0debian1v5  	       0        6        0        0        6
38200 libmygui.openglplatform0debian1v5-dbgsym	       0        1        1        0        0
38201 libmyguiengine3debian1t64          	       0        1        0        0        1
38202 libmyguiengine3debian1v5           	       0       19        0        0       19
38203 libmyguiengine3debian1v5-dbgsym    	       0        1        1        0        0
38204 libmyodbc                          	       0       12        0        0       12
38205 libmypaint-1.3-0                   	       0      123        0        0      123
38206 libmypaint-common                  	       0     1666        0        0     1666
38207 libmypaint-dev                     	       0        1        1        0        0
38208 libmysofa-dev                      	       0       12       11        1        0
38209 libmysofa-utils                    	       0        6        6        0        0
38210 libmysofa0                         	       0      182        0        0      182
38211 libmyspell3c2                      	       0        1        0        0        1
38212 libmysql++-dev                     	       0        4        4        0        0
38213 libmysql++-doc                     	       0        1        1        0        0
38214 libmysql++3                        	       0        1        0        0        1
38215 libmysql++3v5                      	       0        3        0        0        3
38216 libmysql-java                      	       0        6        0        0        6
38217 libmysql-ocaml                     	       0        1        1        0        0
38218 libmysql-ocaml-dev                 	       0        1        1        0        0
38219 libmysql-ruby1.9.1                 	       0        1        0        0        1
38220 libmysqlclient-dev                 	       0       19       18        1        0
38221 libmysqlclient15-dev               	       0        2        2        0        0
38222 libmysqlclient16                   	       0       10        0        0       10
38223 libmysqlclient20                   	       0        2        0        0        2
38224 libmysqlclient21                   	       0        2        0        0        2
38225 libmysqlcppconn-dev                	       0        3        3        0        0
38226 libmysqlcppconn7                   	       0        1        0        0        1
38227 libmysqlcppconn7t64                	       0        1        0        0        1
38228 libmysqlcppconn7v5                 	       0        5        1        0        4
38229 libmyth-34                         	       0        1        1        0        0
38230 libmythavcodec58                   	       0        1        0        0        1
38231 libmythavdevice58                  	       0        1        0        0        1
38232 libmythavdevice59                  	       0        2        0        0        2
38233 libmythavdevice61                  	       0        2        0        0        2
38234 libmythavfilter7                   	       0        1        0        0        1
38235 libmythavformat58                  	       0        1        0        0        1
38236 libmythavutil52                    	       0        1        0        0        1
38237 libmythavutil56                    	       0        1        0        0        1
38238 libmythes-dev                      	       0        1        0        0        1
38239 libmythpostproc52                  	       0        1        0        0        1
38240 libmythpostproc55                  	       0        1        0        0        1
38241 libmythswresample0                 	       0        1        0        0        1
38242 libmythswresample3                 	       0        1        0        0        1
38243 libmythswscale2                    	       0        1        0        0        1
38244 libmythswscale5                    	       0        1        0        0        1
38245 libmythtv-perl                     	       0        4        2        2        0
38246 libmythzmq1                        	       0        1        0        0        1
38247 libn32atomic1-mips-cross           	       0        2        0        0        2
38248 libn32atomic1-mipsel-cross         	       0        1        0        0        1
38249 libn32gcc-12-dev-mips-cross        	       0        1        0        0        1
38250 libn32gcc-12-dev-mipsel-cross      	       0        1        0        0        1
38251 libn32gcc-s1-mips-cross            	       0        1        0        0        1
38252 libn32gcc-s1-mipsel-cross          	       0        1        0        0        1
38253 libn32gomp1-mips-cross             	       0        2        0        0        2
38254 libn32gomp1-mipsel-cross           	       0        1        0        0        1
38255 libn32stdc++-12-dev-mips-cross     	       0        1        0        0        1
38256 libn32stdc++-12-dev-mipsel-cross   	       0        1        0        0        1
38257 libn32stdc++6-mips-cross           	       0        1        0        0        1
38258 libn32stdc++6-mipsel-cross         	       0        1        0        0        1
38259 libnabrit-dev                      	       0        1        1        0        0
38260 libnabrit3                         	       0        5        0        0        5
38261 libnacl-dev                        	       0        2        2        0        0
38262 libnacore-dev                      	       0        1        1        0        0
38263 libnacore5                         	       0        5        0        0        5
38264 libnaga-java                       	       0       15        0        0       15
38265 libnanomsg-dev                     	       0        2        2        0        0
38266 libnanomsg5                        	       0        5        1        0        4
38267 libnanopb-dev                      	       0        1        1        0        0
38268 libnanoxml2-java                   	       0        6        0        0        6
38269 libnarray-miss-ruby1.9.1           	       0        1        0        0        1
38270 libnarray-ruby1.9.1                	       0        1        0        0        1
38271 libnative-platform-java            	       0       47        0        0       47
38272 libnatpmp-dev                      	       0        4        4        0        0
38273 libnatspec-dev                     	       0        1        1        0        0
38274 libnatspec0                        	       0        2        0        0        2
38275 libnautilus-burn3                  	       0        1        0        0        1
38276 libnautilus-burn4                  	       0        1        0        0        1
38277 libnautilus-extension-dev          	       0        3        3        0        0
38278 libnautilus-extension1a            	       0      340        3        0      337
38279 libnauty-2.8.9                     	       0        2        0        0        2
38280 libnauty2                          	       0       12        0        0       12
38281 libnauty2-dev                      	       0        3        3        0        0
38282 libnav-msgs-dev                    	       0        1        1        0        0
38283 libnb-absolutelayout-java          	       0        4        0        0        4
38284 libnb-apisupport3-java             	       0        1        0        0        1
38285 libnb-ide14-java                   	       0        1        1        0        0
38286 libnb-java5-java                   	       0        1        1        0        0
38287 libnb-javaparser-java              	       0        3        0        0        3
38288 libnb-org-openide-modules-java     	       0       20        0        0       20
38289 libnb-org-openide-util-java        	       0       64        0        0       64
38290 libnb-org-openide-util-lookup-java 	       0       64        0        0       64
38291 libnb-platform-devel-java          	       0        3        0        0        3
38292 libnb-platform13-java              	       0        1        0        0        1
38293 libnb-platform18-java              	       0       19        0        0       19
38294 libnbd-bin                         	       0        2        2        0        0
38295 libnbd-dev                         	       0        1        1        0        0
38296 libnbd0                            	       0       59        0        0       59
38297 libncap44                          	       0        5        0        0        5
38298 libncbi-ngs3                       	       0        6        0        0        6
38299 libncbi-vdb3                       	       0        6        0        0        6
38300 libncbi-wvdb2                      	       0        1        0        0        1
38301 libncbi6                           	       0        6        0        0        6
38302 libncl2                            	       0        1        0        0        1
38303 libncurses-ruby1.9                 	       0        1        0        0        1
38304 libncurses-ruby1.9.1               	       0        1        0        0        1
38305 libncurses5                        	       0      875        0        0      875
38306 libncurses5-dev                    	       0      341       40        0      301
38307 libncursesada11-dev                	       0        1        1        0        0
38308 libncursesada6.2.3                 	       0        2        0        0        2
38309 libncursesada9-dev                 	       0        1        1        0        0
38310 libncursesw5-dev                   	       0      104        5        0       99
38311 libndesk-dbus-glib1.0-cil          	       0        1        1        0        0
38312 libndesk-dbus1.0-cil               	       0        1        1        0        0
38313 libndi4                            	       0        1        1        0        0
38314 libndpi-bin                        	       0        1        1        0        0
38315 libndpi-wireshark                  	       0        1        0        0        1
38316 libndpi1a                          	       0        1        0        0        1
38317 libndpi4.2                         	       0        1        0        0        1
38318 libneatvnc0                        	       0       11        0        0       11
38319 libneko2                           	       0        5        0        0        5
38320 libnekohtml-java                   	       0       50        0        0       50
38321 libnel0                            	       0        1        0        0        1
38322 libnemesis3                        	       0        1        0        0        1
38323 libnemo-extension-dev              	       0        2        2        0        0
38324 libneon27-dev                      	       0       13       13        0        0
38325 libneon27-gnutls-dev               	       0        3        3        0        0
38326 libneon27t64-gnutls                	       0       81        0        0       81
38327 libnessus2                         	       0        1        0        0        1
38328 libnet-address-ip-local-perl       	       0        1        1        0        0
38329 libnet-amazon-s3-perl              	       0        1        1        0        0
38330 libnet-amazon-signature-v4-perl    	       0        1        1        0        0
38331 libnet-amqp-perl                   	       0        2        2        0        0
38332 libnet-arp-perl                    	       0        1        0        0        1
38333 libnet-async-fastcgi-perl          	       0        1        1        0        0
38334 libnet-bluetooth-perl              	       0        3        0        0        3
38335 libnet-bonjour-perl                	       0        1        1        0        0
38336 libnet-cli-interact-perl           	       0        1        1        0        0
38337 libnet-cups-perl                   	       0       35        0        0       35
38338 libnet-dbus-glib-perl              	       0       16        0        0       16
38339 libnet-dhcp-perl                   	       0        1        1        0        0
38340 libnet-dns-async-perl              	       0        1        1        0        0
38341 libnet-dns-fingerprint-perl        	       0        3        3        0        0
38342 libnet-dns-resolver-mock-perl      	       0        1        1        0        0
38343 libnet-dns-sec-perl                	       0      324        3        0      321
38344 libnet-dropbox-api-perl            	       0        3        3        0        0
38345 libnet-fastcgi-perl                	       0        1        1        0        0
38346 libnet-freedb-perl                 	       0        4        0        0        4
38347 libnet-github-perl                 	       0        2        2        0        0
38348 libnet-gpsd3-perl                  	       0        1        1        0        0
38349 libnet-gpsd3-poe-perl              	       0        1        1        0        0
38350 libnet-https-any-perl              	       0        2        2        0        0
38351 libnet-httpserver-perl             	       0        1        1        0        0
38352 libnet-ifconfig-wrapper-perl       	       0        3        3        0        0
38353 libnet-imap-perl                   	       0        1        1        0        0
38354 libnet-imap-simple-perl            	       0       58       57        1        0
38355 libnet-imap-simple-ssl-perl        	       0        5        4        1        0
38356 libnet-interface-perl              	       0        1        0        0        1
38357 libnet-ip-minimal-perl             	       0        1        1        0        0
38358 libnet-irc-perl                    	       0        4        4        0        0
38359 libnet-jabber-perl                 	       0        2        2        0        0
38360 libnet-mac-vendor-perl             	       0        1        1        0        0
38361 libnet-nbname-perl                 	       0        3        3        0        0
38362 libnet-nslookup-perl               	       0        2        2        0        0
38363 libnet-ntp-perl                    	       0        1        1        0        0
38364 libnet-oauth-perl                  	       0       24       22        2        0
38365 libnet-oauth2-authorizationserver-perl	       0        2        2        0        0
38366 libnet-openid-common-perl          	       0        4        4        0        0
38367 libnet-openid-consumer-perl        	       0        4        4        0        0
38368 libnet-openid-server-perl          	       0        1        1        0        0
38369 libnet-openssh-perl                	       0        4        4        0        0
38370 libnet-pcap-perl                   	       0        3        3        0        0
38371 libnet-ph-perl                     	       0        1        1        0        0
38372 libnet-ping-external-perl          	       0        1        1        0        0
38373 libnet-proxy-perl                  	       0        1        1        0        0
38374 libnet-radius-perl                 	       0        1        1        0        0
38375 libnet-rawip-perl                  	       0        4        0        0        4
38376 libnet-scp-perl                    	       0        1        1        0        0
38377 libnet-scp-ruby1.9.1               	       0        1        0        0        1
38378 libnet-server-ss-prefork-perl      	       0        3        3        0        0
38379 libnet-sftp-foreign-perl           	       0       16       16        0        0
38380 libnet-sftp-sftpserver-perl        	       0        1        1        0        0
38381 libnet-sftp2-ruby1.9.1             	       0        1        0        0        1
38382 libnet-smtp-tls-butmaintained-perl 	       0        2        2        0        0
38383 libnet-smtps-perl                  	       0       53       53        0        0
38384 libnet-snpp-perl                   	       0        1        1        0        0
38385 libnet-ssh-authorizedkeysfile-perl 	       0        1        1        0        0
38386 libnet-ssh-gateway-ruby1.9.1       	       0        1        0        0        1
38387 libnet-ssh-multi-ruby1.9.1         	       0        1        0        0        1
38388 libnet-ssh2-perl                   	       0       24        0        0       24
38389 libnet-ssh2-ruby1.9.1              	       0        1        0        0        1
38390 libnet-sslglue-perl                	       0        2        2        0        0
38391 libnet-stomp-perl                  	       0        2        2        0        0
38392 libnet-subnet-perl                 	       0        1        1        0        0
38393 libnet-twitter-perl                	       0        1        1        0        0
38394 libnet-z3950-simple2zoom-perl      	       0        1        1        0        0
38395 libnet-z3950-simpleserver-perl     	       0        3        0        0        3
38396 libnet-z3950-zoom-perl             	       0        3        2        0        1
38397 libnet0                            	       0        1        0        0        1
38398 libnet1-dev                        	       0        6        6        0        0
38399 libnet1-doc                        	       0        1        1        0        0
38400 libnetaid1                         	       0        1        1        0        0
38401 libnetcdf-c++4                     	       0       71        0        0       71
38402 libnetcdf-c++4-1                   	       0        5        0        0        5
38403 libnetcdf-c++4-dev                 	       0        3        2        1        0
38404 libnetcdf-c++4-doc                 	       0        1        0        0        1
38405 libnetcdf-cxx-legacy-dev           	       0       11       11        0        0
38406 libnetcdf-mpi-18                   	       0        1        0        0        1
38407 libnetcdf-mpi-19                   	       0        2        0        0        2
38408 libnetcdf11                        	       0       14        0        0       14
38409 libnetcdf13                        	       0       54        0        0       54
38410 libnetcdf15                        	       0        1        0        0        1
38411 libnetcdf18                        	       0      140        2        0      138
38412 libnetcdf19t64                     	       0       56        0        0       56
38413 libnetcdf22                        	       0       17        0        0       17
38414 libnetcdf3                         	       0        1        0        0        1
38415 libnetcdfc++4                      	       0        2        0        0        2
38416 libnetcdfc7                        	       0        5        0        0        5
38417 libnetcdff-dev                     	       0        3        3        0        0
38418 libnetcdff5                        	       0        1        0        0        1
38419 libnetcdff7                        	       0        4        0        0        4
38420 libnetclasses0                     	       0        1        1        0        0
38421 libnetfilter-acct-dev              	       0        1        1        0        0
38422 libnetfilter-conntrack-dev         	       0        4        4        0        0
38423 libnetfilter-conntrack-doc         	       0        1        0        0        1
38424 libnetfilter-cthelper-doc          	       0        1        0        0        1
38425 libnetfilter-cttimeout-doc         	       0        1        0        0        1
38426 libnetfilter-log-dev               	       0        2        2        0        0
38427 libnetfilter-log-doc               	       0        1        0        0        1
38428 libnetfilter-queue-dev             	       0        7        6        1        0
38429 libnetfilter-queue-doc             	       0        2        0        0        2
38430 libnethttpd-ocaml-dev              	       0        1        1        0        0
38431 libnetlib-java                     	       0        1        0        0        1
38432 libnetpbm10-dev                    	       0        4        4        0        0
38433 libnetpbm11                        	       0     1625        0        0     1625
38434 libnetpbm11t64                     	       0      152        0        0      152
38435 libnetpbm9                         	       0        1        0        0        1
38436 libnetpbm9-dev                     	       0        1        1        0        0
38437 libnetsnmptrapd40t64               	       0        1        0        0        1
38438 libnettle3                         	       0        1        0        0        1
38439 libnettle7                         	       0        1        1        0        0
38440 libnetty-3.9-java                  	       0        2        0        0        2
38441 libnetty-java                      	       0       28        0        0       28
38442 libnetty-reactive-streams-java     	       0        3        0        0        3
38443 libnetty-tcnative-java             	       0        4        0        0        4
38444 libnetty-tcnative-jni              	       0        4        0        0        4
38445 libnetworkmanagerqt1               	       0        1        0        0        1
38446 libnetx-java                       	       0        3        0        0        3
38447 libnewlib-arm-none-eabi            	       0       50        0        0       50
38448 libnewlib-dev                      	       0       51       50        1        0
38449 libnewlib-doc                      	       0        2        0        0        2
38450 libnewlib-ia16-elf                 	       0        1        1        0        0
38451 libnewlib-nano-arm-none-eabi       	       0        1        0        0        1
38452 libnewmat10-dev                    	       0        2        2        0        0
38453 libnewmat10ldbl                    	       0        3        0        0        3
38454 libnewt-pic                        	       0        1        0        0        1
38455 libnewtonsoft-json4.5-cil          	       0        1        1        0        0
38456 libnewtonsoft-json5.0-cil          	       0       14       14        0        0
38457 libnexstar-dev                     	       0        2        2        0        0
38458 libnexstar0                        	       0        2        0        0        2
38459 libnextaw0                         	       0        1        0        0        1
38460 libnextcloudsync-dev               	       0        2        2        0        0
38461 libnexus1                          	       0        1        0        0        1
38462 libnf2ff0                          	       0        6        0        0        6
38463 libnfc-bin                         	       0        7        7        0        0
38464 libnfc-dev                         	       0        4        4        0        0
38465 libnfc-examples                    	       0        2        2        0        0
38466 libnfc5                            	       0        2        2        0        0
38467 libnfft3-dev                       	       0        1        1        0        0
38468 libnfft3-double2                   	       0        3        0        0        3
38469 libnfft3-long2                     	       0        1        0        0        1
38470 libnfft3-single2                   	       0        1        0        0        1
38471 libnfnetlink-dev                   	       0       13       12        1        0
38472 libnfqueue-perl                    	       0        1        0        0        1
38473 libnfs-dev                         	       0       17       17        0        0
38474 libnfs-utils                       	       0       16       15        1        0
38475 libnfs0                            	       0        1        0        0        1
38476 libnfs1                            	       0        1        0        0        1
38477 libnfs11                           	       0        1        0        0        1
38478 libnfs12                           	       0      143        0        0      143
38479 libnfs16                           	       0       12        0        0       12
38480 libnfs4                            	       0        4        0        0        4
38481 libnfs8                            	       0       77        0        0       77
38482 libnftables-dev                    	       0        3        3        0        0
38483 libnftables0                       	       0      110        0        0      110
38484 libnftnl-dev                       	       0        7        7        0        0
38485 libnftnl-dev-doc                   	       0        1        0        0        1
38486 libnftnl4                          	       0        6        0        0        6
38487 libnghttp2-dev                     	       0       71       70        1        0
38488 libnghttp2-doc                     	       0        1        0        0        1
38489 libnghttp3-3                       	       0        7        0        0        7
38490 libnglib-4.9.13                    	       0        2        0        0        2
38491 libnglib-6.2                       	       0        5        0        0        5
38492 libnglib-6.2t64                    	       0        1        0        0        1
38493 libnglib-dev                       	       0        2        2        0        0
38494 libngraph0                         	       0        3        0        0        3
38495 libngs-java                        	       0        7        0        0        7
38496 libngs-jni                         	       0        6        0        0        6
38497 libngs-sdk-dev                     	       0        2        2        0        0
38498 libngs-sdk1                        	       0        1        0        0        1
38499 libngs-sdk2                        	       0        2        0        0        2
38500 libngspice0                        	       0      123        0        0      123
38501 libngspice0-dev                    	       0        6        6        0        0
38502 libngtcp2-9                        	       0        1        0        0        1
38503 libngtcp2-crypto-gnutls-dev        	       0       15       12        3        0
38504 libnice-dev                        	       0        3        3        0        0
38505 libnice-doc                        	       0        1        0        0        1
38506 libnice0                           	       0        1        0        0        1
38507 libnids1.21                        	       0       42        0        0       42
38508 libnids1.21t64                     	       0        2        0        0        2
38509 libnifti-dev                       	       0        3        0        0        3
38510 libnifti2                          	       0        4        0        0        4
38511 libnifti2-2                        	       0        5        0        0        5
38512 libnifti2-dev                      	       0        3        3        0        0
38513 libnifticdf-dev                    	       0        3        3        0        0
38514 libnifticdf2                       	       0        6        0        0        6
38515 libniftiio-dev                     	       0        3        3        0        0
38516 libniftiio2                        	       0       17        0        0       17
38517 libniftiio2t64                     	       0        1        0        0        1
38518 libnini1.1-cil                     	       0        1        1        0        0
38519 libnitrokey-dev                    	       0        1        1        0        0
38520 libnkf-perl                        	       0        1        0        0        1
38521 libnl-3-doc                        	       0        2        0        0        2
38522 libnl-cli-3-200                    	       0       14        0        0       14
38523 libnl-cli-3-dev                    	       0        2        0        0        2
38524 libnl-genl-3-dev                   	       0       25        0        0       25
38525 libnl-idiag-3-200                  	       0        5        0        0        5
38526 libnl-idiag-3-dev                  	       0        3        0        0        3
38527 libnl-nf-3-200                     	       0       14        0        0       14
38528 libnl-nf-3-dev                     	       0        2        0        0        2
38529 libnl-route-3-dev                  	       0      141        0        0      141
38530 libnl-utils                        	       0        3        3        0        0
38531 libnl-xfrm-3-200                   	       0        1        0        0        1
38532 libnl-xfrm-3-dev                   	       0        1        0        0        1
38533 libnl1                             	       0        5        0        0        5
38534 libnl2                             	       0        1        0        0        1
38535 libnlopt-cxx-dev                   	       0        3        0        0        3
38536 libnlopt-cxx0                      	       0       15        0        0       15
38537 libnlopt-dev                       	       0        5        4        1        0
38538 libnlopt0                          	       0       75        2        0       73
38539 libnm-dev                          	       0       18       16        2        0
38540 libnm-glib-vpn1                    	       0       27        0        0       27
38541 libnm-glib2                        	       0        1        0        0        1
38542 libnm-glib4                        	       0       55        0        0       55
38543 libnm-gtk-common                   	       0       12        0        0       12
38544 libnm-gtk0                         	       0       25        0        0       25
38545 libnm-util1                        	       0        1        0        0        1
38546 libnm-util2                        	       0       57        0        0       57
38547 libnma-dev                         	       0        2        1        0        1
38548 libnma-gtk4-0                      	       0      432        6        2      424
38549 libnma-headers                     	       0        1        1        0        0
38550 libnmz7                            	       0        2        0        0        2
38551 libnng-dev                         	       0        1        1        0        0
38552 libnode109                         	       0        2        1        0        1
38553 libnode115                         	       0       40        0        0       40
38554 libnode64                          	       0       29        0        0       29
38555 libnode72                          	       0       63        1        0       62
38556 libnode83                          	       0        1        0        0        1
38557 libnode93                          	       0        2        0        0        2
38558 libnodelet-dev                     	       0        1        1        0        0
38559 libnodelet-topic-tools-dev         	       0        1        1        0        0
38560 libnodeletlib-dev                  	       0        1        1        0        0
38561 libnodeletlib-tools                	       0        1        1        0        0
38562 libnodeletlib1d                    	       0        2        0        0        2
38563 libnokogiri-ruby1.9                	       0        1        0        0        1
38564 libnokogiri-ruby1.9.1              	       0        1        0        0        1
38565 libnomacscore3                     	       0        1        0        0        1
38566 libnorm-dev                        	       0       42       42        0        0
38567 libnorm-doc                        	       0        1        1        0        0
38568 libnormaliz-dev                    	       0        1        0        0        1
38569 libnormaliz-dev-common             	       0        1        1        0        0
38570 libnormaliz3                       	       0       14        0        0       14
38571 libnotcurses-core-dev              	       0        3        3        0        0
38572 libnotcurses-core2                 	       0        5        0        0        5
38573 libnotcurses-dev                   	       0        3        0        0        3
38574 libnotcurses2                      	       0        5        0        0        5
38575 libnotify-cil-dev                  	       0        1        1        0        0
38576 libnotify-dev                      	       0       59       57        2        0
38577 libnotify-doc                      	       0        7        0        0        7
38578 libnotify0.4-cil                   	       0        8        0        0        8
38579 libnotify1                         	       0        7        0        0        7
38580 libnotify3.0-cil                   	       0        2        0        0        2
38581 libnotify3.0-cil-dev               	       0        2        2        0        0
38582 libnotmuch-dev                     	       0        5        4        1        0
38583 libnotmuch4                        	       0       84        0        0       84
38584 libnova-0.14-0                     	       0        3        0        0        3
38585 libnova-0.16-0t64                  	       0       19        0        0       19
38586 libnova-dev                        	       0        7        7        0        0
38587 libnova-dev-bin                    	       0        7        7        0        0
38588 libnozzle1                         	       0        2        2        0        0
38589 libnpf-dev                         	       0        1        1        0        0
38590 libnpf1                            	       0        1        0        0        1
38591 libnpgsql2.2-cil                   	       0        1        1        0        0
38592 libnpp-11-7                        	       0        1        0        0        1
38593 libnpp-12-4                        	       0        2        0        0        2
38594 libnpp-12-6                        	       0        5        0        0        5
38595 libnpp-12-8                        	       0        2        0        0        2
38596 libnpp-dev-11-7                    	       0        1        1        0        0
38597 libnpp-dev-12-4                    	       0        2        2        0        0
38598 libnpp-dev-12-6                    	       0        5        4        1        0
38599 libnpp-dev-12-8                    	       0        2        1        1        0
38600 libnppc11                          	       0       21        0        0       21
38601 libnppc12                          	       0        4        0        0        4
38602 libnppc8.0                         	       0        1        0        0        1
38603 libnppc9.2                         	       0        1        0        0        1
38604 libnppi8.0                         	       0        1        0        0        1
38605 libnppial11                        	       0       20        0        0       20
38606 libnppial12                        	       0        4        0        0        4
38607 libnppial8.0                       	       0        1        0        0        1
38608 libnppial9.2                       	       0        1        0        0        1
38609 libnppicc11                        	       0       21        0        0       21
38610 libnppicc12                        	       0        4        0        0        4
38611 libnppicc8.0                       	       0        1        0        0        1
38612 libnppicc9.2                       	       0        1        0        0        1
38613 libnppicom8.0                      	       0        1        0        0        1
38614 libnppicom9.2                      	       0        1        0        0        1
38615 libnppidei11                       	       0       21        0        0       21
38616 libnppidei12                       	       0        4        0        0        4
38617 libnppidei8.0                      	       0        1        0        0        1
38618 libnppidei9.2                      	       0        1        0        0        1
38619 libnppif11                         	       0       21        0        0       21
38620 libnppif12                         	       0        4        0        0        4
38621 libnppif8.0                        	       0        1        0        0        1
38622 libnppif9.2                        	       0        1        0        0        1
38623 libnppig11                         	       0       21        0        0       21
38624 libnppig12                         	       0        4        0        0        4
38625 libnppig8.0                        	       0        1        0        0        1
38626 libnppig9.2                        	       0        1        0        0        1
38627 libnppim11                         	       0       20        0        0       20
38628 libnppim12                         	       0        4        0        0        4
38629 libnppim8.0                        	       0        1        0        0        1
38630 libnppim9.2                        	       0        1        0        0        1
38631 libnppist11                        	       0       20        0        0       20
38632 libnppist12                        	       0        4        0        0        4
38633 libnppist8.0                       	       0        1        0        0        1
38634 libnppist9.2                       	       0        1        0        0        1
38635 libnppisu11                        	       0       20        0        0       20
38636 libnppisu12                        	       0        4        0        0        4
38637 libnppisu8.0                       	       0        1        0        0        1
38638 libnppisu9.2                       	       0        1        0        0        1
38639 libnppitc11                        	       0       20        0        0       20
38640 libnppitc12                        	       0        4        0        0        4
38641 libnppitc8.0                       	       0        1        0        0        1
38642 libnppitc9.2                       	       0        1        0        0        1
38643 libnpps11                          	       0       20        0        0       20
38644 libnpps12                          	       0        4        0        0        4
38645 libnpps8.0                         	       0        1        0        0        1
38646 libnpps9.2                         	       0        1        0        0        1
38647 libnpth-mingw-w64-dev              	       0        1        1        0        0
38648 libnpth0-dbgsym                    	       0        1        1        0        0
38649 libnpth0-dev                       	       0        6        6        0        0
38650 libnpupnp1                         	       0        1        0        0        1
38651 libnpupnp13t64                     	       0        1        0        0        1
38652 libnpupnp4                         	       0        1        0        0        1
38653 libnrepl-clojure                   	       0        5        0        0        5
38654 libnrepl-incomplete-clojure        	       0        5        0        0        5
38655 libnspr4-0d                        	       0        2        0        0        2
38656 libnss-extrausers                  	       0        1        1        0        0
38657 libnss-kanidm                      	       0        1        0        0        1
38658 libnss-lwres                       	       0        4        0        0        4
38659 libnss-nisplus                     	       0      804        0        0      804
38660 libnss-sudo                        	       0       31        0        0       31
38661 libnss-wrapper                     	       0        5        0        0        5
38662 libnss3-1d                         	       0        4        0        0        4
38663 libntdb1                           	       0       66        0        0       66
38664 libntfs-3g-dev                     	       0        1        1        0        0
38665 libntfs-3g0                        	       0        1        0        0        1
38666 libntfs-3g31                       	       0        1        0        0        1
38667 libntfs-3g75                       	       0        3        0        0        3
38668 libntfs-3g871                      	       0       98        0        0       98
38669 libntfs-3g872                      	       0        1        0        0        1
38670 libntfs-3g881                      	       0        1        0        0        1
38671 libntfs-dev                        	       0        1        1        0        0
38672 libntfs10                          	       0        7        0        0        7
38673 libntfs9                           	       0        1        0        0        1
38674 libntirpc-dev                      	       0        1        1        0        0
38675 libntirpc4.3                       	       0        1        0        0        1
38676 libntl-dev                         	       0       20       20        0        0
38677 libntl35                           	       0        4        0        0        4
38678 libntl43                           	       0        2        0        0        2
38679 libntl44                           	       0       27        0        0       27
38680 libntlm0-dev                       	       0        6        5        1        0
38681 libnucleotidelikelihoodcore0       	       0        1        0        0        1
38682 libnuget-core-cil                  	       0        3        3        0        0
38683 libnum-ocaml                       	       0        1        1        0        0
38684 libnumber-format-perl              	       0       14       14        0        0
38685 libnumber-fraction-perl            	       0        1        1        0        0
38686 libnumbertext-data                 	       0     2810        0        0     2810
38687 libnumbertext-dev                  	       0        1        1        0        0
38688 libnumbertext-java                 	       0        1        0        0        1
38689 libnumbertext-tools                	       0        1        1        0        0
38690 libnunit-console-runner2.6.3-cil   	       0       67        0        0       67
38691 libnunit-core-interfaces2.6.3-cil  	       0       67       66        1        0
38692 libnunit-core2.6.3-cil             	       0       67       66        1        0
38693 libnunit-doc                       	       0        1        1        0        0
38694 libnunit-framework2.6.3-cil        	       0       71       70        1        0
38695 libnunit-mocks2.6.3-cil            	       0       67       66        1        0
38696 libnunit-util2.6.3-cil             	       0       67       66        1        0
38697 libnunit2.4-cil                    	       0        1        1        0        0
38698 libnunit2.6-cil                    	       0        1        1        0        0
38699 libnuspell5                        	       0        2        0        0        2
38700 libnutscan1                        	       0       14        0        0       14
38701 libnutscan2                        	       0       44        0        0       44
38702 libnv-dev                          	       0        1        1        0        0
38703 libnv1                             	       0        1        0        0        1
38704 libnvblas11                        	       0       19        0        0       19
38705 libnvblas12                        	       0        4        0        0        4
38706 libnvblas6.0                       	       0        1        0        0        1
38707 libnvblas8.0                       	       0        1        0        0        1
38708 libnvblas9.2                       	       0        1        0        0        1
38709 libnvfatbin-12-4                   	       0        2        0        0        2
38710 libnvfatbin-12-6                   	       0        4        0        0        4
38711 libnvfatbin-12-8                   	       0        2        0        0        2
38712 libnvfatbin-dev-12-4               	       0        2        2        0        0
38713 libnvfatbin-dev-12-6               	       0        4        3        1        0
38714 libnvfatbin-dev-12-8               	       0        2        1        1        0
38715 libnvgraph8.0                      	       0        1        0        0        1
38716 libnvgraph9.2                      	       0        1        0        0        1
38717 libnvidia-api1                     	       0       10        0        0       10
38718 libnvidia-cbl                      	       0       26        0        0       26
38719 libnvidia-compiler                 	       0       13        0        0       13
38720 libnvidia-container-tools          	       0        5        4        1        0
38721 libnvidia-container1               	       0        5        0        0        5
38722 libnvidia-egl-wayland-dev          	       0        7        0        0        7
38723 libnvidia-egl-wayland1-dbgsym      	       0        1        1        0        0
38724 libnvidia-egl-xlib1                	       0        1        0        0        1
38725 libnvidia-fatbinaryloader          	       0        7        0        0        7
38726 libnvidia-fbc1                     	       0       14        0        0       14
38727 libnvidia-legacy-304xx-glcore      	       0        1        0        0        1
38728 libnvidia-legacy-340xx-cfg1        	       0        8        1        0        7
38729 libnvidia-legacy-340xx-compiler    	       0        3        0        0        3
38730 libnvidia-legacy-340xx-cuda1       	       0        5        0        0        5
38731 libnvidia-legacy-340xx-cuda1-i386  	       0        1        0        0        1
38732 libnvidia-legacy-340xx-encode1     	       0        4        0        0        4
38733 libnvidia-legacy-340xx-fbc1        	       0        2        0        0        2
38734 libnvidia-legacy-340xx-ifr1        	       0        2        0        0        2
38735 libnvidia-legacy-340xx-ml1         	       0        8        0        0        8
38736 libnvidia-legacy-340xx-nvcuvid1    	       0        5        0        0        5
38737 libnvidia-legacy-390xx-compiler    	       0        1        0        0        1
38738 libnvidia-legacy-390xx-cuda1-i386  	       0        3        0        0        3
38739 libnvidia-legacy-390xx-encode1     	       0       10        0        0       10
38740 libnvidia-legacy-390xx-ml1         	       0       13        0        0       13
38741 libnvidia-legacy-390xx-nvcuvid1    	       0       10        0        0       10
38742 libnvidia-legacy-390xx-ptxjitcompiler1	       0       13        0        0       13
38743 libnvidia-ml-dev                   	       0       20       19        1        0
38744 libnvidia-ngx1                     	       0       12        5        1        6
38745 libnvidia-nvvm4                    	       0       39        0        0       39
38746 libnvidia-opticalflow1             	       0       15        0        0       15
38747 libnvidia-pkcs11                   	       0        4        0        0        4
38748 libnvidia-pkcs11-openssl3          	       0      140        0        0      140
38749 libnvidia-ptxjitcompiler1          	       0      189        0        0      189
38750 libnvidia-rtcore                   	       0      160        1        0      159
38751 libnvidia-sandboxutils             	       0        4        0        0        4
38752 libnvidia-tesla-450-cbl            	       0        2        0        0        2
38753 libnvidia-tesla-450-cfg1           	       0        2        0        0        2
38754 libnvidia-tesla-450-compiler       	       0        1        0        0        1
38755 libnvidia-tesla-450-cuda1          	       0        2        0        0        2
38756 libnvidia-tesla-450-eglcore        	       0        2        0        0        2
38757 libnvidia-tesla-450-encode1        	       0        2        0        0        2
38758 libnvidia-tesla-450-glcore         	       0        2        1        0        1
38759 libnvidia-tesla-450-glvkspirv      	       0        2        0        0        2
38760 libnvidia-tesla-450-ml1            	       0        2        0        0        2
38761 libnvidia-tesla-450-nvcuvid1       	       0        2        0        0        2
38762 libnvidia-tesla-450-ptxjitcompiler1	       0        2        0        0        2
38763 libnvidia-tesla-450-rtcore         	       0        2        0        0        2
38764 libnvidia-tesla-470-cbl            	       0       15        0        0       15
38765 libnvidia-tesla-470-cuda1          	       0       14        0        0       14
38766 libnvidia-tesla-470-encode1        	       0       13        0        0       13
38767 libnvidia-tesla-470-glvkspirv      	       0       16        1        0       15
38768 libnvidia-tesla-470-ml1            	       0       18        0        0       18
38769 libnvidia-tesla-470-nvcuvid1       	       0       13        0        0       13
38770 libnvidia-tesla-470-ptxjitcompiler1	       0       14        0        0       14
38771 libnvidia-tesla-470-rtcore         	       0       14        0        0       14
38772 libnvidia-tesla-glcore             	       0        1        0        0        1
38773 libnvidia-tesla-glvkspirv          	       0        1        0        0        1
38774 libnvidia-tesla-ml1                	       0        1        0        0        1
38775 libnvidia-vksc-core                	       0        9        7        2        0
38776 libnvidia-wayland-client           	       0        1        0        0        1
38777 libnvjitlink-12-4                  	       0        2        0        0        2
38778 libnvjitlink-12-6                  	       0        4        0        0        4
38779 libnvjitlink-12-8                  	       0        2        0        0        2
38780 libnvjitlink-dev-12-4              	       0        2        2        0        0
38781 libnvjitlink-dev-12-6              	       0        4        3        1        0
38782 libnvjitlink-dev-12-8              	       0        2        1        1        0
38783 libnvjitlink12                     	       0        5        0        0        5
38784 libnvjpeg-11-7                     	       0        1        0        0        1
38785 libnvjpeg-12-4                     	       0        2        0        0        2
38786 libnvjpeg-12-6                     	       0        4        0        0        4
38787 libnvjpeg-12-8                     	       0        2        0        0        2
38788 libnvjpeg-dev-11-7                 	       0        1        1        0        0
38789 libnvjpeg-dev-12-4                 	       0        2        2        0        0
38790 libnvjpeg-dev-12-6                 	       0        4        3        1        0
38791 libnvjpeg-dev-12-8                 	       0        2        1        1        0
38792 libnvjpeg11                        	       0       19        0        0       19
38793 libnvoptix1                        	       0       15        0        0       15
38794 libnvpair1                         	       0        1        0        0        1
38795 libnvpair1linux                    	       0        3        0        0        3
38796 libnvrtc-builtins11.8              	       0       17        0        0       17
38797 libnvrtc11.1                       	       0        1        0        0        1
38798 libnvrtc11.2                       	       0       19        0        0       19
38799 libnvrtc8.0                        	       0        1        0        0        1
38800 libnvrtc9.2                        	       0        1        0        0        1
38801 libnvtoolsext1                     	       0       22        0        0       22
38802 libnvtt-bin                        	       0        1        1        0        0
38803 libnvtt-dev                        	       0        3        3        0        0
38804 libnvtt2                           	       0       20        0        0       20
38805 libnvvm2                           	       0        1        0        0        1
38806 libnvvm3                           	       0        2        0        0        2
38807 libnvvm4                           	       0       23        0        0       23
38808 libnx-x11-6t64                     	       0        4        1        0        3
38809 libnx-x11-dev                      	       0        1        1        0        0
38810 libnx-xcomposite1                  	       0        1        0        0        1
38811 libnx-xdamage1                     	       0        1        0        0        1
38812 libnx-xdmcp6                       	       0        1        0        0        1
38813 libnx-xext6                        	       0        1        0        0        1
38814 libnx-xfixes3                      	       0        1        0        0        1
38815 libnx-xinerama1                    	       0        1        0        0        1
38816 libnx-xpm4                         	       0        1        0        0        1
38817 libnx-xrandr2                      	       0        1        0        0        1
38818 libnx-xrender1                     	       0        1        0        0        1
38819 libnx-xtst6                        	       0        1        0        0        1
38820 libnxcl-bin                        	       0        2        2        0        0
38821 libnxcl-dev                        	       0        1        1        0        0
38822 libnxcl1                           	       0        2        0        0        2
38823 libnxml0                           	       0        9        0        0        9
38824 libnxml0-dev                       	       0        1        1        0        0
38825 libo2                              	       0        1        0        0        1
38826 libo3dgc-dev                       	       0        1        1        0        0
38827 liboakleaf0                        	       0        2        0        0        2
38828 liboasis-ocaml                     	       0        1        1        0        0
38829 liboasis-ocaml-dev                 	       0        1        1        0        0
38830 liboasis-ocaml-doc                 	       0        1        0        0        1
38831 liboasis3-0d                       	       0        1        0        0        1
38832 liboasis3-dev                      	       0        1        0        0        1
38833 liboath-dev                        	       0        1        1        0        0
38834 liboath0                           	       0       81        2        0       79
38835 liboath0t64                        	       0        7        0        0        7
38836 liboauth-dev                       	       0        2        2        0        0
38837 liboauth-ruby1.9.1                 	       0        1        0        0        1
38838 liboauth-signpost-java             	       0        8        0        0        8
38839 liboauth0                          	       0      576        3        0      573
38840 libobantoo-java                    	       0        4        0        0        4
38841 libobasis24.2-base                 	       0        5        0        0        5
38842 libobasis24.2-calc                 	       0        5        0        0        5
38843 libobasis24.2-core                 	       0        5        0        0        5
38844 libobasis24.2-de                   	       0        1        0        0        1
38845 libobasis24.2-draw                 	       0        5        0        0        5
38846 libobasis24.2-en-gb                	       0        2        0        0        2
38847 libobasis24.2-en-gb-help           	       0        2        0        0        2
38848 libobasis24.2-en-us                	       0        5        0        0        5
38849 libobasis24.2-en-us-help           	       0        1        0        0        1
38850 libobasis24.2-extension-beanshell-script-provider	       0        5        0        0        5
38851 libobasis24.2-extension-javascript-script-provider	       0        5        0        0        5
38852 libobasis24.2-extension-mediawiki-publisher	       0        5        0        0        5
38853 libobasis24.2-extension-nlpsolver  	       0        5        0        0        5
38854 libobasis24.2-extension-pdf-import 	       0        5        0        0        5
38855 libobasis24.2-extension-report-builder	       0        5        0        0        5
38856 libobasis24.2-firebird             	       0        5        0        0        5
38857 libobasis24.2-fr                   	       0        1        0        0        1
38858 libobasis24.2-gnome-integration    	       0        5        0        0        5
38859 libobasis24.2-graphicfilter        	       0        5        0        0        5
38860 libobasis24.2-images               	       0        5        0        0        5
38861 libobasis24.2-impress              	       0        5        0        0        5
38862 libobasis24.2-kde-integration      	       0        5        0        0        5
38863 libobasis24.2-librelogo            	       0        5        0        0        5
38864 libobasis24.2-libreofficekit-data  	       0        5        0        0        5
38865 libobasis24.2-math                 	       0        5        0        0        5
38866 libobasis24.2-ogltrans             	       0        5        0        0        5
38867 libobasis24.2-onlineupdate         	       0        5        0        0        5
38868 libobasis24.2-ooofonts             	       0        6        0        0        6
38869 libobasis24.2-ooolinguistic        	       0        5        0        0        5
38870 libobasis24.2-postgresql-sdbc      	       0        5        0        0        5
38871 libobasis24.2-python-script-provider	       0        5        0        0        5
38872 libobasis24.2-pyuno                	       0        5        5        0        0
38873 libobasis24.2-writer               	       0        5        0        0        5
38874 libobasis24.2-xsltfilter           	       0        5        0        0        5
38875 libobasis24.8-base                 	       0       11        0        0       11
38876 libobasis24.8-calc                 	       0       11        1        0       10
38877 libobasis24.8-core                 	       0       11        1        0       10
38878 libobasis24.8-de                   	       0        2        0        0        2
38879 libobasis24.8-draw                 	       0       10        0        0       10
38880 libobasis24.8-el                   	       0        1        0        0        1
38881 libobasis24.8-el-help              	       0        1        0        0        1
38882 libobasis24.8-en-gb                	       0        3        0        0        3
38883 libobasis24.8-en-gb-help           	       0        3        0        0        3
38884 libobasis24.8-en-us                	       0       11        0        0       11
38885 libobasis24.8-en-us-help           	       0        1        0        0        1
38886 libobasis24.8-extension-beanshell-script-provider	       0       10        0        0       10
38887 libobasis24.8-extension-javascript-script-provider	       0       10        0        0       10
38888 libobasis24.8-extension-mediawiki-publisher	       0       10        0        0       10
38889 libobasis24.8-extension-nlpsolver  	       0       10        0        0       10
38890 libobasis24.8-extension-pdf-import 	       0       10        0        0       10
38891 libobasis24.8-extension-report-builder	       0       10        0        0       10
38892 libobasis24.8-firebird             	       0       10        0        0       10
38893 libobasis24.8-fr                   	       0        2        0        0        2
38894 libobasis24.8-gnome-integration    	       0       11        1        0       10
38895 libobasis24.8-graphicfilter        	       0       11        0        0       11
38896 libobasis24.8-images               	       0       11        0        0       11
38897 libobasis24.8-impress              	       0       10        0        0       10
38898 libobasis24.8-kde-integration      	       0       10        0        0       10
38899 libobasis24.8-librelogo            	       0       10        0        0       10
38900 libobasis24.8-libreofficekit-data  	       0       10        0        0       10
38901 libobasis24.8-math                 	       0       10        0        0       10
38902 libobasis24.8-ogltrans             	       0       10        0        0       10
38903 libobasis24.8-onlineupdate         	       0       11        1        0       10
38904 libobasis24.8-ooofonts             	       0       11        1        0       10
38905 libobasis24.8-ooolinguistic        	       0       11        0        0       11
38906 libobasis24.8-postgresql-sdbc      	       0       10        0        0       10
38907 libobasis24.8-python-script-provider	       0       10        0        0       10
38908 libobasis24.8-pyuno                	       0       11       11        0        0
38909 libobasis24.8-writer               	       0       11        1        0       10
38910 libobasis24.8-xsltfilter           	       0       10        0        0       10
38911 libobasis25.2-base                 	       0        2        0        0        2
38912 libobasis25.2-calc                 	       0        2        0        0        2
38913 libobasis25.2-core                 	       0        2        0        0        2
38914 libobasis25.2-draw                 	       0        2        0        0        2
38915 libobasis25.2-en-us                	       0        2        0        0        2
38916 libobasis25.2-extension-beanshell-script-provider	       0        2        0        0        2
38917 libobasis25.2-extension-javascript-script-provider	       0        2        0        0        2
38918 libobasis25.2-extension-mediawiki-publisher	       0        2        0        0        2
38919 libobasis25.2-extension-nlpsolver  	       0        2        0        0        2
38920 libobasis25.2-extension-pdf-import 	       0        2        0        0        2
38921 libobasis25.2-extension-report-builder	       0        2        0        0        2
38922 libobasis25.2-firebird             	       0        2        0        0        2
38923 libobasis25.2-gnome-integration    	       0        2        0        0        2
38924 libobasis25.2-graphicfilter        	       0        2        0        0        2
38925 libobasis25.2-images               	       0        2        0        0        2
38926 libobasis25.2-impress              	       0        2        0        0        2
38927 libobasis25.2-kde-integration      	       0        2        0        0        2
38928 libobasis25.2-librelogo            	       0        2        0        0        2
38929 libobasis25.2-libreofficekit-data  	       0        2        0        0        2
38930 libobasis25.2-math                 	       0        2        0        0        2
38931 libobasis25.2-ogltrans             	       0        2        0        0        2
38932 libobasis25.2-onlineupdate         	       0        2        0        0        2
38933 libobasis25.2-ooofonts             	       0        2        0        0        2
38934 libobasis25.2-ooolinguistic        	       0        2        0        0        2
38935 libobasis25.2-postgresql-sdbc      	       0        2        0        0        2
38936 libobasis25.2-python-script-provider	       0        2        0        0        2
38937 libobasis25.2-writer               	       0        2        0        0        2
38938 libobasis25.2-xsltfilter           	       0        2        0        0        2
38939 libobasis4.3-base                  	       0        1        0        0        1
38940 libobasis4.3-calc                  	       0        1        0        0        1
38941 libobasis4.3-core01                	       0        1        0        0        1
38942 libobasis4.3-core02                	       0        1        0        0        1
38943 libobasis4.3-core03                	       0        1        0        0        1
38944 libobasis4.3-core04                	       0        1        0        0        1
38945 libobasis4.3-core05                	       0        1        0        0        1
38946 libobasis4.3-core06                	       0        1        0        0        1
38947 libobasis4.3-core07                	       0        1        0        0        1
38948 libobasis4.3-draw                  	       0        1        0        0        1
38949 libobasis4.3-en-us                 	       0        1        0        0        1
38950 libobasis4.3-en-us-base            	       0        1        0        0        1
38951 libobasis4.3-en-us-calc            	       0        1        0        0        1
38952 libobasis4.3-en-us-help            	       0        1        0        0        1
38953 libobasis4.3-en-us-math            	       0        1        0        0        1
38954 libobasis4.3-en-us-res             	       0        1        0        0        1
38955 libobasis4.3-en-us-writer          	       0        1        0        0        1
38956 libobasis4.3-extension-beanshell-script-provider	       0        1        0        0        1
38957 libobasis4.3-extension-javascript-script-provider	       0        1        0        0        1
38958 libobasis4.3-extension-mediawiki-publisher	       0        1        0        0        1
38959 libobasis4.3-extension-nlpsolver   	       0        1        0        0        1
38960 libobasis4.3-extension-pdf-import  	       0        1        0        0        1
38961 libobasis4.3-extension-report-builder	       0        1        0        0        1
38962 libobasis4.3-filter-data           	       0        1        0        0        1
38963 libobasis4.3-gnome-integration     	       0        1        0        0        1
38964 libobasis4.3-graphicfilter         	       0        1        0        0        1
38965 libobasis4.3-images                	       0        1        0        0        1
38966 libobasis4.3-impress               	       0        1        0        0        1
38967 libobasis4.3-kde-integration       	       0        1        0        0        1
38968 libobasis4.3-librelogo             	       0        1        0        0        1
38969 libobasis4.3-math                  	       0        1        0        0        1
38970 libobasis4.3-ogltrans              	       0        1        0        0        1
38971 libobasis4.3-onlineupdate          	       0        1        0        0        1
38972 libobasis4.3-ooofonts              	       0        1        0        0        1
38973 libobasis4.3-ooolinguistic         	       0        1        0        0        1
38974 libobasis4.3-postgresql-sdbc       	       0        1        0        0        1
38975 libobasis4.3-python-script-provider	       0        1        0        0        1
38976 libobasis4.3-pyuno                 	       0        1        0        0        1
38977 libobasis4.3-writer                	       0        1        0        0        1
38978 libobasis4.3-xsltfilter            	       0        1        0        0        1
38979 libobasis5.0-base                  	       0        1        0        0        1
38980 libobasis5.0-calc                  	       0        1        0        0        1
38981 libobasis5.0-core                  	       0        1        0        0        1
38982 libobasis5.0-draw                  	       0        1        0        0        1
38983 libobasis5.0-en-us                 	       0        1        0        0        1
38984 libobasis5.0-en-us-base            	       0        1        0        0        1
38985 libobasis5.0-en-us-calc            	       0        1        0        0        1
38986 libobasis5.0-en-us-help            	       0        1        0        0        1
38987 libobasis5.0-en-us-math            	       0        1        0        0        1
38988 libobasis5.0-en-us-res             	       0        1        0        0        1
38989 libobasis5.0-en-us-writer          	       0        1        0        0        1
38990 libobasis5.0-extension-beanshell-script-provider	       0        1        0        0        1
38991 libobasis5.0-extension-javascript-script-provider	       0        1        0        0        1
38992 libobasis5.0-extension-mediawiki-publisher	       0        1        0        0        1
38993 libobasis5.0-extension-nlpsolver   	       0        1        0        0        1
38994 libobasis5.0-extension-pdf-import  	       0        1        0        0        1
38995 libobasis5.0-extension-report-builder	       0        1        0        0        1
38996 libobasis5.0-filter-data           	       0        1        0        0        1
38997 libobasis5.0-gnome-integration     	       0        1        0        0        1
38998 libobasis5.0-graphicfilter         	       0        1        0        0        1
38999 libobasis5.0-images                	       0        1        0        0        1
39000 libobasis5.0-impress               	       0        1        0        0        1
39001 libobasis5.0-kde-integration       	       0        1        0        0        1
39002 libobasis5.0-librelogo             	       0        1        0        0        1
39003 libobasis5.0-math                  	       0        1        0        0        1
39004 libobasis5.0-ogltrans              	       0        1        0        0        1
39005 libobasis5.0-onlineupdate          	       0        1        0        0        1
39006 libobasis5.0-ooofonts              	       0        1        0        0        1
39007 libobasis5.0-ooolinguistic         	       0        1        0        0        1
39008 libobasis5.0-postgresql-sdbc       	       0        1        0        0        1
39009 libobasis5.0-python-script-provider	       0        1        0        0        1
39010 libobasis5.0-pyuno                 	       0        1        0        0        1
39011 libobasis5.0-writer                	       0        1        0        0        1
39012 libobasis5.0-xsltfilter            	       0        1        0        0        1
39013 libobasis5.1-base                  	       0        1        0        0        1
39014 libobasis5.1-calc                  	       0        1        0        0        1
39015 libobasis5.1-core                  	       0        1        0        0        1
39016 libobasis5.1-draw                  	       0        1        0        0        1
39017 libobasis5.1-en-us                 	       0        1        0        0        1
39018 libobasis5.1-en-us-base            	       0        1        0        0        1
39019 libobasis5.1-en-us-calc            	       0        1        0        0        1
39020 libobasis5.1-en-us-help            	       0        1        0        0        1
39021 libobasis5.1-en-us-math            	       0        1        0        0        1
39022 libobasis5.1-en-us-res             	       0        1        0        0        1
39023 libobasis5.1-en-us-writer          	       0        1        0        0        1
39024 libobasis5.1-extension-beanshell-script-provider	       0        1        0        0        1
39025 libobasis5.1-extension-javascript-script-provider	       0        1        0        0        1
39026 libobasis5.1-extension-mediawiki-publisher	       0        1        0        0        1
39027 libobasis5.1-extension-nlpsolver   	       0        1        0        0        1
39028 libobasis5.1-extension-pdf-import  	       0        1        0        0        1
39029 libobasis5.1-extension-report-builder	       0        1        0        0        1
39030 libobasis5.1-filter-data           	       0        1        0        0        1
39031 libobasis5.1-gnome-integration     	       0        1        0        0        1
39032 libobasis5.1-graphicfilter         	       0        1        0        0        1
39033 libobasis5.1-images                	       0        1        0        0        1
39034 libobasis5.1-impress               	       0        1        0        0        1
39035 libobasis5.1-kde-integration       	       0        1        0        0        1
39036 libobasis5.1-librelogo             	       0        1        0        0        1
39037 libobasis5.1-math                  	       0        1        0        0        1
39038 libobasis5.1-ogltrans              	       0        1        0        0        1
39039 libobasis5.1-onlineupdate          	       0        1        0        0        1
39040 libobasis5.1-ooofonts              	       0        1        0        0        1
39041 libobasis5.1-ooolinguistic         	       0        1        0        0        1
39042 libobasis5.1-postgresql-sdbc       	       0        1        0        0        1
39043 libobasis5.1-python-script-provider	       0        1        0        0        1
39044 libobasis5.1-pyuno                 	       0        1        0        0        1
39045 libobasis5.1-writer                	       0        1        0        0        1
39046 libobasis5.1-xsltfilter            	       0        1        0        0        1
39047 libobasis5.2-base                  	       0        1        0        0        1
39048 libobasis5.2-calc                  	       0        1        0        0        1
39049 libobasis5.2-core                  	       0        1        0        0        1
39050 libobasis5.2-draw                  	       0        1        0        0        1
39051 libobasis5.2-en-us                 	       0        1        0        0        1
39052 libobasis5.2-en-us-base            	       0        1        0        0        1
39053 libobasis5.2-en-us-calc            	       0        1        0        0        1
39054 libobasis5.2-en-us-help            	       0        1        0        0        1
39055 libobasis5.2-en-us-math            	       0        1        0        0        1
39056 libobasis5.2-en-us-res             	       0        1        0        0        1
39057 libobasis5.2-en-us-writer          	       0        1        0        0        1
39058 libobasis5.2-extension-beanshell-script-provider	       0        1        0        0        1
39059 libobasis5.2-extension-javascript-script-provider	       0        1        0        0        1
39060 libobasis5.2-extension-mediawiki-publisher	       0        1        0        0        1
39061 libobasis5.2-extension-nlpsolver   	       0        1        0        0        1
39062 libobasis5.2-extension-pdf-import  	       0        1        0        0        1
39063 libobasis5.2-extension-report-builder	       0        1        0        0        1
39064 libobasis5.2-filter-data           	       0        1        0        0        1
39065 libobasis5.2-gnome-integration     	       0        1        0        0        1
39066 libobasis5.2-graphicfilter         	       0        1        0        0        1
39067 libobasis5.2-images                	       0        1        0        0        1
39068 libobasis5.2-impress               	       0        1        0        0        1
39069 libobasis5.2-kde-integration       	       0        1        0        0        1
39070 libobasis5.2-librelogo             	       0        1        0        0        1
39071 libobasis5.2-math                  	       0        1        0        0        1
39072 libobasis5.2-ogltrans              	       0        1        0        0        1
39073 libobasis5.2-onlineupdate          	       0        1        0        0        1
39074 libobasis5.2-ooofonts              	       0        1        0        0        1
39075 libobasis5.2-ooolinguistic         	       0        1        0        0        1
39076 libobasis5.2-postgresql-sdbc       	       0        1        0        0        1
39077 libobasis5.2-python-script-provider	       0        1        0        0        1
39078 libobasis5.2-pyuno                 	       0        1        0        0        1
39079 libobasis5.2-writer                	       0        1        0        0        1
39080 libobasis5.2-xsltfilter            	       0        1        0        0        1
39081 libobasis6.0-base                  	       0        2        0        0        2
39082 libobasis6.0-calc                  	       0        2        0        0        2
39083 libobasis6.0-core                  	       0        2        0        0        2
39084 libobasis6.0-draw                  	       0        2        0        0        2
39085 libobasis6.0-en-us                 	       0        2        0        0        2
39086 libobasis6.0-en-us-help            	       0        1        0        0        1
39087 libobasis6.0-extension-beanshell-script-provider	       0        2        0        0        2
39088 libobasis6.0-extension-javascript-script-provider	       0        2        0        0        2
39089 libobasis6.0-extension-mediawiki-publisher	       0        2        0        0        2
39090 libobasis6.0-extension-nlpsolver   	       0        2        0        0        2
39091 libobasis6.0-extension-pdf-import  	       0        2        0        0        2
39092 libobasis6.0-extension-report-builder	       0        2        0        0        2
39093 libobasis6.0-firebird              	       0        2        0        0        2
39094 libobasis6.0-gnome-integration     	       0        2        0        0        2
39095 libobasis6.0-graphicfilter         	       0        2        0        0        2
39096 libobasis6.0-images                	       0        2        0        0        2
39097 libobasis6.0-impress               	       0        2        0        0        2
39098 libobasis6.0-kde-integration       	       0        2        0        0        2
39099 libobasis6.0-librelogo             	       0        2        0        0        2
39100 libobasis6.0-math                  	       0        2        0        0        2
39101 libobasis6.0-ogltrans              	       0        2        0        0        2
39102 libobasis6.0-onlineupdate          	       0        2        0        0        2
39103 libobasis6.0-ooofonts              	       0        2        0        0        2
39104 libobasis6.0-ooolinguistic         	       0        2        0        0        2
39105 libobasis6.0-postgresql-sdbc       	       0        2        0        0        2
39106 libobasis6.0-python-script-provider	       0        2        0        0        2
39107 libobasis6.0-pyuno                 	       0        2        1        0        1
39108 libobasis6.0-writer                	       0        2        0        0        2
39109 libobasis6.0-xsltfilter            	       0        2        0        0        2
39110 libobasis6.2-base                  	       0        2        0        0        2
39111 libobasis6.2-calc                  	       0        2        0        0        2
39112 libobasis6.2-core                  	       0        2        0        0        2
39113 libobasis6.2-draw                  	       0        2        0        0        2
39114 libobasis6.2-en-us                 	       0        2        0        0        2
39115 libobasis6.2-en-us-help            	       0        1        0        0        1
39116 libobasis6.2-extension-beanshell-script-provider	       0        2        0        0        2
39117 libobasis6.2-extension-javascript-script-provider	       0        2        0        0        2
39118 libobasis6.2-extension-mediawiki-publisher	       0        2        0        0        2
39119 libobasis6.2-extension-nlpsolver   	       0        2        0        0        2
39120 libobasis6.2-extension-pdf-import  	       0        2        0        0        2
39121 libobasis6.2-extension-report-builder	       0        2        0        0        2
39122 libobasis6.2-firebird              	       0        2        0        0        2
39123 libobasis6.2-gnome-integration     	       0        2        0        0        2
39124 libobasis6.2-graphicfilter         	       0        2        0        0        2
39125 libobasis6.2-images                	       0        2        0        0        2
39126 libobasis6.2-impress               	       0        2        0        0        2
39127 libobasis6.2-kde-integration       	       0        2        0        0        2
39128 libobasis6.2-librelogo             	       0        2        0        0        2
39129 libobasis6.2-libreofficekit-data   	       0        2        0        0        2
39130 libobasis6.2-math                  	       0        2        0        0        2
39131 libobasis6.2-ogltrans              	       0        2        0        0        2
39132 libobasis6.2-onlineupdate          	       0        2        0        0        2
39133 libobasis6.2-ooofonts              	       0        2        0        0        2
39134 libobasis6.2-ooolinguistic         	       0        2        0        0        2
39135 libobasis6.2-postgresql-sdbc       	       0        2        0        0        2
39136 libobasis6.2-python-script-provider	       0        2        0        0        2
39137 libobasis6.2-pyuno                 	       0        2        2        0        0
39138 libobasis6.2-writer                	       0        2        0        0        2
39139 libobasis6.2-xsltfilter            	       0        2        0        0        2
39140 libobasis6.3-base                  	       0        3        0        0        3
39141 libobasis6.3-calc                  	       0        3        0        0        3
39142 libobasis6.3-core                  	       0        3        0        0        3
39143 libobasis6.3-draw                  	       0        3        0        0        3
39144 libobasis6.3-en-us                 	       0        3        0        0        3
39145 libobasis6.3-en-us-help            	       0        2        0        0        2
39146 libobasis6.3-extension-beanshell-script-provider	       0        2        0        0        2
39147 libobasis6.3-extension-javascript-script-provider	       0        2        0        0        2
39148 libobasis6.3-extension-mediawiki-publisher	       0        2        0        0        2
39149 libobasis6.3-extension-nlpsolver   	       0        2        0        0        2
39150 libobasis6.3-extension-pdf-import  	       0        3        0        0        3
39151 libobasis6.3-extension-report-builder	       0        2        0        0        2
39152 libobasis6.3-firebird              	       0        2        0        0        2
39153 libobasis6.3-gnome-integration     	       0        2        0        0        2
39154 libobasis6.3-graphicfilter         	       0        3        0        0        3
39155 libobasis6.3-images                	       0        3        0        0        3
39156 libobasis6.3-impress               	       0        3        0        0        3
39157 libobasis6.3-kde-integration       	       0        2        0        0        2
39158 libobasis6.3-librelogo             	       0        2        0        0        2
39159 libobasis6.3-libreofficekit-data   	       0        2        0        0        2
39160 libobasis6.3-math                  	       0        3        0        0        3
39161 libobasis6.3-ogltrans              	       0        3        0        0        3
39162 libobasis6.3-onlineupdate          	       0        2        0        0        2
39163 libobasis6.3-ooofonts              	       0        4        0        0        4
39164 libobasis6.3-ooolinguistic         	       0        3        0        0        3
39165 libobasis6.3-postgresql-sdbc       	       0        2        0        0        2
39166 libobasis6.3-python-script-provider	       0        2        0        0        2
39167 libobasis6.3-pyuno                 	       0        2        1        0        1
39168 libobasis6.3-writer                	       0        3        0        0        3
39169 libobasis6.3-xsltfilter            	       0        3        0        0        3
39170 libobasis6.4-base                  	       0        4        0        0        4
39171 libobasis6.4-calc                  	       0        4        0        0        4
39172 libobasis6.4-core                  	       0        4        0        0        4
39173 libobasis6.4-draw                  	       0        4        0        0        4
39174 libobasis6.4-en-us                 	       0        4        0        0        4
39175 libobasis6.4-en-us-help            	       0        1        0        0        1
39176 libobasis6.4-extension-beanshell-script-provider	       0        4        0        0        4
39177 libobasis6.4-extension-javascript-script-provider	       0        4        0        0        4
39178 libobasis6.4-extension-mediawiki-publisher	       0        4        0        0        4
39179 libobasis6.4-extension-nlpsolver   	       0        4        0        0        4
39180 libobasis6.4-extension-pdf-import  	       0        4        0        0        4
39181 libobasis6.4-extension-report-builder	       0        4        0        0        4
39182 libobasis6.4-firebird              	       0        4        0        0        4
39183 libobasis6.4-fr                    	       0        1        0        0        1
39184 libobasis6.4-gnome-integration     	       0        4        0        0        4
39185 libobasis6.4-graphicfilter         	       0        4        0        0        4
39186 libobasis6.4-images                	       0        4        0        0        4
39187 libobasis6.4-impress               	       0        4        0        0        4
39188 libobasis6.4-kde-integration       	       0        4        0        0        4
39189 libobasis6.4-librelogo             	       0        4        0        0        4
39190 libobasis6.4-libreofficekit-data   	       0        4        0        0        4
39191 libobasis6.4-math                  	       0        4        0        0        4
39192 libobasis6.4-ogltrans              	       0        4        0        0        4
39193 libobasis6.4-onlineupdate          	       0        4        0        0        4
39194 libobasis6.4-ooofonts              	       0        6        0        0        6
39195 libobasis6.4-ooolinguistic         	       0        4        0        0        4
39196 libobasis6.4-postgresql-sdbc       	       0        4        0        0        4
39197 libobasis6.4-python-script-provider	       0        4        0        0        4
39198 libobasis6.4-pyuno                 	       0        4        4        0        0
39199 libobasis6.4-ru                    	       0        1        0        0        1
39200 libobasis6.4-ru-help               	       0        1        0        0        1
39201 libobasis6.4-writer                	       0        4        0        0        4
39202 libobasis6.4-xsltfilter            	       0        4        0        0        4
39203 libobasis7.0-ooofonts              	       0        1        0        0        1
39204 libobasis7.1-base                  	       0        2        0        0        2
39205 libobasis7.1-calc                  	       0        2        0        0        2
39206 libobasis7.1-core                  	       0        2        0        0        2
39207 libobasis7.1-draw                  	       0        2        0        0        2
39208 libobasis7.1-en-us                 	       0        2        0        0        2
39209 libobasis7.1-extension-beanshell-script-provider	       0        2        0        0        2
39210 libobasis7.1-extension-javascript-script-provider	       0        2        0        0        2
39211 libobasis7.1-extension-mediawiki-publisher	       0        2        0        0        2
39212 libobasis7.1-extension-nlpsolver   	       0        2        0        0        2
39213 libobasis7.1-extension-pdf-import  	       0        2        0        0        2
39214 libobasis7.1-extension-report-builder	       0        2        0        0        2
39215 libobasis7.1-firebird              	       0        2        0        0        2
39216 libobasis7.1-gnome-integration     	       0        2        0        0        2
39217 libobasis7.1-graphicfilter         	       0        2        0        0        2
39218 libobasis7.1-images                	       0        2        0        0        2
39219 libobasis7.1-impress               	       0        2        0        0        2
39220 libobasis7.1-kde-integration       	       0        2        0        0        2
39221 libobasis7.1-librelogo             	       0        2        0        0        2
39222 libobasis7.1-libreofficekit-data   	       0        2        0        0        2
39223 libobasis7.1-math                  	       0        2        0        0        2
39224 libobasis7.1-ogltrans              	       0        2        0        0        2
39225 libobasis7.1-onlineupdate          	       0        2        0        0        2
39226 libobasis7.1-ooofonts              	       0        3        0        0        3
39227 libobasis7.1-ooolinguistic         	       0        2        0        0        2
39228 libobasis7.1-postgresql-sdbc       	       0        2        0        0        2
39229 libobasis7.1-python-script-provider	       0        2        0        0        2
39230 libobasis7.1-pyuno                 	       0        2        2        0        0
39231 libobasis7.1-writer                	       0        2        0        0        2
39232 libobasis7.1-xsltfilter            	       0        2        0        0        2
39233 libobasis7.2-base                  	       0        1        0        0        1
39234 libobasis7.2-calc                  	       0        1        0        0        1
39235 libobasis7.2-core                  	       0        1        0        0        1
39236 libobasis7.2-draw                  	       0        1        0        0        1
39237 libobasis7.2-en-us                 	       0        1        0        0        1
39238 libobasis7.2-extension-beanshell-script-provider	       0        1        0        0        1
39239 libobasis7.2-extension-javascript-script-provider	       0        1        0        0        1
39240 libobasis7.2-extension-mediawiki-publisher	       0        1        0        0        1
39241 libobasis7.2-extension-nlpsolver   	       0        1        0        0        1
39242 libobasis7.2-extension-pdf-import  	       0        1        0        0        1
39243 libobasis7.2-extension-report-builder	       0        1        0        0        1
39244 libobasis7.2-firebird              	       0        1        0        0        1
39245 libobasis7.2-gnome-integration     	       0        1        0        0        1
39246 libobasis7.2-graphicfilter         	       0        1        0        0        1
39247 libobasis7.2-images                	       0        1        0        0        1
39248 libobasis7.2-impress               	       0        1        0        0        1
39249 libobasis7.2-kde-integration       	       0        1        0        0        1
39250 libobasis7.2-librelogo             	       0        1        0        0        1
39251 libobasis7.2-libreofficekit-data   	       0        1        0        0        1
39252 libobasis7.2-math                  	       0        1        0        0        1
39253 libobasis7.2-ogltrans              	       0        1        0        0        1
39254 libobasis7.2-onlineupdate          	       0        1        0        0        1
39255 libobasis7.2-ooofonts              	       0        1        0        0        1
39256 libobasis7.2-ooolinguistic         	       0        1        0        0        1
39257 libobasis7.2-postgresql-sdbc       	       0        1        0        0        1
39258 libobasis7.2-python-script-provider	       0        1        0        0        1
39259 libobasis7.2-pyuno                 	       0        1        1        0        0
39260 libobasis7.2-writer                	       0        1        0        0        1
39261 libobasis7.2-xsltfilter            	       0        1        0        0        1
39262 libobasis7.3-base                  	       0        1        0        0        1
39263 libobasis7.3-calc                  	       0        1        0        0        1
39264 libobasis7.3-core                  	       0        1        0        0        1
39265 libobasis7.3-draw                  	       0        1        0        0        1
39266 libobasis7.3-en-us                 	       0        1        0        0        1
39267 libobasis7.3-extension-beanshell-script-provider	       0        1        0        0        1
39268 libobasis7.3-extension-javascript-script-provider	       0        1        0        0        1
39269 libobasis7.3-extension-mediawiki-publisher	       0        1        0        0        1
39270 libobasis7.3-extension-nlpsolver   	       0        1        0        0        1
39271 libobasis7.3-extension-pdf-import  	       0        1        0        0        1
39272 libobasis7.3-extension-report-builder	       0        1        0        0        1
39273 libobasis7.3-firebird              	       0        1        0        0        1
39274 libobasis7.3-gnome-integration     	       0        1        0        0        1
39275 libobasis7.3-graphicfilter         	       0        1        0        0        1
39276 libobasis7.3-images                	       0        1        0        0        1
39277 libobasis7.3-impress               	       0        1        0        0        1
39278 libobasis7.3-kde-integration       	       0        1        0        0        1
39279 libobasis7.3-librelogo             	       0        1        0        0        1
39280 libobasis7.3-libreofficekit-data   	       0        1        0        0        1
39281 libobasis7.3-math                  	       0        1        0        0        1
39282 libobasis7.3-ogltrans              	       0        1        0        0        1
39283 libobasis7.3-onlineupdate          	       0        1        0        0        1
39284 libobasis7.3-ooofonts              	       0        2        0        0        2
39285 libobasis7.3-ooolinguistic         	       0        1        0        0        1
39286 libobasis7.3-postgresql-sdbc       	       0        1        0        0        1
39287 libobasis7.3-python-script-provider	       0        1        0        0        1
39288 libobasis7.3-pyuno                 	       0        1        1        0        0
39289 libobasis7.3-ru                    	       0        1        0        0        1
39290 libobasis7.3-ru-help               	       0        1        0        0        1
39291 libobasis7.3-writer                	       0        1        0        0        1
39292 libobasis7.3-xsltfilter            	       0        1        0        0        1
39293 libobasis7.4-calc                  	       0       10        0        0       10
39294 libobasis7.4-core                  	       0       10        0        0       10
39295 libobasis7.4-draw                  	       0       10        0        0       10
39296 libobasis7.4-gnome-integration     	       0       10        0        0       10
39297 libobasis7.4-images                	       0       10        0        0       10
39298 libobasis7.4-impress               	       0       10        0        0       10
39299 libobasis7.4-it                    	       0       10        0        0       10
39300 libobasis7.4-ooofonts              	       0       12        0        0       12
39301 libobasis7.4-ooolinguistic         	       0       10        0        0       10
39302 libobasis7.4-writer                	       0       10        0        0       10
39303 libobasis7.5-base                  	       0        5        0        0        5
39304 libobasis7.5-calc                  	       0        5        0        0        5
39305 libobasis7.5-core                  	       0        5        0        0        5
39306 libobasis7.5-draw                  	       0        5        0        0        5
39307 libobasis7.5-en-us                 	       0        5        0        0        5
39308 libobasis7.5-en-us-help            	       0        2        0        0        2
39309 libobasis7.5-extension-beanshell-script-provider	       0        5        0        0        5
39310 libobasis7.5-extension-javascript-script-provider	       0        5        0        0        5
39311 libobasis7.5-extension-mediawiki-publisher	       0        5        0        0        5
39312 libobasis7.5-extension-nlpsolver   	       0        5        0        0        5
39313 libobasis7.5-extension-pdf-import  	       0        5        0        0        5
39314 libobasis7.5-extension-report-builder	       0        5        0        0        5
39315 libobasis7.5-firebird              	       0        5        0        0        5
39316 libobasis7.5-gnome-integration     	       0        5        0        0        5
39317 libobasis7.5-graphicfilter         	       0        5        0        0        5
39318 libobasis7.5-images                	       0        5        0        0        5
39319 libobasis7.5-impress               	       0        5        0        0        5
39320 libobasis7.5-kde-integration       	       0        5        0        0        5
39321 libobasis7.5-librelogo             	       0        5        0        0        5
39322 libobasis7.5-libreofficekit-data   	       0        5        0        0        5
39323 libobasis7.5-math                  	       0        5        0        0        5
39324 libobasis7.5-nl                    	       0        1        0        0        1
39325 libobasis7.5-nl-help               	       0        1        0        0        1
39326 libobasis7.5-ogltrans              	       0        5        0        0        5
39327 libobasis7.5-onlineupdate          	       0        5        0        0        5
39328 libobasis7.5-ooofonts              	       0        6        0        0        6
39329 libobasis7.5-ooolinguistic         	       0        5        0        0        5
39330 libobasis7.5-postgresql-sdbc       	       0        5        0        0        5
39331 libobasis7.5-python-script-provider	       0        5        0        0        5
39332 libobasis7.5-pyuno                 	       0        5        4        0        1
39333 libobasis7.5-writer                	       0        5        0        0        5
39334 libobasis7.5-xsltfilter            	       0        5        0        0        5
39335 libobasis7.6-base                  	       0        4        0        0        4
39336 libobasis7.6-calc                  	       0        4        0        0        4
39337 libobasis7.6-core                  	       0        4        0        0        4
39338 libobasis7.6-draw                  	       0        4        0        0        4
39339 libobasis7.6-en-us                 	       0        4        0        0        4
39340 libobasis7.6-extension-beanshell-script-provider	       0        4        0        0        4
39341 libobasis7.6-extension-javascript-script-provider	       0        4        0        0        4
39342 libobasis7.6-extension-mediawiki-publisher	       0        4        0        0        4
39343 libobasis7.6-extension-nlpsolver   	       0        4        0        0        4
39344 libobasis7.6-extension-pdf-import  	       0        4        0        0        4
39345 libobasis7.6-extension-report-builder	       0        4        0        0        4
39346 libobasis7.6-firebird              	       0        4        0        0        4
39347 libobasis7.6-gnome-integration     	       0        4        0        0        4
39348 libobasis7.6-graphicfilter         	       0        4        0        0        4
39349 libobasis7.6-images                	       0        4        0        0        4
39350 libobasis7.6-impress               	       0        4        0        0        4
39351 libobasis7.6-kde-integration       	       0        4        0        0        4
39352 libobasis7.6-librelogo             	       0        4        0        0        4
39353 libobasis7.6-libreofficekit-data   	       0        4        0        0        4
39354 libobasis7.6-math                  	       0        4        0        0        4
39355 libobasis7.6-ogltrans              	       0        4        0        0        4
39356 libobasis7.6-onlineupdate          	       0        4        0        0        4
39357 libobasis7.6-ooofonts              	       0        5        0        0        5
39358 libobasis7.6-ooolinguistic         	       0        4        0        0        4
39359 libobasis7.6-postgresql-sdbc       	       0        4        0        0        4
39360 libobasis7.6-python-script-provider	       0        4        0        0        4
39361 libobasis7.6-pyuno                 	       0        4        4        0        0
39362 libobasis7.6-writer                	       0        4        0        0        4
39363 libobasis7.6-xsltfilter            	       0        4        0        0        4
39364 libobexftp-perl                    	       0        1        0        0        1
39365 libobexftp0                        	       0       17        0        0       17
39366 libobexftp0t64                     	       0        2        0        0        2
39367 libobjc-10-dev                     	       0      124        0        0      124
39368 libobjc-11-dev                     	       0        8        0        0        8
39369 libobjc-12-dev                     	       0      261        0        0      261
39370 libobjc-12-dev-armel-cross         	       0        1        0        0        1
39371 libobjc-12-dev-armhf-cross         	       0        1        0        0        1
39372 libobjc-13-dev                     	       0       34        0        0       34
39373 libobjc-14-dev                     	       0       38        0        0       38
39374 libobjc-4.9-dev                    	       0       13        0        0       13
39375 libobjc-5-dev                      	       0        1        0        0        1
39376 libobjc-6-dev                      	       0       17        0        0       17
39377 libobjc-8-dev                      	       0       20        0        0       20
39378 libobjc-9-dev                      	       0        3        0        0        3
39379 libobjc2                           	       0        1        0        0        1
39380 libobjc4                           	       0     1042        1        0     1041
39381 libobjc4-armel-cross               	       0        1        0        0        1
39382 libobjc4-armhf-cross               	       0        1        0        0        1
39383 libobjc4-dbg                       	       0        1        1        0        0
39384 libobjc4-dbgsym                    	       0        1        1        0        0
39385 libobject-accessor-perl            	       0       56       56        0        0
39386 libobject-event-perl               	       0        7        7        0        0
39387 libobject-id-perl                  	       0       42       41        1        0
39388 libobject-multitype-perl           	       0        1        1        0        0
39389 libobject-pad-perl                 	       0      158        0        0      158
39390 libobjenesis-java                  	       0       58        0        0       58
39391 libobjenesis-java-doc              	       0        1        0        0        1
39392 libobrender27                      	       0        1        0        0        1
39393 libobrender29                      	       0        4        0        0        4
39394 libobs-dev                         	       0        9        9        0        0
39395 libobs0t64                         	       0       17        0        1       16
39396 libobt0                            	       0        1        0        0        1
39397 libobt2                            	       0        4        0        0        4
39398 libocaml-compiler-libs-ocaml-dev   	       0        5        5        0        0
39399 libocamlnet-ocaml                  	       0        2        2        0        0
39400 libocamlnet-ocaml-dev              	       0        1        1        0        0
39401 libocamlnet-ocaml-doc              	       0        2        0        0        2
39402 libocas-dev                        	       0        2        2        0        0
39403 libocas0                           	       0        2        0        0        2
39404 libocct-data-exchange-7.3          	       0        3        0        0        3
39405 libocct-data-exchange-7.5          	       0       35        1        0       34
39406 libocct-data-exchange-7.6t64       	       0        1        0        0        1
39407 libocct-data-exchange-7.8          	       0       23        0        0       23
39408 libocct-data-exchange-dev          	       0        8        8        0        0
39409 libocct-doc                        	       0        2        0        0        2
39410 libocct-draw-7.5                   	       0        1        0        0        1
39411 libocct-draw-7.6                   	       0      141        0        0      141
39412 libocct-draw-7.6t64                	       0        1        0        0        1
39413 libocct-draw-7.8                   	       0       23        0        0       23
39414 libocct-draw-dev                   	       0        6        1        0        5
39415 libocct-foundation-7.3             	       0        3        0        0        3
39416 libocct-foundation-7.5             	       0       35        1        0       34
39417 libocct-foundation-7.6t64          	       0        1        0        0        1
39418 libocct-foundation-7.8             	       0       24        0        0       24
39419 libocct-foundation-dev             	       0        9        9        0        0
39420 libocct-modeling-algorithms-7.3    	       0        3        0        0        3
39421 libocct-modeling-algorithms-7.5    	       0       35        1        0       34
39422 libocct-modeling-algorithms-7.6t64 	       0        1        0        0        1
39423 libocct-modeling-algorithms-7.8    	       0       24        0        0       24
39424 libocct-modeling-algorithms-dev    	       0        9        1        0        8
39425 libocct-modeling-data-7.3          	       0        3        0        0        3
39426 libocct-modeling-data-7.5          	       0       35        1        0       34
39427 libocct-modeling-data-7.6t64       	       0        1        0        0        1
39428 libocct-modeling-data-7.8          	       0       24        0        0       24
39429 libocct-modeling-data-dev          	       0        9        1        0        8
39430 libocct-ocaf-7.3                   	       0        3        0        0        3
39431 libocct-ocaf-7.5                   	       0       35        1        0       34
39432 libocct-ocaf-7.6                   	       0      141        4        0      137
39433 libocct-ocaf-7.6t64                	       0        1        0        0        1
39434 libocct-ocaf-7.8                   	       0       23        0        0       23
39435 libocct-ocaf-dev                   	       0        9        1        0        8
39436 libocct-visualization-7.3          	       0        3        0        0        3
39437 libocct-visualization-7.5          	       0       35        1        0       34
39438 libocct-visualization-7.6          	       0      141        4        0      137
39439 libocct-visualization-7.6t64       	       0        1        0        0        1
39440 libocct-visualization-7.8          	       0       23        0        0       23
39441 libocct-visualization-dev          	       0        9        9        0        0
39442 liboce-foundation-dev              	       0        4        4        0        0
39443 liboce-foundation10                	       0       10        0        0       10
39444 liboce-foundation11                	       0       24        0        0       24
39445 liboce-foundation2                 	       0        1        0        0        1
39446 liboce-foundation8                 	       0        3        0        0        3
39447 liboce-modeling-dev                	       0        4        4        0        0
39448 liboce-modeling10                  	       0       10        0        0       10
39449 liboce-modeling11                  	       0       24        0        0       24
39450 liboce-modeling2                   	       0        1        0        0        1
39451 liboce-modeling8                   	       0        3        0        0        3
39452 liboce-ocaf-dev                    	       0        3        0        0        3
39453 liboce-ocaf-lite-dev               	       0        3        0        0        3
39454 liboce-ocaf-lite10                 	       0       10        0        0       10
39455 liboce-ocaf-lite11                 	       0       23        0        0       23
39456 liboce-ocaf-lite8                  	       0        2        0        0        2
39457 liboce-ocaf10                      	       0       10        0        0       10
39458 liboce-ocaf11                      	       0       23        0        0       23
39459 liboce-ocaf8                       	       0        2        0        0        2
39460 liboce-visualization-dev           	       0        3        3        0        0
39461 liboce-visualization10             	       0       10        0        0       10
39462 liboce-visualization11             	       0       23        0        0       23
39463 liboce-visualization8              	       0        2        0        0        2
39464 liboclgrind-16.10                  	       0        1        0        0        1
39465 liboclgrind-19.10                  	       0        1        0        0        1
39466 liboclgrind-dev                    	       0        1        1        0        0
39467 libocplib-endian-ocaml             	       0        3        3        0        0
39468 libocplib-endian-ocaml-dev         	       0        2        2        0        0
39469 libocrad-dev                       	       0        2        2        0        0
39470 liboctave-dev                      	       0       13        7        0        6
39471 liboctave3v5                       	       0        1        0        0        1
39472 liboctave6                         	       0        5        0        0        5
39473 liboctave7                         	       0        1        0        0        1
39474 liboctave8                         	       0       15        0        0       15
39475 liboctomap-dev                     	       0        2        2        0        0
39476 liboctomap1.9                      	       0        2        0        0        2
39477 liboctovis-dev                     	       0        1        1        0        0
39478 liboctovis1.9                      	       0        2        0        0        2
39479 libodbc-ruby1.9.1                  	       0        1        0        0        1
39480 libodbccr2                         	       0      226        0        0      226
39481 libodbcinstq4-1                    	       0        1        0        0        1
39482 libodc-0d                          	       0        5        0        0        5
39483 libodc-dev                         	       0        1        1        0        0
39484 libode-dev                         	       0        5        5        0        0
39485 libode0-dev                        	       0        1        1        0        0
39486 libode0debian1                     	       0        1        0        0        1
39487 libode1                            	       0        1        0        0        1
39488 libode1sp                          	       0        2        0        0        2
39489 libode6                            	       0        2        0        0        2
39490 libode8                            	       0       37        0        0       37
39491 libode8t64                         	       0        2        0        0        2
39492 libodfdom-java                     	       0        2        0        0        2
39493 libodfgen-0.0-0                    	       0        1        0        0        1
39494 libodil0                           	       0        2        0        0        2
39495 libodin-dev                        	       0        3        3        0        0
39496 libodsstream0                      	       0        1        0        0        1
39497 libofa0-dev                        	       0        2        2        0        0
39498 libofficebean-java                 	       0        8        8        0        0
39499 libofx-dev                         	       0        5        5        0        0
39500 libofx-doc                         	       0        2        0        0        2
39501 libofx6                            	       0        7        0        0        7
39502 libofx7t64                         	       0        9        0        0        9
39503 libogdf-tulip-5.4-0                	       0        2        0        0        2
39504 libogdi-dev                        	       0       38       38        0        0
39505 libogdi3.2                         	       0       68       68        0        0
39506 libogdi3.2-dev                     	       0        4        4        0        0
39507 libogg-ocaml                       	       0        6        4        1        1
39508 libogg-ocaml-dev                   	       0        4        4        0        0
39509 libogg-vorbis-decoder-perl         	       0        1        0        0        1
39510 liboggflac3                        	       0        2        0        0        2
39511 liboggkate1                        	       0       24        0        0       24
39512 liboggz2                           	       0       36        0        0       36
39513 liboggz2-dev                       	       0        3        3        0        0
39514 liboglappth2                       	       0        3        0        0        3
39515 libogmrip-dev                      	       0        1        1        0        0
39516 libogmrip1                         	       0       14        0        0       14
39517 libogre-1.12-dev                   	       0        1        1        0        0
39518 libogre-1.8-dev                    	       0        1        1        0        0
39519 libogre-1.8.0                      	       0        1        0        0        1
39520 libogre-1.9-dev                    	       0        2        2        0        0
39521 libogre-1.9.0                      	       0        1        0        0        1
39522 libogre-1.9.0v5                    	       0        9        0        0        9
39523 libogre-1.9.0v5-dbg                	       0        1        1        0        0
39524 libogre1.12.10                     	       0        9        9        0        0
39525 liboidc-agent4                     	       0        1        0        0        1
39526 liboil0.3                          	       0        6        6        0        0
39527 liboil0.3-dev                      	       0        1        1        0        0
39528 libois-1.3.0                       	       0        1        0        0        1
39529 libois-1.3.0v5                     	       0        8        0        0        8
39530 libois-dev                         	       0        3        3        0        0
39531 libois-perl                        	       0        2        0        0        2
39532 libokhttp-java                     	       0       22        0        0       22
39533 libokhttp-signpost-java            	       0        2        0        0        2
39534 libokio-java                       	       0       22        0        0       22
39535 libokteta-l10n                     	       0      101        0        0      101
39536 libokteta1core1                    	       0        1        0        0        1
39537 libokteta1gui1                     	       0        1        0        0        1
39538 libokteta2core2                    	       0        5        0        0        5
39539 libokteta2gui2                     	       0        5        0        0        5
39540 libokteta3core0                    	       0      101        0        0      101
39541 libokteta3gui0                     	       0      101        0        0      101
39542 libokular-ruby1.8                  	       0        1        0        0        1
39543 libokular5core11                   	       0       31        0        0       31
39544 libokular5core8                    	       0       32        0        0       32
39545 libokular5core9                    	       0      111        4        0      107
39546 libokularcore7                     	       0       12        0        0       12
39547 libole-ruby1.9.1                   	       0        1        0        0        1
39548 libolecf1                          	       0       14        0        0       14
39549 libolm-dev                         	       0        6        6        0        0
39550 libomc                             	       0        1        0        0        1
39551 libomcsimulation                   	       0        1        1        0        0
39552 libomemo-c0                        	       0        1        0        0        1
39553 libomniorb4-2                      	       0        4        0        0        4
39554 libomniorb4-dev                    	       0        1        1        0        0
39555 libomnithread4                     	       0        4        0        0        4
39556 libomnithread4-dev                 	       0        1        1        0        0
39557 libomp-10-dev                      	       0        4        4        0        0
39558 libomp-11-doc                      	       0        1        0        0        1
39559 libomp-14-dev                      	       0        5        5        0        0
39560 libomp-15-dev                      	       0        1        1        0        0
39561 libomp-16-dev                      	       0        1        1        0        0
39562 libomp-19-doc                      	       0        1        0        0        1
39563 libomp-7-dev                       	       0       16       16        0        0
39564 libomp-8-dev                       	       0        1        1        0        0
39565 libomp-dev                         	       0       12        0        0       12
39566 libomp5                            	       0        3        0        0        3
39567 libomp5-10                         	       0        4        4        0        0
39568 libomp5-13                         	       0        1        1        0        0
39569 libomp5-14                         	       0        6        6        0        0
39570 libomp5-15                         	       0        1        1        0        0
39571 libomp5-16t64                      	       0        1        1        0        0
39572 libomp5-7                          	       0       16       16        0        0
39573 libomp5-8                          	       0        2        2        0        0
39574 libompl-dev                        	       0        1        1        0        0
39575 libompl16                          	       0        1        0        0        1
39576 libomplot                          	       0        1        0        0        1
39577 libomsensplugin                    	       0        1        0        0        1
39578 libomsimulator                     	       0        1        1        0        0
39579 libomxil-bellagio-bin              	       0       22       22        0        0
39580 libomxil-bellagio-dev              	       0       17       16        1        0
39581 libomxil-bellagio0                 	       0       35        0        0       35
39582 libomxil-bellagio0-components-base 	       0        1        0        0        1
39583 libonig4                           	       0       16        0        0       16
39584 libonnx1                           	       0       14        0        0       14
39585 libonnx1t64                        	       0      128        0        0      128
39586 libonnxruntime1.19.2               	       0       79        0        0       79
39587 libonnxruntime1.20.1               	       0       33        0        0       33
39588 libonnxruntime1.21                 	       0       15        0        0       15
39589 libonvif1                          	       0        5        0        0        5
39590 liboobs-1-4                        	       0        2        0        0        2
39591 liboobs-1-5                        	       0      253        1        0      252
39592 liboofem2                          	       0        1        0        0        1
39593 libooolib-perl                     	       0        1        1        0        0
39594 liboop-doc                         	       0        2        0        0        2
39595 liboop4                            	       0        2        1        0        1
39596 liboop4t64                         	       0        1        0        0        1
39597 libooptools-dev                    	       0        1        1        0        0
39598 libopal3.10.10                     	       0        8        8        0        0
39599 libopal3.10.4                      	       0        1        1        0        0
39600 libopal3.6.8                       	       0        1        1        0        0
39601 libopen-trace-format1              	       0        2        0        0        2
39602 libopen4-ruby1.9.1                 	       0        1        0        0        1
39603 libopenal-data                     	       0     3220        0        0     3220
39604 libopenal0a                        	       0        1        0        0        1
39605 libopenapi-client-perl             	       0        1        0        1        0
39606 libopenaptx-dev                    	       0        1        1        0        0
39607 libopenaptx0                       	       0       56        0        0       56
39608 libopenbabel-dev                   	       0        2        2        0        0
39609 libopenbabel-doc                   	       0        1        0        0        1
39610 libopenbabel4v5                    	       0        3        3        0        0
39611 libopenbabel5                      	       0        3        3        0        0
39612 libopenbabel7                      	       0       61        0        0       61
39613 libopenblas-base                   	       0       15        2        0       13
39614 libopenblas-dev                    	       0      391        2        0      389
39615 libopenblas0                       	       0      427        0        0      427
39616 libopenblas0-serial                	       0        1        0        0        1
39617 libopenblas64-0                    	       0        1        0        0        1
39618 libopenblas64-0-pthread            	       0        1        0        0        1
39619 libopenblas64-dev                  	       0        1        0        0        1
39620 libopenblas64-pthread-dev          	       0        1        1        0        0
39621 libopencbm0                        	       0        1        0        0        1
39622 libopencc-data                     	       0       42        0        0       42
39623 libopencc2                         	       0        2        0        0        2
39624 libopencc2-data                    	       0        2        0        0        2
39625 libopencdk8                        	       0        4        0        0        4
39626 libopencdk8-dev                    	       0        1        1        0        0
39627 libopencensus-java                 	       0        4        0        0        4
39628 libopencl-clang-15-dev             	       0        1        1        0        0
39629 libopencl-clang-dev                	       0        1        1        0        0
39630 libopencl-clang11                  	       0        3        0        0        3
39631 libopencl-clang14                  	       0       13        0        0       13
39632 libopencl-clang15                  	       0        1        0        0        1
39633 libopencolorio-dev                 	       0        7        7        0        0
39634 libopencolorio1                    	       0        1        0        0        1
39635 libopencolorio1v5                  	       0       76        0        0       76
39636 libopencolorio2.1t64               	       0       13        0        0       13
39637 libopenconnect-dev                 	       0        3        3        0        0
39638 libopenconnect1                    	       0        1        0        0        1
39639 libopenconnect3                    	       0        1        0        0        1
39640 libopencore-amrnb-dev              	       0       23       22        1        0
39641 libopencore-amrwb-dev              	       0       23       22        1        0
39642 libopencryptoki-dev                	       0        1        1        0        0
39643 libopencryptoki0                   	       0        6        1        0        5
39644 libopencsd0                        	       0       20        0        0       20
39645 libopencsd1                        	       0       59        0        0       59
39646 libopencsg-dev                     	       0        5        5        0        0
39647 libopenct1                         	       0        2        0        0        2
39648 libopenct1-dev                     	       0        1        1        0        0
39649 libopenctm-dev                     	       0        1        1        0        0
39650 libopenctm1                        	       0       32        0        0       32
39651 libopencv-apps2d                   	       0        1        0        0        1
39652 libopencv-calib3d-dev              	       0       46       43        2        1
39653 libopencv-calib3d2.4               	       0        2        0        0        2
39654 libopencv-calib3d2.4v5             	       0       45        0        0       45
39655 libopencv-calib3d3.2               	       0       13        0        0       13
39656 libopencv-calib3d4.5               	       0       15        0        0       15
39657 libopencv-calib3d406               	       0      218        0        0      218
39658 libopencv-calib3d406t64            	       0       31        1        0       30
39659 libopencv-calib3d410               	       0       16        0        0       16
39660 libopencv-contrib-dev              	       0       45        0        0       45
39661 libopencv-contrib2.4               	       0        2        0        0        2
39662 libopencv-contrib2.4v5             	       0       16        0        0       16
39663 libopencv-contrib3.2               	       0       13        0        0       13
39664 libopencv-contrib4.5               	       0       14        0        0       14
39665 libopencv-contrib406               	       0      186        0        0      186
39666 libopencv-contrib406t64            	       0       24        1        0       23
39667 libopencv-contrib410               	       0       11        0        0       11
39668 libopencv-core-dev                 	       0       51       47        4        0
39669 libopencv-core2.3                  	       0       11        0        0       11
39670 libopencv-core2.4                  	       0       10        0        0       10
39671 libopencv-core2.4v5                	       0       94        0        0       94
39672 libopencv-core3.2                  	       0       38        0        0       38
39673 libopencv-core4.1                  	       0        1        0        0        1
39674 libopencv-core4.2                  	       0        1        0        0        1
39675 libopencv-core4.5                  	       0       56        0        0       56
39676 libopencv-core4.5d                 	       0        1        0        0        1
39677 libopencv-core406                  	       0      367        3        0      364
39678 libopencv-core406t64               	       0       49        1        0       48
39679 libopencv-dnn-dev                  	       0       45        0        0       45
39680 libopencv-dnn4.5                   	       0       20        0        0       20
39681 libopencv-dnn406                   	       0      242        0        0      242
39682 libopencv-dnn406t64                	       0       30        1        0       29
39683 libopencv-dnn410                   	       0       16        0        0       16
39684 libopencv-features2d-dev           	       0       46       43        2        1
39685 libopencv-features2d2.4            	       0        2        0        0        2
39686 libopencv-features2d2.4v5          	       0       45        0        0       45
39687 libopencv-features2d3.2            	       0       13        0        0       13
39688 libopencv-features2d4.5            	       0       15        0        0       15
39689 libopencv-features2d406            	       0      218        0        0      218
39690 libopencv-features2d406t64         	       0       31        1        0       30
39691 libopencv-features2d410            	       0       16        0        0       16
39692 libopencv-flann-dev                	       0       48       46        2        0
39693 libopencv-flann2.4                 	       0        2        0        0        2
39694 libopencv-flann2.4v5               	       0       46        0        0       46
39695 libopencv-flann3.2                 	       0       14        0        0       14
39696 libopencv-flann4.5                 	       0       15        0        0       15
39697 libopencv-flann406                 	       0      218        0        0      218
39698 libopencv-flann406t64              	       0       31        1        0       30
39699 libopencv-flann410                 	       0       16        0        0       16
39700 libopencv-gpu-dev                  	       0        1        0        0        1
39701 libopencv-gpu2.3                   	       0        1        0        0        1
39702 libopencv-gpu2.4v5                 	       0       12        0        0       12
39703 libopencv-highgui-dev              	       0       46       44        2        0
39704 libopencv-highgui2.4               	       0        2        0        0        2
39705 libopencv-highgui2.4-deb0          	       0       48        0        0       48
39706 libopencv-highgui3.2               	       0       15        0        0       15
39707 libopencv-highgui4.5               	       0       14        0        0       14
39708 libopencv-highgui406               	       0      187        0        0      187
39709 libopencv-highgui406t64            	       0       24        0        0       24
39710 libopencv-highgui410               	       0       11        0        0       11
39711 libopencv-imgcodecs-dev            	       0       45       43        2        0
39712 libopencv-imgcodecs3.2             	       0       29        0        0       29
39713 libopencv-imgcodecs4.1             	       0        1        0        0        1
39714 libopencv-imgcodecs4.5             	       0       55        0        0       55
39715 libopencv-imgcodecs406             	       0      348        2        0      346
39716 libopencv-imgcodecs406t64          	       0       36        0        0       36
39717 libopencv-imgcodecs410             	       0       15        0        0       15
39718 libopencv-imgproc-dev              	       0       49       47        2        0
39719 libopencv-imgproc2.3               	       0        5        0        0        5
39720 libopencv-imgproc2.4               	       0       10        0        0       10
39721 libopencv-imgproc2.4v5             	       0       93        0        0       93
39722 libopencv-imgproc3.2               	       0       38        0        0       38
39723 libopencv-imgproc4.1               	       0        1        0        0        1
39724 libopencv-imgproc4.2               	       0        1        0        0        1
39725 libopencv-imgproc4.5               	       0       56        0        0       56
39726 libopencv-imgproc4.5d              	       0        1        0        0        1
39727 libopencv-imgproc406               	       0      367        3        0      364
39728 libopencv-imgproc406t64            	       0       49        1        0       48
39729 libopencv-java                     	       0       28        0        0       28
39730 libopencv-legacy-dev               	       0        1        0        0        1
39731 libopencv-legacy2.4                	       0        2        0        0        2
39732 libopencv-legacy2.4v5              	       0       13        0        0       13
39733 libopencv-ml-dev                   	       0       48        0        0       48
39734 libopencv-ml2.4                    	       0        2        0        0        2
39735 libopencv-ml2.4v5                  	       0       16        0        0       16
39736 libopencv-ml3.2                    	       0       16        0        0       16
39737 libopencv-ml4.5                    	       0       14        0        0       14
39738 libopencv-ml406                    	       0      213        0        0      213
39739 libopencv-ml406t64                 	       0       28        0        0       28
39740 libopencv-ml410                    	       0       13        0        0       13
39741 libopencv-objdetect-dev            	       0       45        0        0       45
39742 libopencv-objdetect2.4             	       0        2        0        0        2
39743 libopencv-objdetect2.4v5           	       0       45        0        0       45
39744 libopencv-objdetect3.2             	       0       15        0        0       15
39745 libopencv-objdetect4.5             	       0       15        0        0       15
39746 libopencv-objdetect406             	       0      217        0        0      217
39747 libopencv-objdetect406t64          	       0       30        1        0       29
39748 libopencv-objdetect410             	       0       16        0        0       16
39749 libopencv-ocl-dev                  	       0        1        0        0        1
39750 libopencv-ocl2.4v5                 	       0       12        0        0       12
39751 libopencv-photo-dev                	       0       48       46        2        0
39752 libopencv-photo2.4                 	       0        2        0        0        2
39753 libopencv-photo2.4v5               	       0       13        0        0       13
39754 libopencv-photo3.2                 	       0       14        0        0       14
39755 libopencv-photo4.5                 	       0       13        0        0       13
39756 libopencv-photo406                 	       0       68        0        0       68
39757 libopencv-photo406t64              	       0       12        0        0       12
39758 libopencv-photo410                 	       0        2        0        0        2
39759 libopencv-shape-dev                	       0       45        0        0       45
39760 libopencv-shape3.2                 	       0       14        0        0       14
39761 libopencv-shape4.5                 	       0       13        0        0       13
39762 libopencv-shape406                 	       0       68        0        0       68
39763 libopencv-shape406t64              	       0       11        0        0       11
39764 libopencv-shape410                 	       0        2        0        0        2
39765 libopencv-stitching-dev            	       0       45        0        0       45
39766 libopencv-stitching2.4v5           	       0       12        0        0       12
39767 libopencv-stitching3.2             	       0       13        0        0       13
39768 libopencv-stitching4.5             	       0       13        0        0       13
39769 libopencv-stitching406             	       0       67        0        0       67
39770 libopencv-stitching406t64          	       0       11        0        0       11
39771 libopencv-stitching410             	       0        2        0        0        2
39772 libopencv-superres-dev             	       0       45        0        0       45
39773 libopencv-superres2.4v5            	       0       12        0        0       12
39774 libopencv-superres3.2              	       0       13        0        0       13
39775 libopencv-superres4.5              	       0        6        0        0        6
39776 libopencv-superres406              	       0       32        0        0       32
39777 libopencv-superres406t64           	       0        6        0        0        6
39778 libopencv-superres410              	       0        1        0        0        1
39779 libopencv-ts-dev                   	       0        1        1        0        0
39780 libopencv-ts2.4v5                  	       0       12        0        0       12
39781 libopencv-video-dev                	       0       48       45        2        1
39782 libopencv-video2.4                 	       0        2        0        0        2
39783 libopencv-video2.4v5               	       0       46        0        0       46
39784 libopencv-video3.2                 	       0       15        0        0       15
39785 libopencv-video4.5                 	       0       14        0        0       14
39786 libopencv-video406                 	       0      216        0        0      216
39787 libopencv-video406t64              	       0       28        1        0       27
39788 libopencv-video410                 	       0       14        0        0       14
39789 libopencv-videoio-dev              	       0       45       43        2        0
39790 libopencv-videoio3.2               	       0       27        0        0       27
39791 libopencv-videoio4.5               	       0       49        0        0       49
39792 libopencv-videoio406               	       0      258        1        0      257
39793 libopencv-videoio406t64            	       0       26        0        0       26
39794 libopencv-videoio410               	       0        7        0        0        7
39795 libopencv-videostab-dev            	       0       46        0        0       46
39796 libopencv-videostab2.4v5           	       0       12        0        0       12
39797 libopencv-videostab3.2             	       0       13        0        0       13
39798 libopencv-videostab4.5             	       0        6        0        0        6
39799 libopencv-videostab406             	       0       31        0        0       31
39800 libopencv-videostab406t64          	       0        7        0        0        7
39801 libopencv-videostab410             	       0        1        0        0        1
39802 libopencv-viz-dev                  	       0       44        0        0       44
39803 libopencv-viz3.2                   	       0       13        0        0       13
39804 libopencv-viz4.5                   	       0        6        0        0        6
39805 libopencv-viz406                   	       0       67        0        0       67
39806 libopencv-viz406t64                	       0       10        0        0       10
39807 libopencv-viz410                   	       0        2        0        0        2
39808 libopencv2.4-java                  	       0        1        0        0        1
39809 libopencv2.4-jni                   	       0        2        2        0        0
39810 libopencv4.5-java                  	       0        6        0        0        6
39811 libopencv4.5-jni                   	       0        6        6        0        0
39812 libopencv406-jni                   	       0       28       27        1        0
39813 libopendbx1-dev                    	       0        3        3        0        0
39814 libopendbx1-pgsql                  	       0        2        2        0        0
39815 libopendht2                        	       0       13        0        0       13
39816 libopendht3t64                     	       0        1        0        0        1
39817 libopendkim-dev                    	       0        1        1        0        0
39818 libopenems0                        	       0        6        0        0        6
39819 libopenexr-dev                     	       0      124      121        3        0
39820 libopenexr-doc                     	       0        1        0        1        0
39821 libopenexr22                       	       0      125        0        0      125
39822 libopenexr24                       	       0        2        0        0        2
39823 libopenexr2c2a                     	       0        1        0        0        1
39824 libopenfec-dev                     	       0        1        1        0        0
39825 libopenfec1                        	       0      121        0        0      121
39826 libopenfoam                        	       0        2        2        0        0
39827 libopengl-dev                      	       0      755        0        0      755
39828 libopengl-image-perl               	       0        2        2        0        0
39829 libopengl-perl                     	       0       73        0        0       73
39830 libopengl-xscreensaver-perl        	       0       40        0        0       40
39831 libopengl0-glvnd-nvidia            	       0        1        0        0        1
39832 libopenh264-1                      	       0        2        0        0        2
39833 libopenh264-2                      	       0       10        0        0       10
39834 libopenh264-4                      	       0        3        0        0        3
39835 libopenh264-dev                    	       0        5        4        1        0
39836 libopenhmd-dev                     	       0        1        1        0        0
39837 libopenhmd0                        	       0       26        0        0       26
39838 libopenhpi2                        	       0        2        2        0        0
39839 libopenhpi3                        	       0        6        6        0        0
39840 libopenigtlink-dev                 	       0        1        1        0        0
39841 libopenigtlink1.11                 	       0        2        0        0        2
39842 libopenimageio-dev                 	       0        4        4        0        0
39843 libopenimageio-doc                 	       0        5        0        0        5
39844 libopenimageio1.6                  	       0        8        0        0        8
39845 libopenimageio2.0                  	       0       13        0        0       13
39846 libopenimageio2.2                  	       0       30        0        0       30
39847 libopenimageio2.3                  	       0        1        0        0        1
39848 libopenimageio2.4                  	       0      122        1        0      121
39849 libopenimageio2.4t64               	       0        2        0        0        2
39850 libopenimageio2.5                  	       0       11        0        0       11
39851 libopenipmi-dev                    	       0        1        1        0        0
39852 libopenipmi0t64                    	       0        3        0        0        3
39853 libopenjfx-java                    	       0      129        0        0      129
39854 libopenjfx-java-doc                	       0        1        0        0        1
39855 libopenjp2-tools                   	       0        3        3        0        0
39856 libopenjp3d-tools                  	       0        1        1        0        0
39857 libopenjp3d7                       	       0        1        0        0        1
39858 libopenjpeg-dev                    	       0        3        3        0        0
39859 libopenjpeg2                       	       0       28        0        0       28
39860 libopenjpeg5                       	       0      104        1        0      103
39861 libopenjpip7                       	       0        1        0        0        1
39862 libopenlibm2                       	       0        3        0        0        3
39863 libopenlibm3                       	       0       14        0        0       14
39864 libopenmpi-dev                     	       0      123        3        0      120
39865 libopenmpi1.3                      	       0        1        1        0        0
39866 libopenmpi1.6                      	       0        2        2        0        0
39867 libopenmpi2                        	       0        9        0        0        9
39868 libopenmpi3                        	       0      300        1        0      299
39869 libopenmpi3-dbgsym                 	       0        1        1        0        0
39870 libopenmpi3t64                     	       0       15        0        0       15
39871 libopenmpi40                       	       0       22        0        0       22
39872 libopenmpt-dev                     	       0       15       14        1        0
39873 libopenmpt-modplug-dev             	       0        5        5        0        0
39874 libopenni-dev                      	       0        3        3        0        0
39875 libopenni-java                     	       0        1        0        0        1
39876 libopenni-sensor-pointclouds-dev   	       0        1        0        0        1
39877 libopenni-sensor-pointclouds0      	       0        4        4        0        0
39878 libopenni0                         	       0        5        0        0        5
39879 libopenni2-dev                     	       0        6        6        0        0
39880 libopenobex2-dev                   	       0        2        2        0        0
39881 libopenoffice-oodoc-perl           	       0        8        8        0        0
39882 libopenr2-3                        	       0        2        2        0        0
39883 libopenraw-dev                     	       0        1        1        0        0
39884 libopenraw1                        	       0       26        0        0       26
39885 libopenraw1v5                      	       0        1        0        0        1
39886 libopenraw7t64                     	       0        3        0        0        3
39887 libopenrawgnome1v5                 	       0        1        0        0        1
39888 libopenrawgnome7t64                	       0        3        0        0        3
39889 libopenrazer0                      	       0        1        0        0        1
39890 libopenrefine-arithcode-java       	       0        2        0        0        2
39891 libopenrefine-butterfly-java       	       0        2        0        0        2
39892 libopenrefine-opencsv-java         	       0        2        0        0        2
39893 libopenrefine-vicino-java          	       0        2        0        0        2
39894 libopensc2                         	       0        1        1        0        0
39895 libopenscap25                      	       0        1        0        0        1
39896 libopenscenegraph-3.4-131          	       0        1        0        0        1
39897 libopenscenegraph-dev              	       0       10        0        0       10
39898 libopenscenegraph100v5             	       0        1        0        0        1
39899 libopenscenegraph161               	       0      162        0        0      162
39900 libopenshot-audio10                	       0        2        0        0        2
39901 libopenshot-audio6                 	       0        9        0        0        9
39902 libopenshot-audio7                 	       0       26        0        0       26
39903 libopenshot-audio8                 	       0       61        0        0       61
39904 libopenshot-audio9t64              	       0        4        0        0        4
39905 libopenshot-doc                    	       0        1        0        0        1
39906 libopenshot16                      	       0        9        0        0        9
39907 libopenshot19                      	       0       23        0        0       23
39908 libopenshot21                      	       0       60        0        0       60
39909 libopenshot25t64                   	       0        4        0        0        4
39910 libopenshot27                      	       0        2        0        0        2
39911 libopenslide-dev                   	       0        6        6        0        0
39912 libopenslide0                      	       0       23        1        0       22
39913 libopensmtpd0                      	       0        1        1        0        0
39914 libopenspecfun1                    	       0        1        0        0        1
39915 libopenssl-ruby                    	       0        1        0        0        1
39916 libopenssl0.9.8                    	       0        2        2        0        0
39917 libopensync0                       	       0       11       11        0        0
39918 libopentest4j-java                 	       0        7        0        0        7
39919 libopentest4j-reporting-java       	       0        7        0        0        7
39920 libopenthreads-dev                 	       0       12        0        0       12
39921 libopenthreads20                   	       0        5        0        0        5
39922 libopenthreads21                   	       0      163        0        0      163
39923 libopentk-cil-dev                  	       0        1        1        0        0
39924 libopentk1.1-cil                   	       0        3        3        0        0
39925 libopenturns-dev                   	       0        1        1        0        0
39926 libopenturns0.21                   	       0        3        0        0        3
39927 libopenvas9                        	       0        1        0        0        1
39928 libopenvdb-dev                     	       0        4        4        0        0
39929 libopenvdb-tools                   	       0        1        1        0        0
39930 libopenvdb10.0                     	       0      127        3        0      124
39931 libopenvdb10.0t64                  	       0       14        0        0       14
39932 libopenvdb3.2                      	       0        8        0        0        8
39933 libopenvdb5.2                      	       0       13        0        0       13
39934 libopenvdb7.1                      	       0       31        0        0       31
39935 libopenvdb9.1                      	       0        2        0        0        2
39936 libopenvg1-mesa                    	       0       36        0        0       36
39937 libopenvg1-mesa-dev                	       0        1        1        0        0
39938 libopenvlbi-doc                    	       0        1        0        0        1
39939 libopenvr-api1                     	       0        2        0        0        2
39940 libopenvr-dev                      	       0        2        2        0        0
39941 libopenxr-dev                      	       0        3        3        0        0
39942 libopenxr-loader1                  	       0       15        0        0       15
39943 libopenxr-utils                    	       0        1        1        0        0
39944 liboping-dev                       	       0        1        1        0        0
39945 libopm-models-doc                  	       0        1        0        0        1
39946 libopm-simulators-doc              	       0        1        0        0        1
39947 liboprf0t64                        	       0        1        0        0        1
39948 libopus-doc                        	       0        2        0        0        2
39949 libopus-ocaml                      	       0        2        2        0        0
39950 libopus-ocaml-dev                  	       0        1        1        0        0
39951 libopusenc0                        	       0       76        1        0       75
39952 libopusfile-dbg                    	       0        1        1        0        0
39953 libopusfile-doc                    	       0        2        0        0        2
39954 liborange0                         	       0        2        0        0        2
39955 liborbit-2-0                       	       0      172        0        0      172
39956 liborbit0ldbl                      	       0        1        0        0        1
39957 liborbit2                          	       0       35        0        0       35
39958 liborbit2-dev                      	       0        6        6        0        0
39959 liborc-0.4-doc                     	       0        1        0        0        1
39960 liborcania2.1                      	       0        2        0        0        2
39961 liborcania2.3                      	       0        6        0        0        6
39962 liborcus-0.10-0v5                  	       0        1        0        0        1
39963 liborcus-0.11-0                    	       0       74        0        0       74
39964 liborcus-0.14-0                    	       0      156        0        0      156
39965 liborcus-0.15-0                    	       0        2        0        0        2
39966 liborcus-0.6-0                     	       0        1        0        0        1
39967 liborcus-0.8-0                     	       0       20        0        0       20
39968 liborcus-bin                       	       0        1        1        0        0
39969 liborcus-dev                       	       0        2        0        0        2
39970 liborcus-doc                       	       0        1        0        0        1
39971 liborcus-mso-0.17-0                	       0        1        0        0        1
39972 liborcus-mso-0.18-0                	       0        1        0        0        1
39973 liborcus-parser-0.15-0             	       0        2        0        0        2
39974 liborcus-spreadsheet-model-0.14-0  	       0        1        0        0        1
39975 liborcus-spreadsheet-model-0.17-0  	       0        1        0        0        1
39976 liborcus-spreadsheet-model-0.18-0  	       0        1        0        0        1
39977 libordered-clojure                 	       0        1        0        0        1
39978 liborigin2-1v5                     	       0        2        0        0        2
39979 liborlite-migrate-perl             	       0        1        1        0        0
39980 liborlite-mirror-perl              	       0        1        1        0        0
39981 liborlite-perl                     	       0        2        2        0        0
39982 liboro-java                        	       0       36        0        0       36
39983 liboro-java-doc                    	       0        1        0        0        1
39984 liborocos-kdl-dev                  	       0        2        2        0        0
39985 liborocos-kdl1.5                   	       0        2        0        0        2
39986 libortp-dev                        	       0        3        3        0        0
39987 libortp13                          	       0       15        0        0       15
39988 libortp15                          	       0        6        2        0        4
39989 libortp16                          	       0       27        1        0       26
39990 libortp5                           	       0        1        0        0        1
39991 libortp7                           	       0        1        0        0        1
39992 libortp8                           	       0        1        0        0        1
39993 libortp9                           	       0       16        0        0       16
39994 liboscache-java                    	       0        1        0        0        1
39995 liboscpack-dev                     	       0        1        1        0        0
39996 liboscpack1                        	       0        5        0        0        5
39997 libosd-dev                         	       0        1        1        0        0
39998 libosdcpu3.4.3                     	       0       30        0        0       30
39999 libosdcpu3.5.0                     	       0      118        1        0      117
40000 libosdcpu3.5.0t64                  	       0        2        0        0        2
40001 libosdcpu3.6.0                     	       0       11        0        0       11
40002 libosdgpu3.4.3                     	       0       30        0        0       30
40003 libosdgpu3.5.0                     	       0      118        1        0      117
40004 libosdgpu3.5.0t64                  	       0        2        0        0        2
40005 libosdgpu3.6.0                     	       0       11        0        0       11
40006 libosgi-annotation-java            	       0       76        0        0       76
40007 libosgi-annotation-java-doc        	       0        7        0        0        7
40008 libosgi-compendium-java            	       0       76        0        0       76
40009 libosgi-compendium-java-doc        	       0        7        0        0        7
40010 libosgi-core-java                  	       0       76        0        0       76
40011 libosgi-core-java-doc              	       0        7        0        0        7
40012 libosgi-foundation-ee-java         	       0        6        0        0        6
40013 libosinfo-1.0-dev                  	       0        2        2        0        0
40014 libosinfo-bin                      	       0       64       61        3        0
40015 libosip2-11                        	       0        4        0        0        4
40016 libosip2-15                        	       0        1        0        0        1
40017 libosip2-3deb                      	       0        1        0        0        1
40018 libosip2-4                         	       0        1        0        0        1
40019 libosip2-7                         	       0        2        0        0        2
40020 libosip2-dev                       	       0        1        1        0        0
40021 libosl1v5                          	       0        2        0        0        2
40022 libosmesa6                         	       0      581        1        0      580
40023 libosmesa6-dev                     	       0       21       20        1        0
40024 libosmgpsmap-1.0-dev               	       0        3        3        0        0
40025 libosmgpsmap2                      	       0        1        0        0        1
40026 libosmium2-dev                     	       0        2        0        0        2
40027 libosmo-fl2k0                      	       0        3        0        0        3
40028 libosmocodec0                      	       0        2        0        0        2
40029 libosmocodec4                      	       0        1        0        0        1
40030 libosmocoding0                     	       0        3        0        0        3
40031 libosmocore19                      	       0        2        0        0        2
40032 libosmocore22                      	       0        1        0        0        1
40033 libosmogsm18                       	       0        2        0        0        2
40034 libosmogsm20                       	       0        1        0        0        1
40035 libosmoisdn0                       	       0        1        0        0        1
40036 libosmosccp0                       	       0        1        0        0        1
40037 libosmosdr-dev                     	       0        1        1        0        0
40038 libosmpbf-dev                      	       0        1        1        0        0
40039 libosmpbf-java                     	       0       12        0        0       12
40040 libosmpbf1                         	       0        2        0        0        2
40041 libosp-dev                         	       0        6        6        0        0
40042 libosptk-dev                       	       0        1        1        0        0
40043 libosptk4                          	       0        1        0        0        1
40044 libossim1                          	       0        3        0        0        3
40045 libossp-uuid-dev                   	       0        4        4        0        0
40046 libossp-uuid-perl                  	       0      162        3        0      159
40047 libossp-uuid15                     	       0        1        0        0        1
40048 libossp-uuid16                     	       0      223        3        0      220
40049 libostree-dev                      	       0        6        5        1        0
40050 libostyle1c2                       	       0      162        0        0      162
40051 libostyle1t64                      	       0       24        0        0       24
40052 libotb                             	       0        2        0        0        2
40053 libotb-apps                        	       0        2        0        0        2
40054 libotb-dev                         	       0        2        2        0        0
40055 libotbapplicationengine-7.2-1      	       0        1        0        0        1
40056 libotbapplicationengine-8.1-1      	       0        3        0        0        3
40057 libotbcarto-8.1-1                  	       0        2        0        0        2
40058 libotbcommandline-8.1-1            	       0        2        0        0        2
40059 libotbcommon-7.2-1                 	       0        1        0        0        1
40060 libotbcommon-8.1-1                 	       0        3        0        0        3
40061 libotbcurladapters-8.1-1           	       0        2        0        0        2
40062 libotbextendedfilename-7.2-1       	       0        1        0        0        1
40063 libotbextendedfilename-8.1-1       	       0        3        0        0        3
40064 libotbfuzzy-8.1-1                  	       0        2        0        0        2
40065 libotbgdaladapters-7.2-1           	       0        1        0        0        1
40066 libotbgdaladapters-8.1-1           	       0        3        0        0        3
40067 libotbice-8.1-1                    	       0        2        0        0        2
40068 libotbimagebase-7.2-1              	       0        1        0        0        1
40069 libotbimagebase-8.1-1              	       0        3        0        0        3
40070 libotbimageio-7.2-1                	       0        1        0        0        1
40071 libotbimageio-8.1-1                	       0        3        0        0        3
40072 libotbimagemanipulation-8.1-1      	       0        2        0        0        2
40073 libotbiobsq-7.2-1                  	       0        1        0        0        1
40074 libotbiobsq-8.1-1                  	       0        3        0        0        3
40075 libotbiogdal-7.2-1                 	       0        1        0        0        1
40076 libotbiogdal-8.1-1                 	       0        3        0        0        3
40077 libotbiokml-8.1-1                  	       0        2        0        0        2
40078 libotbiolum-7.2-1                  	       0        1        0        0        1
40079 libotbiolum-8.1-1                  	       0        3        0        0        3
40080 libotbiomstar-7.2-1                	       0        1        0        0        1
40081 libotbiomstar-8.1-1                	       0        3        0        0        3
40082 libotbioonera-7.2-1                	       0        1        0        0        1
40083 libotbioonera-8.1-1                	       0        3        0        0        3
40084 libotbiorad-7.2-1                  	       0        1        0        0        1
40085 libotbiorad-8.1-1                  	       0        3        0        0        3
40086 libotblearningbase-8.1-1           	       0        2        0        0        2
40087 libotbmapla-8.1-1                  	       0        2        0        0        2
40088 libotbmathparser-8.1-1             	       0        2        0        0        2
40089 libotbmathparserx-8.1-1            	       0        2        0        0        2
40090 libotbmetadata-7.2-1               	       0        1        0        0        1
40091 libotbmetadata-8.1-1               	       0        3        0        0        3
40092 libotbmonteverdi-8.1-1             	       0        2        0        0        2
40093 libotbmonteverdicore-8.1-1         	       0        2        0        0        2
40094 libotbmonteverdigui-8.1-1          	       0        2        0        0        2
40095 libotbossimadapters-7.2-1          	       0        1        0        0        1
40096 libotbossimplugins-6.6-1           	       0        1        0        0        1
40097 libotbossimplugins-7.2-1           	       0        1        0        0        1
40098 libotbpolarimetry-8.1-1            	       0        2        0        0        2
40099 libotbprojection-8.1-1             	       0        2        0        0        2
40100 libotbqtadapters-8.1-1             	       0        2        0        0        2
40101 libotbqtwidget-8.1-1               	       0        2        0        0        2
40102 libotbsampling-8.1-1               	       0        2        0        0        2
40103 libotbsiftfast-8.1-1               	       0        2        0        0        2
40104 libotbstatistics-8.1-1             	       0        2        0        0        2
40105 libotbstreaming-7.2-1              	       0        1        0        0        1
40106 libotbstreaming-8.1-1              	       0        3        0        0        3
40107 libotbsupervised-8.1-1             	       0        2        0        0        2
40108 libotbtestkernel-8.1-1             	       0        3        0        0        3
40109 libotbtransform-6.6-1              	       0        1        0        0        1
40110 libotbtransform-8.1-1              	       0        3        0        0        3
40111 libotbvectordatabase-7.2-1         	       0        1        0        0        1
40112 libotbvectordatabase-8.1-1         	       0        3        0        0        3
40113 libotbvectordataio-7.2-1           	       0        1        0        0        1
40114 libotbvectordataio-8.1-1           	       0        3        0        0        3
40115 libotbwavelet-8.1-1                	       0        2        0        0        2
40116 libotcl1                           	       0        2        0        0        2
40117 libotf-bin                         	       0        5        5        0        0
40118 libotp0-heimdal                    	       0       21        0        0       21
40119 libotp0t64-heimdal                 	       0        1        0        0        1
40120 libotr5-bin                        	       0        8        8        0        0
40121 libotr5-dev                        	       0        2        2        0        0
40122 libots-dev                         	       0        2        2        0        0
40123 libots0                            	       0      104       10        0       94
40124 libounit-ocaml-dev                 	       0        1        1        0        0
40125 libout123-0                        	       0      240        0        0      240
40126 libout123-0t64                     	       0       29        0        0       29
40127 liboverload-filecheck-perl         	       0        1        0        0        1
40128 libow-3.1-5                        	       0        1        0        0        1
40129 libow-3.2-3                        	       0        5        0        0        5
40130 libow-3.2-4t64                     	       0        1        0        0        1
40131 libowasp-antisamy-java             	       0        2        0        0        2
40132 libowasp-encoder-java              	       0        2        0        0        2
40133 libowasp-esapi-java                	       0        2        0        0        2
40134 libowcapi-3.1-5                    	       0        1        0        0        1
40135 libowcapi-3.2-3                    	       0        4        0        0        4
40136 libowcapi-3.2-4                    	       0        2        0        0        2
40137 libowcapi-3.2-4t64                 	       0        1        0        0        1
40138 libowfat-dev                       	       0        1        1        0        0
40139 libowfat0                          	       0        2        0        0        2
40140 libowfat0t64                       	       0        1        0        0        1
40141 libowncloudsync0t64                	       0        1        0        0        1
40142 libowrx-connector                  	       0        1        0        0        1
40143 liboxygenstyleconfig6-6            	       0       28        0        0       28
40144 libp11-2                           	       0        3        0        0        3
40145 libp11-3                           	       0        3        0        0        3
40146 libp11-dev                         	       0        3        3        0        0
40147 libp4est-2.2                       	       0        1        0        0        1
40148 libp4est-2.3                       	       0        1        0        0        1
40149 libp4est-dev                       	       0        1        1        0        0
40150 libp4est-sc-2.2                    	       0        1        0        0        1
40151 libp4est-sc-2.3                    	       0        1        0        0        1
40152 libp8-platform-dev                 	       0        2        2        0        0
40153 libpacemaker1                      	       0        2        2        0        0
40154 libpackage-new-perl                	       0        4        4        0        0
40155 libpackagekit-glib2-14             	       0        2        0        0        2
40156 libpackagekit-glib2-16             	       0        2        0        0        2
40157 libpackagekit-glib2-dev            	       0        2        2        0        0
40158 libpackagekitqt5-0                 	       0       11        0        0       11
40159 libpackagekitqt5-dev               	       0        5        5        0        0
40160 libpackagekitqt6-dev               	       0        1        1        0        0
40161 libpacket-ruby1.9.1                	       0        2        0        0        2
40162 libpacketdump3                     	       0        1        1        0        0
40163 libpadre-plugin-autoformat-perl    	       0        1        1        0        0
40164 libpadre-plugin-datawalker-perl    	       0        1        1        0        0
40165 libpadre-plugin-git-perl           	       0        1        1        0        0
40166 libpadre-plugin-moose-perl         	       0        1        1        0        0
40167 libpadre-plugin-parsertool-perl    	       0        1        1        0        0
40168 libpadre-plugin-pdl-perl           	       0        1        1        0        0
40169 libpadre-plugin-perlcritic-perl    	       0        1        1        0        0
40170 libpadre-plugin-perltidy-perl      	       0        1        1        0        0
40171 libpadre-plugin-snippet-perl       	       0        1        1        0        0
40172 libpadre-plugin-spellcheck-perl    	       0        1        1        0        0
40173 libpadre-plugin-svn-perl           	       0        1        1        0        0
40174 libpadre-plugin-yaml-perl          	       0        1        1        0        0
40175 libpadwalker-perl                  	       0      582        0        0      582
40176 libpagmo8                          	       0        2        0        0        2
40177 libpagmo9                          	       0        1        0        0        1
40178 libpaho-mqtt1.3                    	       0        3        0        0        3
40179 libpal-java                        	       0        1        0        0        1
40180 libpalm-pdb-perl                   	       0        7        7        0        0
40181 libpalm-perl                       	       0        7        7        0        0
40182 libpam-apparmor                    	       0        1        1        0        0
40183 libpam-blue                        	       0        3        3        0        0
40184 libpam-ccreds                      	       0        1        1        0        0
40185 libpam-cgroup                      	       0        6        0        0        6
40186 libpam-chroot                      	       0        1        0        0        1
40187 libpam-dbus                        	       0        1        1        0        0
40188 libpam-doc                         	       0       12        0        0       12
40189 libpam-encfs                       	       0        2        2        0        0
40190 libpam-freerdp2                    	       0        5        5        0        0
40191 libpam-freerdp2-dev                	       0        1        1        0        0
40192 libpam-heimdal                     	       0        4        1        0        3
40193 libpam-kanidm                      	       0        1        0        0        1
40194 libpam-krb5-migrate-heimdal        	       0        1        0        0        1
40195 libpam-krb5-migrate-mit            	       0        1        0        0        1
40196 libpam-kwallet-common              	       0      559      400       53      106
40197 libpam-mysql                       	       0        1        0        0        1
40198 libpam-net                         	       0        2        0        0        2
40199 libpam-oath                        	       0        4        0        0        4
40200 libpam-opie                        	       0        1        1        0        0
40201 libpam-pkcs11                      	       0        3        3        0        0
40202 libpam-pwdfile                     	       0        3        0        0        3
40203 libpam-python                      	       0        9        6        0        3
40204 libpam-python-doc                  	       0        1        0        0        1
40205 libpam-radius-auth                 	       0       16        0        0       16
40206 libpam-systemd                     	       0        2        0        0        2
40207 libpam-u2f                         	       0        8        2        0        6
40208 libpam-x2go                        	       0        4        3        1        0
40209 libpam-x2go-dev                    	       0        1        1        0        0
40210 libpam-zfs                         	       0        1        1        0        0
40211 libpandoc-elements-perl            	       0        3        3        0        0
40212 libpandoc-wrapper-perl             	       0        3        3        0        0
40213 libpanel-1-1                       	       0        2        0        0        2
40214 libpanel-applet-4-0                	       0        5        0        0        5
40215 libpanel-applet0                   	       0        6        0        0        6
40216 libpanel-applet2-0                 	       0        1        0        0        1
40217 libpanel-applet3                   	       0        2        0        0        2
40218 libpanel-common                    	       0        2        0        0        2
40219 libpanel-dev                       	       0        1        1        0        0
40220 libpanel-doc                       	       0        1        0        0        1
40221 libpango-perl                      	       0      281        0        0      281
40222 libpango1-ruby                     	       0        1        0        0        1
40223 libpango1-ruby1.8                  	       0        2        1        0        1
40224 libpango1.0-0                      	       0      202        0        0      202
40225 libpango1.0-doc                    	       0       76        0        0       76
40226 libpango3.0-cil                    	       0       57        0        0       57
40227 libpangomm-1.4-dev                 	       0       50       50        0        0
40228 libpangomm-2.48-1                  	       0       11        0        0       11
40229 libpangomm-2.48-dev                	       0        8        8        0        0
40230 libpangox-1.0-0                    	       0      280        3        0      277
40231 libpangox-1.0-dev                  	       0        4        4        0        0
40232 libpano13-3                        	       0       54        0        0       54
40233 libpano13-3t64                     	       0        3        0        0        3
40234 libpantomime1.3                    	       0        2        2        0        0
40235 libpaper-dev                       	       0       10        9        1        0
40236 libpaperclips-java                 	       0        4        0        0        4
40237 libpapi5                           	       0        1        0        0        1
40238 libpapi6.0                         	       0        1        0        0        1
40239 libpappl-dev                       	       0        1        1        0        0
40240 libpappl1                          	       0        2        0        0        2
40241 libpappl1t64                       	       0        1        0        0        1
40242 libpaps0                           	       0        9        0        0        9
40243 libpaq-dev                         	       0        1        1        0        0
40244 libpaq0                            	       0        3        0        0        3
40245 libpar-dist-perl                   	       0        7        7        0        0
40246 libpar-packer-perl                 	       0        2        2        0        0
40247 libpar-perl                        	       0        2        2        0        0
40248 libparagui1.0c2a                   	       0        1        0        0        1
40249 libparanamer-java                  	       0        6        0        0        6
40250 libparanamer-maven-plugin-java     	       0        1        0        0        1
40251 libparboiled-java                  	       0        6        0        0        6
40252 libpari-gmp-tls6                   	       0        1        0        0        1
40253 libpari-gmp-tls7                   	       0        1        0        0        1
40254 libpari-gmp-tls8                   	       0       15        0        0       15
40255 libpari-gmp-tls8t64                	       0        1        0        0        1
40256 libpari-gmp-tls9                   	       0        5        0        0        5
40257 libparistraceroute1                	       0        4        0        0        4
40258 libparlatype5                      	       0        2        0        0        2
40259 libparlatype7                      	       0        1        0        0        1
40260 libparmap-ocaml                    	       0        9        9        0        0
40261 libparmetis4.0                     	       0        1        0        0        1
40262 libparpack2                        	       0        4        0        0        4
40263 libparpack2-dev                    	       0        3        0        0        3
40264 libparse-ansicolor-tiny-perl       	       0        1        1        0        0
40265 libparse-binary-perl               	       0        1        1        0        0
40266 libparse-dia-sql-perl              	       0        1        1        0        0
40267 libparse-distname-perl             	       0        1        1        0        0
40268 libparse-exuberantctags-perl       	       0        1        0        0        1
40269 libparse-mediawikidump-perl        	       0        1        1        0        0
40270 libparse-method-signatures-perl    	       0        3        3        0        0
40271 libparse-netstat-perl              	       0        1        1        0        0
40272 libparse-pidl-perl                 	       0        1        1        0        0
40273 libparse-pmfile-perl               	       0       41       41        0        0
40274 libparse-win32registry-perl        	       0       16       16        0        0
40275 libparser-mgc-perl                 	       0        2        2        0        0
40276 libparted-dev                      	       0       15       14        1        0
40277 libparted-i18n                     	       0        9        0        0        9
40278 libparted0-dev                     	       0        1        0        0        1
40279 libparted0-i18n                    	       0        1        0        0        1
40280 libparted0debian1                  	       0       15        0        0       15
40281 libparu1                           	       0        3        0        0        3
40282 libpasastro                        	       0        1        0        0        1
40283 libpasswdqc0                       	       0        2        0        0        2
40284 libpath-class-file-stat-perl       	       0        1        1        0        0
40285 libpath-utils1                     	       0       14        7        0        7
40286 libpathplan4                       	       0     1747        0        0     1747
40287 libpbbam1.6.0                      	       0        1        0        0        1
40288 libpbbam1.7.0                      	       0        1        0        0        1
40289 libpbbam2.3.0                      	       0        1        0        0        1
40290 libpbcopper1.8.0                   	       0        1        0        0        1
40291 libpbcopper1.9.3                   	       0        1        0        0        1
40292 libpbcopper2.0.0                   	       0        1        0        0        1
40293 libpbcopper2.2.0                   	       0        1        0        0        1
40294 libpbkdf2-tiny-perl                	       0        3        3        0        0
40295 libpbseq                           	       0        1        0        0        1
40296 libpcap-dev                        	       0       75        0        0       75
40297 libpcap0.7                         	       0        2        0        0        2
40298 libpcapnav0                        	       0        1        0        0        1
40299 libpcapnav0-dev                    	       0        1        1        0        0
40300 libpcaudio-dev                     	       0        3        3        0        0
40301 libpcc-dev                         	       0        5        0        0        5
40302 libpcg-cpp-dev                     	       0        1        0        0        1
40303 libpcl-apps1.13                    	       0        1        0        0        1
40304 libpcl-common1.13                  	       0        1        0        0        1
40305 libpcl-dev                         	       0        1        1        0        0
40306 libpcl-features1.13                	       0        1        0        0        1
40307 libpcl-filters1.13                 	       0        1        0        0        1
40308 libpcl-io1.13                      	       0        1        0        0        1
40309 libpcl-kdtree1.13                  	       0        1        0        0        1
40310 libpcl-keypoints1.13               	       0        1        0        0        1
40311 libpcl-ml1.13                      	       0        1        0        0        1
40312 libpcl-msgs-dev                    	       0        1        1        0        0
40313 libpcl-octree1.13                  	       0        1        0        0        1
40314 libpcl-outofcore1.13               	       0        1        0        0        1
40315 libpcl-people1.13                  	       0        1        0        0        1
40316 libpcl-recognition1.13             	       0        1        0        0        1
40317 libpcl-registration1.13            	       0        1        0        0        1
40318 libpcl-sample-consensus1.13        	       0        1        0        0        1
40319 libpcl-search1.13                  	       0        1        0        0        1
40320 libpcl-segmentation1.13            	       0        1        0        0        1
40321 libpcl-stereo1.13                  	       0        1        0        0        1
40322 libpcl-surface1.13                 	       0        1        0        0        1
40323 libpcl-tracking1.13                	       0        1        0        0        1
40324 libpcl-visualization1.13           	       0        1        0        0        1
40325 libpcp-archive1                    	       0        5        0        0        5
40326 libpcp-gui2                        	       0        6        0        0        6
40327 libpcp-import1                     	       0        7        0        0        7
40328 libpcp-import1-dev                 	       0        1        1        0        0
40329 libpcp-pmda-perl                   	       0        5        0        0        5
40330 libpcp-pmda3-dev                   	       0        1        1        0        0
40331 libpcp-trace2                      	       0        6        0        0        6
40332 libpcp3-dev                        	       0        1        1        0        0
40333 libpcre-ocaml                      	       0        4        4        0        0
40334 libpcre-ocaml-dev                  	       0        3        3        0        0
40335 libpcre16-3                        	       0      438        0        0      438
40336 libpcre2-posix2                    	       0      158        0        0      158
40337 libpcre3-dbg                       	       0        1        1        0        0
40338 libpcre32-3                        	       0      382        0        0      382
40339 libpcrecpp0                        	       0       10        0        0       10
40340 libpcsc-perl                       	       0       49        0        0       49
40341 libpcsclite-dev                    	       0       36       36        0        0
40342 libpdal-base12                     	       0       13        0        0       13
40343 libpdal-base13                     	       0        2        0        0        2
40344 libpdal-base7                      	       0        2        0        0        2
40345 libpdal-plugin-draco               	       0        1        0        0        1
40346 libpdal-plugin-e57                 	       0       13        0        0       13
40347 libpdal-plugin-faux                	       0       14        0        0       14
40348 libpdal-plugin-greyhound           	       0        2        0        0        2
40349 libpdal-plugin-hdf                 	       0       12        0        0       12
40350 libpdal-plugin-i3s                 	       0       13        0        0       13
40351 libpdal-plugin-icebridge           	       0       14        0        0       14
40352 libpdal-plugin-pgpointcloud        	       0       14        0        0       14
40353 libpdal-plugin-python              	       0        2        0        0        2
40354 libpdal-plugin-sqlite              	       0        2        0        0        2
40355 libpdal-plugins                    	       0       14        0        0       14
40356 libpdal-util12                     	       0       13        0        0       13
40357 libpdal-util13                     	       0        2        0        0        2
40358 libpdal-util7                      	       0        3        0        0        3
40359 libpdf-api2-perl                   	       0       48       48        0        0
40360 libpdf-api2-simple-perl            	       0        1        1        0        0
40361 libpdf-api2-xs-perl                	       0       34        0        0       34
40362 libpdf-create-perl                 	       0        4        4        0        0
40363 libpdf-fdf-simple-perl             	       0        1        1        0        0
40364 libpdf-fromhtml-perl               	       0        3        3        0        0
40365 libpdf-report-perl                 	       0        2        2        0        0
40366 libpdf-reuse-barcode-perl          	       0        3        3        0        0
40367 libpdf-reuse-perl                  	       0        3        3        0        0
40368 libpdf-table-perl                  	       0        3        3        0        0
40369 libpdf-writer-perl                 	       0        3        3        0        0
40370 libpdfbox-graphics2d-java          	       0        2        0        0        2
40371 libpdfbox-java                     	       0      410        0        0      410
40372 libpdfbox-java-doc                 	       0        3        0        0        3
40373 libpdfbox2-java                    	       0        2        0        0        2
40374 libpdfcook-dev                     	       0        1        1        0        0
40375 libpdfcook0                        	       0        1        0        0        1
40376 libpdfrenderer-java                	       0        5        0        0        5
40377 libpdl-filter-perl                 	       0        1        1        0        0
40378 libpdl-fit-perl                    	       0        1        0        0        1
40379 libpdl-graphics-trid-perl          	       0        1        0        0        1
40380 libpdl-gsl-perl                    	       0        1        0        0        1
40381 libpdl-io-dicom-perl               	       0        1        1        0        0
40382 libpdl-io-envi-perl                	       0        1        1        0        0
40383 libpdl-io-gd-perl                  	       0        1        0        0        1
40384 libpdl-io-hdf-perl                 	       0        1        0        0        1
40385 libpdl-io-idl-perl                 	       0        1        1        0        0
40386 libpdl-opt-simplex-perl            	       0        1        1        0        0
40387 libpdl-transform-proj4-perl        	       0        1        0        0        1
40388 libpe-rules2                       	       0        4        0        0        4
40389 libpe-rules26                      	       0        2        2        0        0
40390 libpe-status10                     	       0        4        0        0        4
40391 libpe-status28                     	       0        2        2        0        0
40392 libpe1t64                          	       0        2        0        0        2
40393 libpeas-1.0-python2loader          	       0       47        0        0       47
40394 libpeas-2-0                        	       0        1        1        0        0
40395 libpeas-2-common                   	       0        2        0        0        2
40396 libpeas-dev                        	       0       13       13        0        0
40397 libpeas-doc                        	       0        1        1        0        0
40398 libpegdown-java                    	       0        6        0        0        6
40399 libpengine10                       	       0        4        0        0        4
40400 libpentaho-reporting-flow-engine-java	       0      732        0        0      732
40401 libpentaho-reporting-flow-engine-java-doc	       0        6        0        0        6
40402 libpeony-extension1                	       0        1        0        0        1
40403 libpeony3                          	       0        6        0        0        6
40404 libperconaserverclient20           	       0        3        0        0        3
40405 libperl-destruct-level-perl        	       0        1        0        0        1
40406 libperl-dev                        	       0       64        0        0       64
40407 libperl-minimumversion-perl        	       0        1        1        0        0
40408 libperl-prereqscanner-notquitelite-perl	       0        1        1        0        0
40409 libperl5.38                        	       0        7        7        0        0
40410 libperl6-export-attrs-perl         	       0        1        1        0        0
40411 libperl6-export-perl               	       0        3        3        0        0
40412 libperl6-slurp-perl                	       0        3        3        0        0
40413 libperlbal-perl                    	       0        1        1        0        0
40414 libperlbal-xs-httpheaders-perl     	       0        1        0        0        1
40415 libperldoc-search-perl             	       0        3        3        0        0
40416 libperlio-eol-perl                 	       0        1        0        0        1
40417 libperlio-gzip-perl                	       0      701        0        0      701
40418 libperlio-layers-perl              	       0        2        0        0        2
40419 libperlio-utf8-strict-perl         	       0      636        0        0      636
40420 libperlio-via-symlink-perl         	       0        1        1        0        0
40421 libperlx-maybe-perl                	       0        7        7        0        0
40422 libperlx-maybe-xs-perl             	       0        6        0        0        6
40423 libpetsc-real3.15                  	       0        1        0        0        1
40424 libpetsc-real3.16                  	       0        1        0        0        1
40425 libpetsc-real3.18                  	       0       57        0        0       57
40426 libpetsc-real3.18-dev              	       0        1        0        0        1
40427 libpetsc-real3.20                  	       0        1        0        0        1
40428 libpetsc3.18-dev-common            	       0        1        1        0        0
40429 libpetsc3.18-dev-examples          	       0        1        1        0        0
40430 libpff1                            	       0       27        0        0       27
40431 libpfm4                            	       0      419        0        0      419
40432 libpfm4-dev                        	       0        1        1        0        0
40433 libpfs-1.2-0                       	       0        1        0        0        1
40434 libpfs-dev                         	       0        1        1        0        0
40435 libpfs2                            	       0        3        0        0        3
40436 libpg-java                         	       0        1        0        0        1
40437 libpgf-dev                         	       0        1        1        0        0
40438 libpgf6                            	       0        5        0        0        5
40439 libpgf7                            	       0        1        0        0        1
40440 libpgm-5.1-0                       	       0       13        0        0       13
40441 libpgm-5.2-0                       	       0      257        0        0      257
40442 libpgm-dev                         	       0       44       44        0        0
40443 libpgocaml-ocaml                   	       0        1        1        0        0
40444 libpgocaml-ocaml-dev               	       0        1        1        0        0
40445 libpgp-sign-perl                   	       0        1        1        0        0
40446 libpgplot0                         	       0        7        0        0        7
40447 libpgpool2                         	       0        2        0        0        2
40448 libpgraphutil-smlnj                	       0        1        0        0        1
40449 libpgsbox5                         	       0        1        0        0        1
40450 libpgsbox7                         	       0        5        0        0        5
40451 libpgsbox8                         	       0        2        0        0        2
40452 libpgsql-ruby1.9.1                 	       0        1        0        0        1
40453 libpgtcl                           	       0        7        0        0        7
40454 libpgtcl-dev                       	       0        1        1        0        0
40455 libpgtcl1.5                        	       0        1        1        0        0
40456 libpgtypes3                        	       0       10        0        0       10
40457 libphat-dev                        	       0        1        1        0        0
40458 libphidget22                       	       0        1        1        0        0
40459 libphobos-4.9-dev                  	       0        2        0        0        2
40460 libphobos-dev                      	       0        1        0        0        1
40461 libphobos2-ldc-shared-dev          	       0       13        0        0       13
40462 libphobos2-ldc-shared105           	       0        1        0        0        1
40463 libphobos2-ldc-shared106           	       0        2        0        0        2
40464 libphobos2-ldc-shared110           	       0        1        0        0        1
40465 libphobos2-ldc-shared90            	       0        1        0        0        1
40466 libphobos2-ldc-shared94            	       0       16        1        0       15
40467 libphobos2-ldc72                   	       0        1        0        0        1
40468 libphodav-2.0-common               	       0      150        0        0      150
40469 libphodav-2.0-dev                  	       0        1        1        0        0
40470 libphodav-3.0-common               	       0      327        0        0      327
40471 libphodav-3.0-dev                  	       0        1        1        0        0
40472 libphone-utils0                    	       0        1        0        0        1
40473 libphonenumber6                    	       0        3        0        0        3
40474 libphonenumber7                    	       0       38        1        0       37
40475 libphonon-dev                      	       0        1        1        0        0
40476 libphonon4                         	       0       55        1        0       54
40477 libphonon4qt5-dev                  	       0       10       10        0        0
40478 libphonon4qt5experimental-dev      	       0        5        4        0        1
40479 libphonon4qt5experimental4         	       0       43        0        0       43
40480 libphonon4qt5experimental4t64      	       0        5        0        0        5
40481 libphonon4qt6-dev                  	       0        1        0        1        0
40482 libphonon4qt6experimental-dev      	       0        1        0        1        0
40483 libphonon4qt6experimental4t64      	       0        3        0        0        3
40484 libphononexperimental4             	       0        5        0        0        5
40485 libphp-embed                       	       0        4        0        0        4
40486 libphp-serialization-perl          	       0       10       10        0        0
40487 libphp-simplepie                   	       0        8        8        0        0
40488 libphp-snoopy                      	       0        1        1        0        0
40489 libphp8.2-embed                    	       0        4        4        0        0
40490 libphysfs-dev                      	       0       21       21        0        0
40491 libpiano0                          	       0        2        0        0        2
40492 libpicard-java                     	       0        5        0        0        5
40493 libpiccolo-java                    	       0        1        0        0        1
40494 libpicocli-java                    	       0       19        0        0       19
40495 libpicocontainer-java              	       0        4        0        0        4
40496 libpicocv                          	       0        3        0        0        3
40497 libpicoipp                         	       0        4        0        0        4
40498 libpigment0.3-11                   	       0        1        1        0        0
40499 libpigpio-dev                      	       0        1        1        0        0
40500 libpigpio1                         	       0        1        0        0        1
40501 libpigpiod-if-dev                  	       0        2        2        0        0
40502 libpigpiod-if1                     	       0        2        0        0        2
40503 libpigpiod-if2-1                   	       0        2        0        0        2
40504 libpillowfight                     	       0        1        0        0        1
40505 libpillowfight-dev                 	       0        1        1        0        0
40506 libpillowfight0                    	       0        1        0        0        1
40507 libpils2                           	       0        9        2        0        7
40508 libpils2-dev                       	       0        1        1        0        0
40509 libpimcommon-data                  	       0       11        0        0       11
40510 libpinyin-data                     	       0        7        1        0        6
40511 libpinyin13                        	       0        1        0        0        1
40512 libpinyin15                        	       0        6        1        0        5
40513 libpipeline-dev                    	       0        3        3        0        0
40514 libpipewire-0.2-dev                	       0        1        1        0        0
40515 libpipewire-0.2-doc                	       0        1        0        0        1
40516 libpipewire-0.3-modules-x11        	       0        5        3        0        2
40517 libpipewire-0.3-modules-xrdp       	       0        9        0        0        9
40518 libpisync1                         	       0        1        0        0        1
40519 libpithub-perl                     	       0        1        1        0        0
40520 libpixelif-common                  	       0        5        0        0        5
40521 libpixelif-dev                     	       0        1        1        0        0
40522 libpixelmed-imageio-java           	       0        1        0        0        1
40523 libpixelmed-java                   	       0        2        0        0        2
40524 libpixie-java                      	       0      731        0        0      731
40525 libpixman1                         	       0        1        0        0        1
40526 libpj2                             	       0        4        0        0        4
40527 libpjlib-util2                     	       0        4        0        0        4
40528 libpjmedia-audiodev2               	       0        4        0        0        4
40529 libpjmedia-codec2                  	       0        4        0        0        4
40530 libpjmedia-videodev2               	       0        3        0        0        3
40531 libpjmedia2                        	       0        4        0        0        4
40532 libpjnath2                         	       0        4        0        0        4
40533 libpjsip-simple2                   	       0        3        0        0        3
40534 libpjsip-ua2                       	       0        3        0        0        3
40535 libpjsip2                          	       0        3        0        0        3
40536 libpjsua2                          	       0        3        0        0        3
40537 libpjsua2-2v5                      	       0        2        0        0        2
40538 libpkcs11-fnmtdnie                 	       0        4        1        0        3
40539 libpkcs11-helper1-dev              	       0        3        3        0        0
40540 libpkgconf3                        	       0     1054        0        0     1054
40541 libpl1000                          	       0        1        1        0        0
40542 libplacebo-dev                     	       0       26       26        0        0
40543 libplacebo120                      	       0       20        0        0       20
40544 libplacebo157                      	       0        4        0        0        4
40545 libplacebo192                      	       0       10        0        0       10
40546 libplacebo2                        	       0        9        0        0        9
40547 libplacebo7                        	       0      109        0        0      109
40548 libplacebo72                       	       0      300        3        0      297
40549 libplack-middleware-debug-perl     	       0        1        1        0        0
40550 libplack-middleware-logwarn-perl   	       0        2        2        0        0
40551 libplack-middleware-session-perl   	       0        5        5        0        0
40552 libplanarity-dev                   	       0        8        8        0        0
40553 libplanarity0                      	       0       11        0        0       11
40554 libplasma-dev                      	       0        1        0        1        0
40555 libplasma-geolocation-interface4   	       0        6        0        0        6
40556 libplasma-geolocation-interface6   	       0       31        0        0       31
40557 libplasma5support-data             	       0       31        0        0       31
40558 libplayerctl-dev                   	       0        2        2        0        0
40559 libplayerctl2                      	       0       29        3        0       26
40560 libplb-dev                         	       0        1        1        0        0
40561 libplb1                            	       0        1        0        0        1
40562 libplexus-ant-factory-java         	       0       13        0        0       13
40563 libplexus-archiver-java            	       0      371        0        0      371
40564 libplexus-bsh-factory-java         	       0       13        0        0       13
40565 libplexus-build-api-java           	       0       10        0        0       10
40566 libplexus-cipher-java              	       0      462        0        0      462
40567 libplexus-cipher-java-doc          	       0        1        0        0        1
40568 libplexus-classworlds-java         	       0      463        0        0      463
40569 libplexus-classworlds-java-doc     	       0        1        0        0        1
40570 libplexus-classworlds2-java        	       0        5        0        0        5
40571 libplexus-classworlds2-java-doc    	       0        1        0        0        1
40572 libplexus-cli-java                 	       0        6        0        0        6
40573 libplexus-cli-java-doc             	       0        2        0        0        2
40574 libplexus-compiler-java            	       0       25        0        0       25
40575 libplexus-component-annotations-java	       0      462        0        0      462
40576 libplexus-component-metadata-java  	       0        6        0        0        6
40577 libplexus-container-default-java   	       0       69        0        0       69
40578 libplexus-container-default-java-doc	       0        2        0        0        2
40579 libplexus-container-default1.5-java	       0       18        0        0       18
40580 libplexus-containers-java          	       0        2        0        0        2
40581 libplexus-containers-java-doc      	       0        1        0        0        1
40582 libplexus-containers1.5-java       	       0        2        0        0        2
40583 libplexus-digest-java              	       0        3        0        0        3
40584 libplexus-i18n-java                	       0       11        0        0       11
40585 libplexus-interactivity-api-java   	       0       10        0        0       10
40586 libplexus-interactivity-api-java-doc	       0        2        0        0        2
40587 libplexus-interpolation-java       	       0      462        0        0      462
40588 libplexus-interpolation-java-doc   	       0        1        0        0        1
40589 libplexus-io-java                  	       0      371        0        0      371
40590 libplexus-languages-java           	       0       25        0        0       25
40591 libplexus-maven-plugin-java-doc    	       0        1        0        0        1
40592 libplexus-resources-java           	       0        2        0        0        2
40593 libplexus-sec-dispatcher-java      	       0      461        0        0      461
40594 libplexus-sec-dispatcher-java-doc  	       0        1        0        0        1
40595 libplexus-testing-java             	       0        1        0        0        1
40596 libplexus-utils-java               	       0        2        0        0        2
40597 libplexus-utils-java-doc           	       0        2        0        0        2
40598 libplexus-utils2-java              	       0      463        0        0      463
40599 libplexus-utils2-java-doc          	       0        3        0        0        3
40600 libplexus-velocity-java            	       0       11        0        0       11
40601 libplexus-xml-java                 	       0        4        0        0        4
40602 libplfit0                          	       0        1        0        0        1
40603 libplib-dev                        	       0        1        1        0        0
40604 libplib1                           	       0       37        0        0       37
40605 libplib1t64                        	       0        5        0        0        5
40606 libplist++-2.0-4                   	       0        2        0        0        2
40607 libplist++-dev                     	       0        4        4        0        0
40608 libplist++3v5                      	       0        4        0        0        4
40609 libplist-dev                       	       0       28       28        0        0
40610 libplist-doc                       	       0        1        0        0        1
40611 libplist-utils                     	       0        5        5        0        0
40612 libplist1                          	       0        9        0        0        9
40613 libplist2                          	       0       32        2        0       30
40614 libpload-dev                       	       0        1        1        0        0
40615 libpload4                          	       0        1        0        0        1
40616 libploop1                          	       0        1        0        0        1
40617 libplot-dev                        	       0        4        4        0        0
40618 libplot2c2                         	       0      272        0        0      272
40619 libplplot-data                     	       0        9        0        0        9
40620 libplplot-dev                      	       0        3        3        0        0
40621 libplplot-lua                      	       0        4        0        0        4
40622 libplplot-ocaml                    	       0        3        3        0        0
40623 libplplot16                        	       0        1        0        0        1
40624 libplplot17                        	       0        9        0        0        9
40625 libplplotcxx14                     	       0        1        0        0        1
40626 libplplotcxx15                     	       0        6        0        0        6
40627 libplplotfortran0                  	       0        3        0        0        3
40628 libplplotqt2                       	       0        5        0        0        5
40629 libplplotwxwidgets1                	       0        5        0        0        5
40630 libplrpc-perl                      	       0        8        8        0        0
40631 libplucene-perl                    	       0        2        2        0        0
40632 libplumb2                          	       0        9        0        0        9
40633 libplumb2-dev                      	       0        1        1        0        0
40634 libplumbgpl2                       	       0        9        0        0        9
40635 libplumbgpl2-dev                   	       0        1        0        0        1
40636 libpluto-jpl-eph-dev               	       0        2        2        0        0
40637 libpluto-lunar-dev                 	       0        2        2        0        0
40638 libplymouth-dev                    	       0        3        3        0        0
40639 libplymouth4                       	       0        4        0        0        4
40640 libpm3                             	       0        1        1        0        0
40641 libpm3-extra                       	       0        1        1        0        0
40642 libpmem-dev                        	       0        4        4        0        0
40643 libpmemblk1                        	       0       57        0        0       57
40644 libpmix-dev                        	       0      106        0        0      106
40645 libpmix2                           	       0      300        1        0      299
40646 libpmix2t64                        	       0       37        0        0       37
40647 libpng                             	       0        1        1        0        0
40648 libpng++-dev                       	       0       16        0        0       16
40649 libpng-sixlegs-java                	       0        2        0        0        2
40650 libpng-sixlegs-java-doc            	       0        1        0        0        1
40651 libpng3                            	       0        1        0        0        1
40652 libpnglite-dev                     	       0        6        6        0        0
40653 libpnglite0                        	       0       19        0        0       19
40654 libpocketsphinx-dev                	       0        6        6        0        0
40655 libpocl-dev                        	       0        2        2        0        0
40656 libpocl1                           	       0        1        0        0        1
40657 libpocl1-common                    	       0        1        1        0        0
40658 libpocl2                           	       0       47        0        0       47
40659 libpocl2t64                        	       0        5        0        0        5
40660 libpoco-dev                        	       0        9        9        0        0
40661 libpocoactiverecord100             	       0        1        0        0        1
40662 libpocoactiverecord80              	       0        5        0        0        5
40663 libpococrypto100                   	       0        1        0        0        1
40664 libpococrypto70                    	       0        4        0        0        4
40665 libpococrypto80                    	       0        5        0        0        5
40666 libpocodata100                     	       0        1        0        0        1
40667 libpocodata70                      	       0        3        0        0        3
40668 libpocodata80                      	       0        5        0        0        5
40669 libpocodatamysql100                	       0        1        0        0        1
40670 libpocodatamysql70                 	       0        3        0        0        3
40671 libpocodatamysql80                 	       0        5        0        0        5
40672 libpocodataodbc100                 	       0        1        0        0        1
40673 libpocodataodbc70                  	       0        3        0        0        3
40674 libpocodataodbc80                  	       0        5        0        0        5
40675 libpocodatapostgresql100           	       0        1        0        0        1
40676 libpocodatapostgresql70            	       0        3        0        0        3
40677 libpocodatapostgresql80            	       0        5        0        0        5
40678 libpocodatasqlite100               	       0        1        0        0        1
40679 libpocodatasqlite70                	       0        3        0        0        3
40680 libpocodatasqlite80                	       0        5        0        0        5
40681 libpocoencodings100                	       0        1        0        0        1
40682 libpocoencodings70                 	       0        3        0        0        3
40683 libpocoencodings80                 	       0        5        0        0        5
40684 libpocofoundation100               	       0        4        0        0        4
40685 libpocofoundation70                	       0        9        0        0        9
40686 libpocofoundation80                	       0       12        0        0       12
40687 libpocojson100                     	       0        1        0        0        1
40688 libpocojson70                      	       0        4        0        0        4
40689 libpocojson80                      	       0        6        0        0        6
40690 libpocojwt100                      	       0        1        0        0        1
40691 libpocojwt70                       	       0        3        0        0        3
40692 libpocojwt80                       	       0        5        0        0        5
40693 libpocomongodb100                  	       0        1        0        0        1
40694 libpocomongodb70                   	       0        3        0        0        3
40695 libpocomongodb80                   	       0        5        0        0        5
40696 libpoconet100                      	       0        1        0        0        1
40697 libpoconet70                       	       0        9        0        0        9
40698 libpoconet80                       	       0        9        0        0        9
40699 libpoconetssl100                   	       0        1        0        0        1
40700 libpoconetssl70                    	       0        4        0        0        4
40701 libpoconetssl80                    	       0        5        0        0        5
40702 libpocoprometheus100               	       0        1        0        0        1
40703 libpocoredis100                    	       0        1        0        0        1
40704 libpocoredis70                     	       0        3        0        0        3
40705 libpocoredis80                     	       0        5        0        0        5
40706 libpocoutil100                     	       0        1        0        0        1
40707 libpocoutil70                      	       0        4        0        0        4
40708 libpocoutil80                      	       0        6        0        0        6
40709 libpocoxml100                      	       0        4        0        0        4
40710 libpocoxml70                       	       0        9        0        0        9
40711 libpocoxml80                       	       0       10        0        0       10
40712 libpocozip100                      	       0        4        0        0        4
40713 libpocozip70                       	       0        3        0        0        3
40714 libpocozip80                       	       0        7        0        0        7
40715 libpod-2-docbook-perl              	       0        2        2        0        0
40716 libpod-coverage-trustpod-perl      	       0        1        1        0        0
40717 libpod-elemental-perl              	       0        1        1        0        0
40718 libpod-elemental-perlmunger-perl   	       0        1        1        0        0
40719 libpod-elemental-transformer-list-perl	       0        1        1        0        0
40720 libpod-eventual-perl               	       0        1        1        0        0
40721 libpod-index-perl                  	       0        1        1        0        0
40722 libpod-minimumversion-perl         	       0        1        1        0        0
40723 libpod-pandoc-perl                 	       0        3        3        0        0
40724 libpod-pom-view-restructured-perl  	       0        1        1        0        0
40725 libpod-projectdocs-perl            	       0        1        1        0        0
40726 libpod-pseudopod-perl              	       0        1        1        0        0
40727 libpod-sax-perl                    	       0        1        1        0        0
40728 libpod-simple-perl                 	       0        3        3        0        0
40729 libpod-simple-wiki-perl            	       0        1        1        0        0
40730 libpod-tests-perl                  	       0        2        2        0        0
40731 libpod-thread-perl                 	       0        3        3        0        0
40732 libpod-tree-perl                   	       0        1        1        0        0
40733 libpod-weaver-perl                 	       0        1        1        0        0
40734 libpod-weaver-plugin-ensureuniquesections-perl	       0        1        1        0        0
40735 libpod-weaver-section-contributors-perl	       0        1        1        0        0
40736 libpod-weaver-section-legal-complicated-perl	       0        1        1        0        0
40737 libpod-weaver-section-support-perl 	       0        1        1        0        0
40738 libpod-webserver-perl              	       0        1        1        0        0
40739 libpod-wordlist-hanekomu-perl      	       0        1        1        0        0
40740 libpod-wsdl-perl                   	       0        2        2        0        0
40741 libpod-xhtml-perl                  	       0        1        1        0        0
40742 libpod2-base-perl                  	       0        9        9        0        0
40743 libpodofo-utils                    	       0        5        5        0        0
40744 libpodofo0.9.0                     	       0        6        0        0        6
40745 libpodofo0.9.4                     	       0       12        0        0       12
40746 libpodofo0.9.6                     	       0       24        0        0       24
40747 libpodofo0.9.7                     	       0       69        0        0       69
40748 libpodofo0.9.8                     	       0      240        0        0      240
40749 libpodofo0.9.8t64                  	       0       38        0        0       38
40750 libpoe-component-client-http-perl  	       0        1        1        0        0
40751 libpoe-component-client-keepalive-perl	       0        1        1        0        0
40752 libpoe-component-client-ping-perl  	       0        1        1        0        0
40753 libpoe-component-resolver-perl     	       0        1        1        0        0
40754 libpoe-loop-event-perl             	       0        1        1        0        0
40755 libpoe-loop-tk-perl                	       0        7        7        0        0
40756 libpoe-perl                        	       0       14       14        0        0
40757 libpoet-perl                       	       0        1        1        0        0
40758 libpoke0                           	       0        1        0        0        1
40759 libpoke1                           	       0        2        0        0        2
40760 libpolarssl-dev                    	       0        2        2        0        0
40761 libpolarssl-runtime                	       0        1        1        0        0
40762 libpolarssl0                       	       0        1        0        0        1
40763 libpolarssl7                       	       0        1        0        0        1
40764 libpolkit-agent-1-0-dbgsym         	       0        1        1        0        0
40765 libpolkit-agent-1-dev              	       0        5        5        0        0
40766 libpolkit-backend-1-0              	       0      367        0        0      367
40767 libpolkit-backend-1-0-consolekit   	       0        1        0        0        1
40768 libpolkit-backend-1-0-systemd      	       0        2        0        0        2
40769 libpolkit-backend-consolekit-1-0-dbgsym	       0        1        1        0        0
40770 libpolkit-dbus2                    	       0        1        0        0        1
40771 libpolkit-gnome0                   	       0        1        0        0        1
40772 libpolkit-gobject-1-0              	       0     3707        1        0     3706
40773 libpolkit-gobject-1-0-consolekit   	       0        1        0        0        1
40774 libpolkit-gobject-1-0-elogind      	       0        5        0        0        5
40775 libpolkit-gobject-1-0-systemd      	       0        2        0        0        2
40776 libpolkit-gobject-1-dev            	       0       36        0        0       36
40777 libpolkit-gobject-consolekit-1-0-dbgsym	       0        1        1        0        0
40778 libpolkit-gobject-consolekit-1-dev 	       0        2        2        0        0
40779 libpolkit-grant2                   	       0        1        0        0        1
40780 libpolkit-gtk-mate-1-0             	       0        4        0        0        4
40781 libpolkit-qt5-1-dev                	       0        3        3        0        0
40782 libpolkit-qt6-1-dev                	       0        1        1        0        0
40783 libpolkit2                         	       0        1        0        0        1
40784 libpolled-camera-dev               	       0        1        1        0        0
40785 libpolled-camera0d                 	       0        1        0        0        1
40786 libpolly-16-dev                    	       0        1        1        0        0
40787 libpolyclipping-dev                	       0        1        0        0        1
40788 libpolyclipping16                  	       0        1        0        0        1
40789 libpolyclipping22                  	       0       29        0        0       29
40790 libpolyglot-maven-java             	       0       47        0        0       47
40791 libpolyglot-maven-java-doc         	       0        2        0        0        2
40792 libpolylib64-8                     	       0        1        0        0        1
40793 libpolymake-dev-common             	       0        3        3        0        0
40794 libpolyml-dev                      	       0        1        0        0        1
40795 libpolyml9                         	       0        2        0        0        2
40796 libpolyorb4                        	       0        1        0        0        1
40797 libpomp2-dev                       	       0        1        1        0        0
40798 libpoppler-cil                     	       0        1        1        0        0
40799 libpoppler-cpp-dev                 	       0       10        9        1        0
40800 libpoppler-cpp0                    	       0        3        0        0        3
40801 libpoppler-cpp0t64                 	       0       20        0        0       20
40802 libpoppler-cpp0v5                  	       0     2511        0        0     2511
40803 libpoppler-cpp1                    	       0      115        0        0      115
40804 libpoppler-cpp2                    	       0       77        0        0       77
40805 libpoppler-dev                     	       0       91        0        0       91
40806 libpoppler-glib-doc                	       0        2        0        0        2
40807 libpoppler-glib3                   	       0        1        0        0        1
40808 libpoppler-glib6                   	       0        1        0        0        1
40809 libpoppler-qt4-4                   	       0       26        0        0       26
40810 libpoppler-qt4-dev                 	       0        1        1        0        0
40811 libpoppler-qt5-1t64                	       0       60        0        0       60
40812 libpoppler-qt5-dev                 	       0       11       10        1        0
40813 libpoppler-qt6-3                   	       0        2        0        0        2
40814 libpoppler-qt6-dev                 	       0        1        0        1        0
40815 libpoppler0c2                      	       0        1        0        0        1
40816 libpoppler0c2-glib                 	       0        1        0        0        1
40817 libpoppler118                      	       0       10        0        0       10
40818 libpoppler123                      	       0       14        1        0       13
40819 libpoppler126t64                   	       0        8        0        0        8
40820 libpoppler13                       	       0        2        0        0        2
40821 libpoppler134                      	       0       29        2        0       27
40822 libpoppler19                       	       0       11        0        0       11
40823 libpoppler3                        	       0        2        0        0        2
40824 libpoppler44                       	       0        5        0        0        5
40825 libpoppler46                       	       0       42        0        0       42
40826 libpoppler5                        	       0        1        0        0        1
40827 libpoppler57                       	       0        1        0        0        1
40828 libpoppler64                       	       0      114        0        0      114
40829 libpoppler68                       	       0        1        0        0        1
40830 libpoppler72                       	       0        1        0        0        1
40831 libpoppler74                       	       0        1        0        0        1
40832 libpoppler82                       	       0      221        0        0      221
40833 libpopplerkit0                     	       0        7        7        0        0
40834 libpopt-dev                        	       0       61       61        0        0
40835 libportal-dev                      	       0        3        3        0        0
40836 libportal-doc                      	       0        1        0        0        1
40837 libportal-gtk4-dev                 	       0        1        1        0        0
40838 libportal-qt5-1                    	       0        3        0        0        3
40839 libportal-qt5-dev                  	       0        1        1        0        0
40840 libportal-tests-gtk4               	       0        1        1        0        0
40841 libportal-tests-qt5                	       0        1        1        0        0
40842 libportaudio-dev                   	       0        3        3        0        0
40843 libportaudio-doc                   	       0        1        1        0        0
40844 libportaudio-ocaml                 	       0        2        2        0        0
40845 libportaudio-ocaml-dev             	       0        1        1        0        0
40846 libportaudio0                      	       0        6        0        0        6
40847 libportaudiocpp0                   	       0       89        0        0       89
40848 libportlet-api-2.0-spec-java       	       0        1        0        0        1
40849 libportmidi-dev                    	       0       18       18        0        0
40850 libportsmf-dev                     	       0        2        2        0        0
40851 libportsmf0t64                     	       0       34        0        0       34
40852 libposix-atfork-perl               	       0        3        2        0        1
40853 libposix-strptime-perl             	       0      183        0        0      183
40854 libposixsignalmanager0a            	       0        1        0        0        1
40855 libpostgis-java                    	       0        7        0        0        7
40856 libpostgresql-jdbc-java            	       0       48        0        0       48
40857 libpostproc-dev                    	       0       88       82        6        0
40858 libpostproc-ffmpeg53               	       0        5        0        0        5
40859 libpostproc0d                      	       0        1        0        0        1
40860 libpostproc51                      	       0        4        1        0        3
40861 libpostproc52                      	       0       47        0        0       47
40862 libpostproc53                      	       0        8        0        0        8
40863 libpostproc54                      	       0      117        0        0      117
40864 libpostproc55-dbgsym               	       0        1        1        0        0
40865 libpostproc58-dbgsym               	       0        1        1        0        0
40866 libpostscript-file-perl            	       0        2        2        0        0
40867 libpostscript-perl                 	       0        5        5        0        0
40868 libpostscript-simple-perl          	       0        2        2        0        0
40869 libpostscriptbarcode               	       0        1        0        0        1
40870 libpotrace-dev                     	       0        3        3        0        0
40871 libpowercap0                       	       0        4        0        0        4
40872 libpowerdevilui5                   	       0      534        4        1      529
40873 libpowerkit1                       	       0        4        2        0        2
40874 libppd-dev                         	       0        2        2        0        0
40875 libppd0                            	       0        9        0        0        9
40876 libppd0-dbgsym                     	       0        1        1        0        0
40877 libppi-perl                        	       0       33       33        0        0
40878 libppix-documentname-perl          	       0        1        1        0        0
40879 libppix-editortools-perl           	       0        1        1        0        0
40880 libppix-quotelike-perl             	       0       27       27        0        0
40881 libppix-regexp-perl                	       0       28       28        0        0
40882 libppix-utilities-perl             	       0       27       27        0        0
40883 libppix-utils-perl                 	       0        2        2        0        0
40884 libppl-c4                          	       0       18        0        0       18
40885 libppl-dev                         	       0       13       13        0        0
40886 libppl-doc                         	       0        1        0        0        1
40887 libppl12                           	       0        1        0        0        1
40888 libppl13                           	       0        3        0        0        3
40889 libppl14                           	       0       20        0        0       20
40890 libppsdocument-4.0-5               	       0        2        0        0        2
40891 libppsview-4.0-4                   	       0        2        0        0        2
40892 libppx-derivers-ocaml-dev          	       0        5        5        0        0
40893 libppxlib-ocaml-dev                	       0        5        5        0        0
40894 libpqmarble2t64                    	       0        1        0        0        1
40895 libpqxx-2.6.9ldbl                  	       0        2        0        0        2
40896 libpqxx-3.0                        	       0        1        0        0        1
40897 libpqxx-6.2                        	       0        2        0        0        2
40898 libpqxx-6.4                        	       0        9        0        0        9
40899 libpqxx-7.10                       	       0        2        0        0        2
40900 libpqxx-dev                        	       0        5        5        0        0
40901 libpqxx-doc                        	       0        1        0        0        1
40902 libprawn-ruby1.9.1                 	       0        1        0        0        1
40903 libpreferencepanes1                	       0        8        8        0        0
40904 libprefork-perl                    	       0        2        2        0        0
40905 libprelude2                        	       0        2        2        0        0
40906 libprelude23                       	       0        1        0        0        1
40907 libprelude28                       	       0        5        0        0        5
40908 libpresage-data                    	       0       26        0        0       26
40909 libpri1.4                          	       0        2        2        0        0
40910 libprimecount7                     	       0        9        0        0        9
40911 libprimesieve11                    	       0       13        0        0       13
40912 libprimesieve12                    	       0        1        0        0        1
40913 libprimus-vk1                      	       0       18        0        0       18
40914 libprinterconf0c2a                 	       0        3        0        0        3
40915 libprismatic-plumbing-clojure      	       0        1        0        0        1
40916 libprismatic-schema-clojure        	       0        2        0        0        2
40917 libprivileges-drop-perl            	       0        1        1        0        0
40918 libprobe-perl-perl                 	       0        2        2        0        0
40919 libproc-background-perl            	       0        1        1        0        0
40920 libproc-fastspawn-perl             	       0        5        0        0        5
40921 libproc-invokeeditor-perl          	       0        1        1        0        0
40922 libproc-reliable-perl              	       0        1        1        0        0
40923 libproc-simple-perl                	       0       19       17        2        0
40924 libproc-wait3-perl                 	       0        1        0        0        1
40925 libproc2-dev                       	       0        1        1        0        0
40926 libprocess-cpp-doc                 	       0        1        0        0        1
40927 libprocess-cpp3                    	       0        2        0        0        2
40928 libprocesscore7                    	       0       28        0        0       28
40929 libprocessing-core-java            	       0        2        0        0        2
40930 libprocessui7                      	       0       27        0        0       27
40931 libprocessui9                      	       0      516        2        0      514
40932 libprocps-dev                      	       0        2        2        0        0
40933 libprocps0                         	       0       72        0        0       72
40934 libprocps3                         	       0      229        0        0      229
40935 libprocps4                         	       0      392        0        0      392
40936 libprocps5                         	       0        1        0        0        1
40937 libprocps6                         	       0      475        0        0      475
40938 libprocps7                         	       0      528        0        0      528
40939 libprocyon-java                    	       0       23        0        0       23
40940 libprogress-any-output-termprogressbarcolor-perl	       0        1        1        0        0
40941 libprogress-any-perl               	       0        1        1        0        0
40942 libproguard-java                   	       0       30        0        0       30
40943 libproj0                           	       0        6        0        0        6
40944 libproj12                          	       0       17        0        0       17
40945 libproj15                          	       0        1        0        0        1
40946 libproj19                          	       0      135        2        0      133
40947 libproj22                          	       0        4        0        0        4
40948 libprojectbuilder-perl             	       0        1        1        0        0
40949 libprojectm-dev                    	       0        5        5        0        0
40950 libprojectm-qt1v5                  	       0        2        0        0        2
40951 libprojectm2                       	       0        2        0        0        2
40952 libprojectm2v5                     	       0       10        0        0       10
40953 libprometheus-cpp-core1.0          	       0        1        0        0        1
40954 libprometheus-cpp-pull1.0          	       0        1        0        0        1
40955 libpromises3                       	       0        8        0        0        8
40956 libproperties-cpp-dev              	       0        2        2        0        0
40957 libproperties-cpp-doc              	       0        1        0        0        1
40958 libproperties-maven-plugin-java    	       0        1        0        0        1
40959 libprotobuf-c-dev                  	       0       27       27        0        0
40960 libprotobuf-dev                    	       0       79       75        4        0
40961 libprotobuf-java                   	       0       24        0        0       24
40962 libprotobuf-java-format-java       	       0        2        0        0        2
40963 libprotobuf-lite10                 	       0       56        0        0       56
40964 libprotobuf-lite17                 	       0      115        0        0      115
40965 libprotobuf-lite22                 	       0        1        0        0        1
40966 libprotobuf-lite23                 	       0      336        0        0      336
40967 libprotobuf-lite9                  	       0        2        0        0        2
40968 libprotobuf-lite9v5                	       0        1        0        0        1
40969 libprotobuf10                      	       0       49        0        0       49
40970 libprotobuf17                      	       0      102        1        0      101
40971 libprotobuf22                      	       0        1        0        0        1
40972 libprotobuf7                       	       0        4        0        0        4
40973 libprotobuf9                       	       0       12        0        0       12
40974 libprotobuf9v5                     	       0        1        0        0        1
40975 libprotoc-dev                      	       0       12       12        0        0
40976 libprotoc10                        	       0        2        0        0        2
40977 libprotoc17                        	       0        8        0        0        8
40978 libprotoc22                        	       0        1        0        0        1
40979 libprotoc23                        	       0       13        0        0       13
40980 libprotoc32                        	       0      124        0        0      124
40981 libprotoc32t64                     	       0       17        0        0       17
40982 libprotoc9                         	       0        1        0        0        1
40983 libprotocol-websocket-perl         	       0        1        1        0        0
40984 libprotozero-dev                   	       0        2        0        0        2
40985 libproxy-dev                       	       0        9        9        0        0
40986 libproxy0                          	       0       11        0        0       11
40987 libproxy1                          	       0       24        0        0       24
40988 libproxychains4                    	       0        9        0        0        9
40989 libps2000                          	       0        5        5        0        0
40990 libps2000a                         	       0        4        4        0        0
40991 libps3000                          	       0        4        4        0        0
40992 libps3000a                         	       0        4        4        0        0
40993 libps4000                          	       0        4        4        0        0
40994 libps4000a                         	       0        4        4        0        0
40995 libps5000                          	       0        4        4        0        0
40996 libps5000a                         	       0        4        4        0        0
40997 libps6000                          	       0        4        4        0        0
40998 libps6000a                         	       0        4        4        0        0
40999 libpseudo                          	       0        4        0        0        4
41000 libpsiconv-dev                     	       0        1        1        0        0
41001 libpsiconv6                        	       0        1        0        0        1
41002 libpskc-dev                        	       0        3        3        0        0
41003 libpskc0t64                        	       0       54        4        0       50
41004 libpsl-dev                         	       0      116      114        2        0
41005 libpsl0                            	       0      207        0        0      207
41006 libpsm2-2                          	       0      332        0        0      332
41007 libpsmt2-frontend-ocaml            	       0        1        1        0        0
41008 libpsospa                          	       0        3        3        0        0
41009 libpst4                            	       0      246        1        0      245
41010 libpst4t64                         	       0       12        0        0       12
41011 libpstat1                          	       0        1        1        0        0
41012 libpstoedit0c2a                    	       0      237        0        0      237
41013 libpstoedit0t64                    	       0       30        0        0       30
41014 libpsurface0t64                    	       0        1        0        0        1
41015 libpsych-java                      	       0        1        0        0        1
41016 libpt-1.10.0                       	       0        1        0        0        1
41017 libpt-plugins-v4l2                 	       0        1        1        0        0
41018 libpt2.10.10                       	       0        2        2        0        0
41019 libpt2.10.11                       	       0        7        7        0        0
41020 libpt2.10.4                        	       0        2        2        0        0
41021 libpt2.10.9                        	       0        1        1        0        0
41022 libpt2.6.7                         	       0        1        1        0        0
41023 libptexenc-dev                     	       0        1        1        0        0
41024 libptexenc1                        	       0      633        0        0      633
41025 libpth20t64                        	       0        1        0        0        1
41026 libpthread-stubs0                  	       0       11        0        0       11
41027 libpthread-stubs0-dev              	       0     1106        0        0     1106
41028 libpthreadpool-dev                 	       0        2        2        0        0
41029 libpthreadpool0                    	       0      145        0        0      145
41030 libptl-dev                         	       0        1        1        0        0
41031 libptl2                            	       0        1        0        0        1
41032 libptscotch-5.1                    	       0        1        1        0        0
41033 libptscotch-6.0                    	       0        1        0        0        1
41034 libptscotch-6.1                    	       0        2        0        0        2
41035 libptscotch-7.0                    	       0       60        0        0       60
41036 libptscotch-dev                    	       0        4        4        0        0
41037 libpugixml-dev                     	       0       23        0        0       23
41038 libpugixml1                        	       0        1        0        0        1
41039 libpugl-0-0                        	       0        1        0        0        1
41040 libpugl-dev                        	       0        1        1        0        0
41041 libpulse-java                      	       0        4        0        0        4
41042 libpulse-jni                       	       0        4        0        0        4
41043 libpulse-mainloop-glib0-dbgsym     	       0        1        1        0        0
41044 libpulse-ocaml                     	       0        4        3        0        1
41045 libpulse-ocaml-dev                 	       0        2        2        0        0
41046 libpulse0-dbgsym                   	       0        1        1        0        0
41047 libpulsecore5                      	       0        1        0        0        1
41048 libpulsedsp                        	       0     2935        0        0     2935
41049 libpulsedsp-dbgsym                 	       0        1        1        0        0
41050 libpuppetlabs-http-client-clojure  	       0        1        0        0        1
41051 libpuppetlabs-i18n-clojure         	       0        1        0        0        1
41052 libpuppetlabs-ring-middleware-clojure	       0        1        0        0        1
41053 libpurelibc1                       	       0        2        0        0        2
41054 libpurify-dev                      	       0        2        2        0        0
41055 libpurify2.0                       	       0        2        0        0        2
41056 libpurple-telegram-tdlib           	       0        1        1        0        0
41057 libpurple0-dbgsym                  	       0        1        1        0        0
41058 libpuzzle-bin                      	       0        1        1        0        0
41059 libpuzzle-dev                      	       0        1        1        0        0
41060 libpuzzle1                         	       0        1        0        0        1
41061 libpvm3                            	       0        8        0        0        8
41062 libpwiz3                           	       0        1        0        0        1
41063 libpwquality-common                	       0      944        0        0      944
41064 libpwquality-dev                   	       0        2        2        0        0
41065 libpwquality-tools                 	       0       15       15        0        0
41066 libpynac-dev                       	       0        1        1        0        0
41067 libpynac18                         	       0        1        0        0        1
41068 libpynac18py3                      	       0        1        0        0        1
41069 libpyside-dev                      	       0        1        1        0        0
41070 libpyside-py3-1.2                  	       0        1        0        0        1
41071 libpyside1.2                       	       0        8        0        0        8
41072 libpyside2-5.11                    	       0        3        3        0        0
41073 libpyside2-dev                     	       0        5        5        0        0
41074 libpyside2-py3-5.15t64             	       0       15       14        1        0
41075 libpyside6-py3-6.8                 	       0        3        0        3        0
41076 libpython-all-dbg                  	       0        4        0        0        4
41077 libpython-all-dev                  	       0       55        0        0       55
41078 libpython-dbg                      	       0        4        4        0        0
41079 libpython-stdlib                   	       0      493        0        0      493
41080 libpython2-dbg                     	       0        6        6        0        0
41081 libpython2-stdlib                  	       0      564        0        0      564
41082 libpython2.6                       	       0        7        7        0        0
41083 libpython2.7-dbg                   	       0        8        8        0        0
41084 libpython3-all-dbg                 	       0        8        0        0        8
41085 libpython3-all-dev                 	       0      469        0        0      469
41086 libpython3-dbg                     	       0       16       16        0        0
41087 libpython3-stdlib                  	       0     4124        0        0     4124
41088 libpython3.10-dbg                  	       0        1        1        0        0
41089 libpython3.10-dev                  	       0       10       10        0        0
41090 libpython3.11-dbg                  	       0        5        5        0        0
41091 libpython3.11t64                   	       0       37        3        0       34
41092 libpython3.12-testsuite            	       0        1        1        0        0
41093 libpython3.12t64                   	       0      160       11        0      149
41094 libpython3.12t64-dbg               	       0        1        1        0        0
41095 libpython3.13-dbg                  	       0        2        1        1        0
41096 libpython3.13-testsuite            	       0        2        0        2        0
41097 libpython3.2                       	       0        3        3        0        0
41098 libpython3.3                       	       0        1        0        0        1
41099 libpython3.3-minimal               	       0        4        4        0        0
41100 libpython3.3-stdlib                	       0        4        4        0        0
41101 libpython3.4                       	       0       20        0        0       20
41102 libpython3.4-dev                   	       0        3        3        0        0
41103 libpython3.5                       	       0      104        0        0      104
41104 libpython3.5-dbg                   	       0        3        3        0        0
41105 libpython3.5-dev                   	       0       20       20        0        0
41106 libpython3.6                       	       0        2        0        0        2
41107 libpython3.6-dev                   	       0        1        1        0        0
41108 libpython3.6-minimal               	       0        6        6        0        0
41109 libpython3.6-stdlib                	       0        6        6        0        0
41110 libpython3.7                       	       0      224        1        0      223
41111 libpython3.7-dbg                   	       0        4        4        0        0
41112 libpython3.7-dev                   	       0       42       42        0        0
41113 libpython3.7-testsuite             	       0        1        1        0        0
41114 libpython3.8                       	       0        5        0        0        5
41115 libpython3.8-minimal               	       0        8        8        0        0
41116 libpython3.8-stdlib                	       0        7        7        0        0
41117 libpython3.9-testsuite             	       0        3        3        0        0
41118 libpythonize0-trinity              	       0        2        0        0        2
41119 libpyzy-1.0-0v5                    	       0        1        1        0        0
41120 libqaccessibilityclient-qt5-dev    	       0        1        1        0        0
41121 libqaccessibilityclient-qt6-dev    	       0        1        1        0        0
41122 libqaccessibilityclient0           	       0        7        0        0        7
41123 libqalculate-data                  	       0      521        0        0      521
41124 libqalculate-dev                   	       0        6        5        1        0
41125 libqalculate-doc                   	       0        2        0        0        2
41126 libqalculate20-data                	       0      116        0        0      116
41127 libqalculate22t64                  	       0        2        0        0        2
41128 libqalculate5-data                 	       0       17        0        0       17
41129 libqalculate5v5                    	       0       12        0        0       12
41130 libqapt3                           	       0       47        0        0       47
41131 libqapt3-runtime                   	       0       42       42        0        0
41132 libqb-dev                          	       0       13       13        0        0
41133 libqb0                             	       0       15        0        0       15
41134 libqbscore1.12                     	       0        3        0        0        3
41135 libqbscore1.24                     	       0        2        0        0        2
41136 libqbscore1.7                      	       0        3        0        0        3
41137 libqbscore2.1                      	       0        1        0        0        1
41138 libqbsqtprofilesetup1.12           	       0        3        0        0        3
41139 libqbsqtprofilesetup1.7            	       0        3        0        0        3
41140 libqbsscriptengine1.24             	       0        3        0        0        3
41141 libqca-qt5-2-dev                   	       0        8        8        0        0
41142 libqca-qt6-dev                     	       0        1        0        1        0
41143 libqca2                            	       0       45        1        0       44
41144 libqca2-dev                        	       0        1        1        0        0
41145 libqca2-plugin-ossl                	       0       13        0        0       13
41146 libqca2-plugins                    	       0       39        0        0       39
41147 libqcoro5core0                     	       0        2        0        0        2
41148 libqcoro5dbus0                     	       0        2        0        0        2
41149 libqcoro5network0                  	       0        2        0        0        2
41150 libqcoro5qml0                      	       0        2        0        0        2
41151 libqcoro5quick0                    	       0        1        0        0        1
41152 libqcoro5websockets0               	       0        1        0        0        1
41153 libqcoro6core0t64                  	       0       25        0        0       25
41154 libqcoro6network0t64               	       0        1        0        0        1
41155 libqcoro6qml0t64                   	       0        1        0        0        1
41156 libqcoro6quick0t64                 	       0        1        0        0        1
41157 libqcoro6websockets0t64            	       0        1        0        0        1
41158 libqcow-dev                        	       0        2        2        0        0
41159 libqcow-utils                      	       0       11       11        0        0
41160 libqcow1                           	       0       23        0        0       23
41161 libqcow1t64                        	       0        2        0        0        2
41162 libqcsxcad0                        	       0        6        0        0        6
41163 libqcustomplot-dev                 	       0        3        3        0        0
41164 libqcustomplot-doc                 	       0        1        1        0        0
41165 libqcustomplot1.2                  	       0        2        0        0        2
41166 libqcustomplot1.3                  	       0        4        0        0        4
41167 libqcustomplot2.0                  	       0        4        0        0        4
41168 libqcustomplot2.1                  	       0       27        0        0       27
41169 libqcustomplot2.1-qt6              	       0        3        0        0        3
41170 libqd-dev                          	       0        1        1        0        0
41171 libqd0v5                           	       0        1        0        0        1
41172 libqdbm-dev                        	       0        3        3        0        0
41173 libqdbm14t64                       	       0        2        0        0        2
41174 libqdox-java                       	       0      135        0        0      135
41175 libqdox-java-doc                   	       0        6        0        0        6
41176 libqdox2-java                      	       0       28        0        0       28
41177 libqdox2-java-doc                  	       0        1        0        0        1
41178 libqdwizard-java                   	       0        1        0        0        1
41179 libqedje0a                         	       0        1        0        0        1
41180 libqemu-dev                        	       0        1        1        0        0
41181 libqes0                            	       0        1        0        0        1
41182 libqextserialport1                 	       0        4        0        0        4
41183 libqfits-dev                       	       0        2        2        0        0
41184 libqfits0                          	       0        4        0        0        4
41185 libqgis-3d3.10.14                  	       0        9        0        0        9
41186 libqgis-3d3.16.15                  	       0        1        0        0        1
41187 libqgis-3d3.16.16                  	       0        1        0        0        1
41188 libqgis-3d3.22.12                  	       0        1        0        0        1
41189 libqgis-3d3.22.16                  	       0       27        0        0       27
41190 libqgis-3d3.22.2                   	       0        1        0        0        1
41191 libqgis-3d3.22.4                   	       0        1        0        0        1
41192 libqgis-3d3.28.10                  	       0        1        0        0        1
41193 libqgis-3d3.28.14                  	       0        1        0        0        1
41194 libqgis-3d3.32.2                   	       0        1        0        0        1
41195 libqgis-3d3.34.10                  	       0        1        0        0        1
41196 libqgis-3d3.34.12                  	       0        1        0        0        1
41197 libqgis-3d3.34.2                   	       0        2        0        0        2
41198 libqgis-3d3.34.6                   	       0        1        0        0        1
41199 libqgis-3d3.34.7                   	       0        1        0        0        1
41200 libqgis-3d3.34.8                   	       0        1        0        0        1
41201 libqgis-3d3.40.3                   	       0        1        0        0        1
41202 libqgis-analysis2.18.28            	       0        2        0        0        2
41203 libqgis-analysis2.4.0              	       0        1        0        0        1
41204 libqgis-analysis3.10.14            	       0       10        0        0       10
41205 libqgis-analysis3.16.15            	       0        1        0        0        1
41206 libqgis-analysis3.16.16            	       0        1        0        0        1
41207 libqgis-analysis3.22.16            	       0       27        0        0       27
41208 libqgis-analysis3.22.2             	       0        1        0        0        1
41209 libqgis-analysis3.28.10            	       0        1        0        0        1
41210 libqgis-analysis3.28.11            	       0        1        0        0        1
41211 libqgis-analysis3.32.2             	       0        1        0        0        1
41212 libqgis-analysis3.34.10            	       0        1        0        0        1
41213 libqgis-analysis3.34.12            	       0        1        0        0        1
41214 libqgis-analysis3.34.2             	       0        2        0        0        2
41215 libqgis-analysis3.34.6             	       0        1        0        0        1
41216 libqgis-analysis3.34.7             	       0        1        0        0        1
41217 libqgis-analysis3.34.8             	       0        1        0        0        1
41218 libqgis-analysis3.40.3             	       0        1        0        0        1
41219 libqgis-app2.18.28                 	       0        2        0        0        2
41220 libqgis-app3.10.14                 	       0        9        0        0        9
41221 libqgis-app3.22.16                 	       0       26        0        0       26
41222 libqgis-app3.22.2                  	       0        1        0        0        1
41223 libqgis-app3.28.10                 	       0        1        0        0        1
41224 libqgis-app3.32.2                  	       0        1        0        0        1
41225 libqgis-app3.34.10                 	       0        1        0        0        1
41226 libqgis-app3.34.12                 	       0        1        0        0        1
41227 libqgis-app3.34.2                  	       0        2        0        0        2
41228 libqgis-app3.34.6                  	       0        1        0        0        1
41229 libqgis-app3.34.7                  	       0        1        0        0        1
41230 libqgis-app3.34.8                  	       0        1        0        0        1
41231 libqgis-app3.40.3                  	       0        1        0        0        1
41232 libqgis-core2.14.11                	       0        1        0        0        1
41233 libqgis-core2.18.28                	       0        2        0        0        2
41234 libqgis-core2.4.0                  	       0        1        0        0        1
41235 libqgis-core3.10.13                	       0        2        0        0        2
41236 libqgis-core3.10.14                	       0       10        1        0        9
41237 libqgis-core3.16.15                	       0        1        0        0        1
41238 libqgis-core3.16.16                	       0        1        0        0        1
41239 libqgis-core3.22.12                	       0        1        0        0        1
41240 libqgis-core3.22.16                	       0       28        0        0       28
41241 libqgis-core3.22.2                 	       0        1        0        0        1
41242 libqgis-core3.22.4                 	       0        1        0        0        1
41243 libqgis-core3.28.10                	       0        1        0        0        1
41244 libqgis-core3.28.11                	       0        1        0        0        1
41245 libqgis-core3.28.14                	       0        1        0        0        1
41246 libqgis-core3.32.2                 	       0        1        0        0        1
41247 libqgis-core3.34.10                	       0        1        0        0        1
41248 libqgis-core3.34.12                	       0        1        0        0        1
41249 libqgis-core3.34.2                 	       0        2        0        0        2
41250 libqgis-core3.34.6                 	       0        1        0        0        1
41251 libqgis-core3.34.7                 	       0        1        0        0        1
41252 libqgis-core3.34.8                 	       0        1        0        0        1
41253 libqgis-core3.40.3                 	       0        1        0        0        1
41254 libqgis-customwidgets              	       0       46        0        0       46
41255 libqgis-dev                        	       0        1        1        0        0
41256 libqgis-gui2.14.11                 	       0        1        0        0        1
41257 libqgis-gui2.18.28                 	       0        2        0        0        2
41258 libqgis-gui2.4.0                   	       0        1        0        0        1
41259 libqgis-gui3.10.13                 	       0        1        0        0        1
41260 libqgis-gui3.10.14                 	       0        9        1        0        8
41261 libqgis-gui3.16.15                 	       0        1        0        0        1
41262 libqgis-gui3.16.16                 	       0        1        0        0        1
41263 libqgis-gui3.22.12                 	       0        1        0        0        1
41264 libqgis-gui3.22.16                 	       0       28        0        0       28
41265 libqgis-gui3.22.2                  	       0        1        0        0        1
41266 libqgis-gui3.22.4                  	       0        1        0        0        1
41267 libqgis-gui3.28.10                 	       0        1        0        0        1
41268 libqgis-gui3.28.11                 	       0        1        0        0        1
41269 libqgis-gui3.28.14                 	       0        1        0        0        1
41270 libqgis-gui3.32.2                  	       0        1        0        0        1
41271 libqgis-gui3.34.10                 	       0        1        0        0        1
41272 libqgis-gui3.34.12                 	       0        1        0        0        1
41273 libqgis-gui3.34.2                  	       0        2        0        0        2
41274 libqgis-gui3.34.6                  	       0        1        0        0        1
41275 libqgis-gui3.34.7                  	       0        1        0        0        1
41276 libqgis-gui3.34.8                  	       0        1        0        0        1
41277 libqgis-gui3.40.3                  	       0        1        0        0        1
41278 libqgis-native3.10.13              	       0        2        0        0        2
41279 libqgis-native3.10.14              	       0       10        1        0        9
41280 libqgis-native3.10.4               	       0        1        0        0        1
41281 libqgis-native3.16.10              	       0        1        0        0        1
41282 libqgis-native3.16.12              	       0        1        0        0        1
41283 libqgis-native3.16.14              	       0        1        0        0        1
41284 libqgis-native3.16.15              	       0        1        0        0        1
41285 libqgis-native3.16.16              	       0        1        0        0        1
41286 libqgis-native3.22.12              	       0        1        0        0        1
41287 libqgis-native3.22.16              	       0       28        0        0       28
41288 libqgis-native3.22.2               	       0        1        0        0        1
41289 libqgis-native3.22.4               	       0        1        0        0        1
41290 libqgis-native3.28.10              	       0        1        0        0        1
41291 libqgis-native3.28.11              	       0        1        0        0        1
41292 libqgis-native3.28.14              	       0        1        0        0        1
41293 libqgis-native3.32.2               	       0        1        0        0        1
41294 libqgis-native3.34.10              	       0        1        0        0        1
41295 libqgis-native3.34.12              	       0        1        0        0        1
41296 libqgis-native3.34.2               	       0        2        0        0        2
41297 libqgis-native3.34.6               	       0        1        0        0        1
41298 libqgis-native3.34.7               	       0        1        0        0        1
41299 libqgis-native3.34.8               	       0        1        0        0        1
41300 libqgis-native3.4.6                	       0        1        0        0        1
41301 libqgis-native3.40.3               	       0        1        0        0        1
41302 libqgis-networkanalysis2.18.28     	       0        2        0        0        2
41303 libqgis-networkanalysis2.4.0       	       0        1        0        0        1
41304 libqgis-server2.18.28              	       0        2        0        0        2
41305 libqgis-server3.10.14              	       0       10        0        0       10
41306 libqgis-server3.16.15              	       0        1        0        0        1
41307 libqgis-server3.16.16              	       0        1        0        0        1
41308 libqgis-server3.22.12              	       0        1        0        0        1
41309 libqgis-server3.22.16              	       0       27        0        0       27
41310 libqgis-server3.22.2               	       0        1        0        0        1
41311 libqgis-server3.22.4               	       0        1        0        0        1
41312 libqgis-server3.28.10              	       0        1        0        0        1
41313 libqgis-server3.28.14              	       0        1        0        0        1
41314 libqgis-server3.32.2               	       0        1        0        0        1
41315 libqgis-server3.34.10              	       0        1        0        0        1
41316 libqgis-server3.34.12              	       0        1        0        0        1
41317 libqgis-server3.34.2               	       0        2        0        0        2
41318 libqgis-server3.34.6               	       0        1        0        0        1
41319 libqgis-server3.34.7               	       0        1        0        0        1
41320 libqgis-server3.34.8               	       0        1        0        0        1
41321 libqgis-server3.38.3               	       0        1        0        0        1
41322 libqgis-server3.40.3               	       0        1        0        0        1
41323 libqgisgrass2.4.0                  	       0        1        0        0        1
41324 libqgisgrass7-2.18.28              	       0        1        0        0        1
41325 libqgisgrass7-3.10.13              	       0        1        0        0        1
41326 libqgisgrass7-3.10.14              	       0        8        0        0        8
41327 libqgisgrass7-3.16.15              	       0        1        0        0        1
41328 libqgisgrass7-3.16.16              	       0        1        0        0        1
41329 libqgisgrass7-3.22.2               	       0        1        0        0        1
41330 libqgisgrass7-3.22.4               	       0        1        0        0        1
41331 libqgisgrass8-3.22.12              	       0        1        0        0        1
41332 libqgisgrass8-3.22.16              	       0       27        0        0       27
41333 libqgisgrass8-3.28.10              	       0        1        0        0        1
41334 libqgisgrass8-3.28.14              	       0        1        0        0        1
41335 libqgisgrass8-3.34.10              	       0        1        0        0        1
41336 libqgisgrass8-3.34.12              	       0        1        0        0        1
41337 libqgisgrass8-3.34.6               	       0        1        0        0        1
41338 libqgisgrass8-3.34.7               	       0        1        0        0        1
41339 libqgisgrass8-3.34.8               	       0        1        0        0        1
41340 libqgisgrass8-3.38.3               	       0        1        0        0        1
41341 libqgisgrass8-3.40.3               	       0        1        0        0        1
41342 libqgispython2.18.28               	       0        2        0        0        2
41343 libqgispython3.10.14               	       0       10        0        0       10
41344 libqgispython3.16.15               	       0        1        0        0        1
41345 libqgispython3.16.16               	       0        1        0        0        1
41346 libqgispython3.22.12               	       0        1        0        0        1
41347 libqgispython3.22.16               	       0       27        0        0       27
41348 libqgispython3.22.2                	       0        1        0        0        1
41349 libqgispython3.22.4                	       0        1        0        0        1
41350 libqgispython3.28.10               	       0        1        0        0        1
41351 libqgispython3.28.14               	       0        1        0        0        1
41352 libqgispython3.32.2                	       0        1        0        0        1
41353 libqgispython3.34.10               	       0        1        0        0        1
41354 libqgispython3.34.12               	       0        1        0        0        1
41355 libqgispython3.34.2                	       0        2        0        0        2
41356 libqgispython3.34.6                	       0        1        0        0        1
41357 libqgispython3.34.7                	       0        1        0        0        1
41358 libqgispython3.34.8                	       0        1        0        0        1
41359 libqgispython3.40.3                	       0        1        0        0        1
41360 libqglviewer-dev-qt5               	       0        6        0        0        6
41361 libqglviewer2-qt5                  	       0        9        1        0        8
41362 libqgpgme15t64                     	       0       16        1        0       15
41363 libqgpgmeqt6-dev                   	       0        1        0        1        0
41364 libqgsttools-p1                    	       0       21        0        0       21
41365 libqhttpengine-doc                 	       0        1        0        0        1
41366 libqhttpengine0                    	       0        1        0        0        1
41367 libqhull-dev                       	       0       51       51        0        0
41368 libqhull-doc                       	       0        1        1        0        0
41369 libqhull-r7                        	       0        3        0        0        3
41370 libqhull5                          	       0        1        0        0        1
41371 libqhull6                          	       0        3        0        0        3
41372 libqhull7                          	       0       74        0        0       74
41373 libqhull7deb1                      	       0        1        0        0        1
41374 libqhull8.0                        	       0      181        2        0      179
41375 libqhullcpp8.0                     	       0       49        0        0       49
41376 libqjdns-qt5-2                     	       0        1        0        0        1
41377 libqjson-dev                       	       0        1        1        0        0
41378 libqm-dsp0                         	       0       69        0        0       69
41379 libqm-dsp0t64                      	       0        2        0        0        2
41380 libqmatrixclient0.4                	       0        1        0        0        1
41381 libqmi-glib1                       	       0       25        0        0       25
41382 libqmmp-misc                       	       0        1        1        0        0
41383 libqmmp0                           	       0        1        0        0        1
41384 libqmmpui0                         	       0        1        0        0        1
41385 libqmobipocket1                    	       0       16        0        0       16
41386 libqmobipocket2                    	       0      778        0        0      778
41387 libqmobipocket6-2                  	       0       46        0        0       46
41388 libqmobipocket6-dev                	       0        1        0        1        0
41389 libqoauth1                         	       0        3        0        0        3
41390 libqoauth2                         	       0        2        0        0        2
41391 libqof2                            	       0        1        0        0        1
41392 libqof2-backend-qsf                	       0        1        0        0        1
41393 libqofono-qt5-0                    	       0        1        0        0        1
41394 libqpdf-dev                        	       0        6        5        1        0
41395 libqpdf13                          	       0       29        0        0       29
41396 libqpdf17                          	       0       78        0        0       78
41397 libqpdf18                          	       0        1        0        0        1
41398 libqpdf21                          	       0      133        0        0      133
41399 libqpdf26                          	       0        1        0        0        1
41400 libqpdf28                          	       0      448        0        0      448
41401 libqpdf29t64                       	       0      187        0        0      187
41402 libqpdf30                          	       0       19        0        0       19
41403 libqpid-proton-cpp12               	       0        1        0        0        1
41404 libqpid-proton-cpp12-dev           	       0        1        0        0        1
41405 libqpid-proton11                   	       0        9        0        0        9
41406 libqpid-proton11-dev               	       0        2        2        0        0
41407 libqpol1                           	       0        5        0        0        5
41408 libqpx-dev                         	       0        2        2        0        0
41409 libqpx0                            	       0       13        0        0       13
41410 libqpx0t64                         	       0        3        0        0        3
41411 libqqc2breezestyle-dev             	       0        1        0        0        1
41412 libqqwing-dev                      	       0        2        0        0        2
41413 libqqwing2v5                       	       0      393        0        0      393
41414 libqrcodegen-java                  	       0        4        0        0        4
41415 libqrcodegen1                      	       0       13        0        0       13
41416 libqrcodegencpp-dev                	       0        7        0        0        7
41417 libqrencode-dev                    	       0       28       28        0        0
41418 libqrencode3                       	       0       66        1        0       65
41419 libqrtr1                           	       0        1        0        0        1
41420 libqrupdate-dev                    	       0        3        0        0        3
41421 libqrupdate1                       	       0       91        0        0       91
41422 libqsastime-dev                    	       0        4        4        0        0
41423 libqsastime0                       	       0       10        0        0       10
41424 libqsbr-dev                        	       0        1        1        0        0
41425 libqsbr1                           	       0        1        0        0        1
41426 libqscintilla2-11                  	       0        2        0        0        2
41427 libqscintilla2-12v5                	       0        5        0        0        5
41428 libqscintilla2-designer            	       0        1        0        0        1
41429 libqscintilla2-doc                 	       0        3        3        0        0
41430 libqscintilla2-l10n                	       0        6        0        0        6
41431 libqscintilla2-qt4-13              	       0        4        0        0        4
41432 libqscintilla2-qt4-l10n            	       0        4        0        0        4
41433 libqscintilla2-qt5-designer        	       0        4        0        0        4
41434 libqscintilla2-qt5-dev             	       0       11       11        0        0
41435 libqscintilla2-qt5-l10n            	       0      288        0        0      288
41436 libqscintilla2-qt6-15              	       0       15        0        0       15
41437 libqscintilla2-qt6-designer        	       0        1        0        0        1
41438 libqscintilla2-qt6-dev             	       0        1        1        0        0
41439 libqscintilla2-qt6-l10n            	       0       15        0        0       15
41440 libqsopt-ex-dev                    	       0        3        3        0        0
41441 libqsopt-ex2                       	       0       12        0        0       12
41442 libqsopt-ex2t64                    	       0        2        0        0        2
41443 libqt-jami                         	       0        4        4        0        0
41444 libqt0-ruby-trinity                	       0        2        2        0        0
41445 libqt3-compat-headers              	       0        3        3        0        0
41446 libqt3-headers                     	       0        5        5        0        0
41447 libqt3-i18n                        	       0        4        0        0        4
41448 libqt3-mt                          	       0        7        7        0        0
41449 libqt3-mt-dev                      	       0        1        1        0        0
41450 libqt3-mt-sqlite                   	       0        2        2        0        0
41451 libqt4-assistant                   	       0        2        0        0        2
41452 libqt4-dbg                         	       0        1        1        0        0
41453 libqt4-dbus                        	       0      116        0        0      116
41454 libqt4-declarative                 	       0       66        1        0       65
41455 libqt4-declarative-folderlistmodel 	       0        1        0        0        1
41456 libqt4-declarative-gestures        	       0        3        0        0        3
41457 libqt4-declarative-particles       	       0        3        0        0        3
41458 libqt4-declarative-shaders         	       0        1        0        0        1
41459 libqt4-designer                    	       0       68        0        0       68
41460 libqt4-dev                         	       0       17       17        0        0
41461 libqt4-dev-bin                     	       0       20       20        0        0
41462 libqt4-help                        	       0       39        0        0       39
41463 libqt4-network                     	       0      105        1        0      104
41464 libqt4-opengl                      	       0       75        1        0       74
41465 libqt4-opengl-dev                  	       0       14       14        0        0
41466 libqt4-qt3support                  	       0       56        0        0       56
41467 libqt4-script                      	       0       70        1        0       69
41468 libqt4-scripttools                 	       0       39        0        0       39
41469 libqt4-sql                         	       0       76        1        0       75
41470 libqt4-sql-psql                    	       0        1        0        0        1
41471 libqt4-sql-sqlite                  	       0       54        0        0       54
41472 libqt4-svg                         	       0       90        1        0       89
41473 libqt4-test                        	       0       38        0        0       38
41474 libqt4-webkit                      	       0        3        0        0        3
41475 libqt4-xml                         	       0      134        1        0      133
41476 libqt4-xmlpatterns                 	       0       72        1        0       71
41477 libqt4pas5                         	       0        2        0        0        2
41478 libqt5-ukui-style-dev              	       0        3        3        0        0
41479 libqt5-ukui-style1                 	       0        9        0        0        9
41480 libqt53danimation5                 	       0       54        0        0       54
41481 libqt53dquick5                     	       0       10        0        0       10
41482 libqt53dquickanimation5            	       0        9        0        0        9
41483 libqt53dquickextras5               	       0       10        0        0       10
41484 libqt53dquickinput5                	       0       10        0        0       10
41485 libqt53dquickrender5               	       0       11        0        0       11
41486 libqt53dquickscene2d5              	       0       49        0        0       49
41487 libqt5bluetooth5                   	       0       16        0        0       16
41488 libqt5bluetooth5-bin               	       0       16       16        0        0
41489 libqt5charts5-dev                  	       0       18       18        0        0
41490 libqt5clucene5                     	       0       30        0        0       30
41491 libqt5concurrent5-dbgsym           	       0        1        1        0        0
41492 libqt5contacts5                    	       0        1        0        0        1
41493 libqt5contacts5a                   	       0        3        0        0        3
41494 libqt5core5a-dbgsym                	       0        1        1        0        0
41495 libqt5datavisualization5           	       0       27        0        0       27
41496 libqt5datavisualization5-dev       	       0        7        7        0        0
41497 libqt5dbus5-dbgsym                 	       0        1        1        0        0
41498 libqt5designercomponents5          	       0      215        1        0      214
41499 libqt5feedback5                    	       0        7        1        0        6
41500 libqt5gamepad5-dev                 	       0       10       10        0        0
41501 libqt5glib-2.0-0                   	       0       26        0        0       26
41502 libqt5gstreamer-1.0-0              	       0       21        0        0       21
41503 libqt5gstreamer-dev                	       0        5        5        0        0
41504 libqt5gstreamerquick-1.0-0         	       0       17        0        0       17
41505 libqt5gstreamerui-1.0-0            	       0        8        0        0        8
41506 libqt5gstreamerutils-1.0-0         	       0        8        0        0        8
41507 libqt5gui5-dbgsym                  	       0        1        1        0        0
41508 libqt5gui5-gles                    	       0        2        0        0        2
41509 libqt5hunspellinputmethod5         	       0       16        1        0       15
41510 libqt5location5-plugin-mapboxgl    	       0        9        0        0        9
41511 libqt5location5-plugins            	       0       26        0        0       26
41512 libqt5multimediaquick-p5           	       0       16        0        0       16
41513 libqt5network5-dbgsym              	       0        1        1        0        0
41514 libqt5networkauth5                 	       0      540        0        0      540
41515 libqt5networkauth5-dev             	       0        6        6        0        0
41516 libqt5nfc5                         	       0       11        0        0       11
41517 libqt5opengl5-dbgsym               	       0        1        1        0        0
41518 libqt5organizer5                   	       0        2        0        0        2
41519 libqt5organizer5a                  	       0        3        0        0        3
41520 libqt5pas-dev                      	       0       19        0        0       19
41521 libqt5pdfwidgets5                  	       0        7        0        0        7
41522 libqt5positioning5-plugins         	       0       70        0        0       70
41523 libqt5printsupport5-dbgsym         	       0        1        1        0        0
41524 libqt5publishsubscribe5            	       0        7        0        0        7
41525 libqt5qevercloud3                  	       0        1        0        0        1
41526 libqt5qevercloud6                  	       0        1        0        0        1
41527 libqt5qml5-dbgsym                  	       0        1        1        0        0
41528 libqt5quentier0                    	       0        1        0        0        1
41529 libqt5quick5-dbgsym                	       0        1        1        0        0
41530 libqt5quick5-gles                  	       0        3        0        0        3
41531 libqt5quickshapes5                 	       0      929        3        0      926
41532 libqt5quicktest5                   	       0      139        0        0      139
41533 libqt5qxlsx0t64                    	       0        9        0        0        9
41534 libqt5remoteobjects5-bin           	       0        5        5        0        0
41535 libqt5remoteobjects5-dev           	       0        5        5        0        0
41536 libqt5scintilla2-12v5              	       0        6        0        0        6
41537 libqt5scintilla2-designer          	       0        1        0        0        1
41538 libqt5scintilla2-l10n              	       0        8        0        0        8
41539 libqt5scripttools5                 	       0      168        0        0      168
41540 libqt5scxml5                       	       0        6        0        0        6
41541 libqt5scxml5-bin                   	       0        4        4        0        0
41542 libqt5scxml5-dev                   	       0        4        4        0        0
41543 libqt5scxml5-private-dev           	       0        3        3        0        0
41544 libqt5sensors5-dev                 	       0        9        9        0        0
41545 libqt5serialbus5                   	       0        8        0        0        8
41546 libqt5serialbus5-bin               	       0        2        2        0        0
41547 libqt5serialbus5-dev               	       0        5        5        0        0
41548 libqt5serialbus5-plugins           	       0        5        0        0        5
41549 libqt5serialport5-dev              	       0       27       27        0        0
41550 libqt5serviceframework5            	       0        6        0        0        6
41551 libqt5sql5-dbgsym                  	       0        1        1        0        0
41552 libqt5sql5-ibase                   	       0        1        0        0        1
41553 libqt5sql5-odbc                    	       0        4        0        0        4
41554 libqt5sql5-psql                    	       0       30        0        0       30
41555 libqt5sql5-sqlite-dbgsym           	       0        1        1        0        0
41556 libqt5sql5-tds                     	       0        3        0        0        3
41557 libqt5systeminfo5                  	       0       10        0        0       10
41558 libqt5test5-dbgsym                 	       0        1        1        0        0
41559 libqt5texttospeech5-dev            	       0       10       10        0        0
41560 libqt5versit5                      	       0        1        0        0        1
41561 libqt5versit5a                     	       0        2        0        0        2
41562 libqt5versitorganizer5             	       0        1        0        0        1
41563 libqt5versitorganizer5a            	       0        2        0        0        2
41564 libqt5virtualkeyboard5-dev         	       0        5        5        0        0
41565 libqt5waylandclient5-dev           	       0       12       12        0        0
41566 libqt5waylandcompositor5-dev       	       0       11       11        0        0
41567 libqt5webchannel5-dev              	       0       46       46        0        0
41568 libqt5webkit5-dev                  	       0       33       33        0        0
41569 libqt5websockets5-dbgsym           	       0        1        1        0        0
41570 libqt5websockets5-dev              	       0       26       25        1        0
41571 libqt5webview5                     	       0      420       14        0      406
41572 libqt5webview5-dev                 	       0        8        8        0        0
41573 libqt5widgets5-dbgsym              	       0        1        1        0        0
41574 libqt5x11extras5-dev               	       0       65       64        1        0
41575 libqt5xdg-dev                      	       0        8        8        0        0
41576 libqt5xdg2                         	       0        5        0        0        5
41577 libqt5xdgiconloader-dev            	       0        8        8        0        0
41578 libqt5xdgiconloader2               	       0        5        0        0        5
41579 libqt5xml5-dbgsym                  	       0        1        1        0        0
41580 libqt63danimation6                 	       0        4        0        0        4
41581 libqt63dcore6                      	       0        5        0        0        5
41582 libqt63dextras6                    	       0        3        0        0        3
41583 libqt63dinput6                     	       0        4        0        0        4
41584 libqt63dlogic6                     	       0        4        0        0        4
41585 libqt63dquick6                     	       0        3        0        0        3
41586 libqt63dquickanimation6            	       0        2        0        0        2
41587 libqt63dquickextras6               	       0        3        0        0        3
41588 libqt63dquickinput6                	       0        2        0        0        2
41589 libqt63dquickrender6               	       0        2        0        0        2
41590 libqt63dquickscene2d6              	       0        4        0        0        4
41591 libqt63dquickscene3d6              	       0        1        0        0        1
41592 libqt63drender6                    	       0        5        0        0        5
41593 libqt6bodymovin6                   	       0       14        0        0       14
41594 libqt6charts6                      	       0       38        1        0       37
41595 libqt6chartsqml6                   	       0        5        0        0        5
41596 libqt6concurrent6t64               	       0        2        0        0        2
41597 libqt6datavisualization6           	       0        3        0        0        3
41598 libqt6datavisualizationqml6        	       0        2        0        0        2
41599 libqt6dbus6t64                     	       0        8        0        0        8
41600 libqt6graphs6                      	       0        1        0        0        1
41601 libqt6graphswidgets6               	       0        1        0        0        1
41602 libqt6grpc6                        	       0        1        0        0        1
41603 libqt6grpcquick6                   	       0        1        0        0        1
41604 libqt6gui6t64                      	       0        8        0        0        8
41605 libqt6httpserver6                  	       0        2        0        0        2
41606 libqt6hunspellinputmethod6         	       0        7        0        0        7
41607 libqt6jsonrpc6                     	       0       53        0        0       53
41608 libqt6labsanimation6               	       0       13        0        0       13
41609 libqt6labsfolderlistmodel6         	       0       15        0        0       15
41610 libqt6labsqmlmodels6               	       0       26        0        0       26
41611 libqt6labssettings6                	       0       15        0        0       15
41612 libqt6labssharedimage6             	       0       13        0        0       13
41613 libqt6labswavefrontmesh6           	       0       13        0        0       13
41614 libqt6languageserver6              	       0       53        0        0       53
41615 libqt6location6                    	       0        1        0        0        1
41616 libqt6multimediaquick6             	       0       25        0        0       25
41617 libqt6multimediawidgets6           	       0       55        0        0       55
41618 libqt6network6t64                  	       0        8        0        0        8
41619 libqt6networkauth6                 	       0       22        0        0       22
41620 libqt6nfc6                         	       0        3        0        0        3
41621 libqt6opengl6t64                   	       0        8        0        0        8
41622 libqt6openglwidgets6t64            	       0        3        0        0        3
41623 libqt6pas-dev                      	       0        1        0        0        1
41624 libqt6pas6                         	       0        3        0        0        3
41625 libqt6pas6-dev                     	       0        2        0        0        2
41626 libqt6pdfquick6                    	       0       10        0        0       10
41627 libqt6pdfwidgets6                  	       0       12        0        0       12
41628 libqt6positioningquick6            	       0       39        0        0       39
41629 libqt6printsupport6t64             	       0        3        0        0        3
41630 libqt6protobuf6                    	       0        1        0        0        1
41631 libqt6protobufqtcoretypes6         	       0        1        0        0        1
41632 libqt6protobufqtguitypes6          	       0        1        0        0        1
41633 libqt6protobufquick6               	       0        1        0        0        1
41634 libqt6protobufwellknowntypes6      	       0        1        0        0        1
41635 libqt6qmlcompiler6                 	       0       53        0        0       53
41636 libqt6qmlcore6                     	       0       13        0        0       13
41637 libqt6qmllocalstorage6             	       0       14        0        0       14
41638 libqt6qmlnetwork6                  	       0        6        0        0        6
41639 libqt6qmlxmllistmodel6             	       0       15        0        0       15
41640 libqt6quick3d6                     	       0       66        0        0       66
41641 libqt6quick3dassetimport6          	       0       49        0        0       49
41642 libqt6quick3dassetutils6           	       0       49        0        0       49
41643 libqt6quick3deffects6              	       0        2        0        0        2
41644 libqt6quick3dglslparser6           	       0        2        0        0        2
41645 libqt6quick3dhelpers6              	       0        2        0        0        2
41646 libqt6quick3diblbaker6             	       0        2        0        0        2
41647 libqt6quick3dparticleeffects6      	       0        2        0        0        2
41648 libqt6quick3dparticles6            	       0       40        0        0       40
41649 libqt6quick3dphysics6              	       0        3        0        0        3
41650 libqt6quick3dphysicshelpers6       	       0        2        0        0        2
41651 libqt6quick3druntimerender6        	       0       66        0        0       66
41652 libqt6quick3dutils6                	       0       66        0        0       66
41653 libqt6quick3dxr6                   	       0        1        0        0        1
41654 libqt6quickcontrols2impl6          	       0       67        0        0       67
41655 libqt6quickdialogs2-6              	       0       28        0        0       28
41656 libqt6quickdialogs2quickimpl6      	       0       28        0        0       28
41657 libqt6quickdialogs2utils6          	       0       28        0        0       28
41658 libqt6quicklayouts6                	       0       66        0        0       66
41659 libqt6quickparticles6              	       0       13        0        0       13
41660 libqt6quicktest6                   	       0       58        0        0       58
41661 libqt6quicktimeline6               	       0       43        0        0       43
41662 libqt6quickvectorimage6            	       0        1        0        0        1
41663 libqt6quickvectorimagegenerator6   	       0        4        0        0        4
41664 libqt6remoteobjects6               	       0        6        0        0        6
41665 libqt6remoteobjects6-bin           	       0        2        0        2        0
41666 libqt6remoteobjectsqml6            	       0        2        0        0        2
41667 libqt6scxml6                       	       0        3        0        0        3
41668 libqt6scxml6-bin                   	       0        2        0        2        0
41669 libqt6scxmlqml6                    	       0        2        0        0        2
41670 libqt6sensorsquick6                	       0        2        0        0        2
41671 libqt6serialbus6                   	       0        3        0        0        3
41672 libqt6serialbus6-bin               	       0        3        1        2        0
41673 libqt6serialport6                  	       0       89        0        0       89
41674 libqt6spatialaudio6                	       0       51        0        0       51
41675 libqt6sql6-ibase                   	       0        4        0        0        4
41676 libqt6sql6-odbc                    	       0        3        0        0        3
41677 libqt6sql6-psql                    	       0        4        0        0        4
41678 libqt6sql6t64                      	       0        2        0        0        2
41679 libqt6statemachine6                	       0       28        0        0       28
41680 libqt6statemachineqml6             	       0       10        0        0       10
41681 libqt6test6t64                     	       0        1        0        0        1
41682 libqt6uitools6                     	       0       99        0        0       99
41683 libqt6waylandcompositor6           	       0      300        0        0      300
41684 libqt6waylandcompositoriviapplication6	       0        1        0        0        1
41685 libqt6waylandcompositorpresentationtime6	       0        1        0        0        1
41686 libqt6waylandcompositorwlshell6    	       0        1        0        0        1
41687 libqt6waylandcompositorxdgshell6   	       0        1        0        0        1
41688 libqt6waylandeglclienthwintegration6	       0      156        0        0      156
41689 libqt6waylandeglcompositorhwintegration6	       0      156        0        0      156
41690 libqt6webchannelquick6             	       0       61        0        0       61
41691 libqt6webenginequick6              	       0      236        0        0      236
41692 libqt6webenginequickdelegatesqml6  	       0        9        0        0        9
41693 libqt6webview6                     	       0       29        0        0       29
41694 libqt6webviewquick6                	       0        3        0        0        3
41695 libqt6widgets6t64                  	       0        8        0        0        8
41696 libqt6wlshellintegration6          	       0      299        0        0      299
41697 libqt6xdg-dev                      	       0        2        1        1        0
41698 libqt6xdgiconloader-dev            	       0        2        1        1        0
41699 libqt6xml6t64                      	       0        1        0        0        1
41700 libqtassistantclient4              	       0       30        0        0       30
41701 libqtav-dev                        	       0        1        1        0        0
41702 libqtav-private-dev                	       0        1        1        0        0
41703 libqtav1                           	       0       16        0        0       16
41704 libqtavwidgets1                    	       0       16        0        0       16
41705 libqtcore4                         	       0      137        1        0      136
41706 libqtcore4-perl                    	       0        4        0        0        4
41707 libqtcurve-utils2                  	       0       78        8        0       70
41708 libqtdbus4                         	       0      130        1        0      129
41709 libqtdbustest1                     	       0        1        0        0        1
41710 libqtermwidget5-1-dev              	       0        2        2        0        0
41711 libqtexengine1                     	       0        1        0        0        1
41712 libqtgstreamer-0.10-0              	       0        2        0        0        2
41713 libqtgstreamerutils-0.10-0         	       0        2        0        0        2
41714 libqtgui4                          	       0      134        1        0      133
41715 libqtgui4-perl                     	       0        4        4        0        0
41716 libqthreads-12                     	       0        1        0        0        1
41717 libqtilitools-dev                  	       0        1        1        0        0
41718 libqtkeychain0                     	       0        1        0        0        1
41719 libqtlocation1                     	       0        4        0        0        4
41720 libqtmirserver1                    	       0        1        0        0        1
41721 libqtmirserver2                    	       0        1        0        0        1
41722 libqtpropertybrowser4              	       0        1        0        0        1
41723 libqtruby4shared2                  	       0        6        0        0        6
41724 libqtscript4-core                  	       0        5        0        0        5
41725 libqtscript4-gui                   	       0        5        0        0        5
41726 libqtscript4-network               	       0        5        0        0        5
41727 libqtscript4-opengl                	       0        1        0        0        1
41728 libqtscript4-phonon                	       0        1        0        0        1
41729 libqtscript4-qtbindings            	       0        1        0        0        1
41730 libqtscript4-sql                   	       0        5        0        0        5
41731 libqtscript4-svg                   	       0        1        0        0        1
41732 libqtscript4-uitools               	       0        5        0        0        5
41733 libqtscript4-webkit                	       0        1        0        0        1
41734 libqtscript4-xml                   	       0        5        0        0        5
41735 libqtscript4-xmlpatterns           	       0        1        0        0        1
41736 libqtsolutions-soap-2.7-1          	       0        1        0        0        1
41737 libqtspell-qt5-1                   	       0       18        0        0       18
41738 libqtspell-qt5-data                	       0       18        0        0       18
41739 libqtspell-qt6-1                   	       0        1        0        0        1
41740 libqtspell-qt6-data                	       0        1        0        0        1
41741 libqtspell-qt6-dev                 	       0        1        0        0        1
41742 libqtspell-qt6-html                	       0        1        0        0        1
41743 libqtwebkit-dev                    	       0        8        8        0        0
41744 libqtwebkit-qmlwebkitplugin        	       0        1        0        0        1
41745 libqtwebkit4                       	       0       58        1        0       57
41746 libquadmath0-dbgsym                	       0        1        1        0        0
41747 libquadmath0-i386-cross            	       0       13        0        0       13
41748 libquadmath0-ppc64el-cross         	       0        1        0        0        1
41749 libquadmath0-x32-cross             	       0        6        0        0        6
41750 libquadrule-dev                    	       0        1        1        0        0
41751 libquadrule1                       	       0        1        0        0        1
41752 libquantlib0v5                     	       0        1        1        0        0
41753 libquartz2-java                    	       0        1        0        0        1
41754 libquazip-dev                      	       0        1        0        0        1
41755 libquazip-doc                      	       0        2        0        0        2
41756 libquazip-headers                  	       0        1        1        0        0
41757 libquazip-qt5-1                    	       0        1        0        0        1
41758 libquazip-tulip-4.8-1              	       0        1        0        0        1
41759 libquazip1                         	       0        5        0        0        5
41760 libquazip1-qt5-dev                 	       0        2        1        1        0
41761 libquazip1-qt6-1                   	       0        2        0        0        2
41762 libquazip1-qt6-1t64                	       0        5        0        0        5
41763 libquazip1-qt6-dev                 	       0        3        2        1        0
41764 libquazip1-qt6-doc                 	       0        1        0        0        1
41765 libquazip5-1t64                    	       0       22        0        0       22
41766 libquazip5-dev                     	       0       13       12        0        1
41767 libquazip5-headers                 	       0        2        2        0        0
41768 libquickfix-doc                    	       0        1        1        0        0
41769 libquicktime-dev                   	       0        3        3        0        0
41770 libquicktime1                      	       0        1        1        0        0
41771 libquicktime2                      	       0      184        0        0      184
41772 libquicktimehv-1.6.0-1             	       0        1        0        0        1
41773 libquorum-dev                      	       0        2        2        0        0
41774 libquorum5                         	       0        8        2        0        6
41775 libquota-perl                      	       0        2        2        0        0
41776 libquotient-dev                    	       0        2        2        0        0
41777 libquotient0.6                     	       0       19        0        0       19
41778 libquotientqt6-0.8                 	       0        2        0        0        2
41779 libqupzilla1                       	       0        8        0        0        8
41780 libquvi-0.9-0.9.3                  	       0      181        0        0      181
41781 libquvi-0.9-0.9.4                  	       0       13        0        0       13
41782 libquvi-0.9-dev                    	       0        1        1        0        0
41783 libquvi-0.9-doc                    	       0        1        0        0        1
41784 libquvi-dev                        	       0        1        1        0        0
41785 libquvi-scripts                    	       0       27       27        0        0
41786 libquvi0                           	       0        1        0        0        1
41787 libquvi7                           	       0       26        0        0       26
41788 libqwt-headers                     	       0        5        0        0        5
41789 libqwt5-qt4                        	       0        5        0        0        5
41790 libqwt5-qt4-dev                    	       0        1        1        0        0
41791 libqwt6                            	       0        3        0        0        3
41792 libqwt6abi1                        	       0        7        0        0        7
41793 libqwtplot3d-qt5-0                 	       0        2        0        0        2
41794 libqwtplot3d-qt5-dev               	       0        1        1        0        0
41795 libqxmpp3                          	       0        3        0        0        3
41796 libqxt-core0                       	       0        4        0        0        4
41797 libqxt-gui0                        	       0        4        0        0        4
41798 libqzion0a                         	       0        1        0        0        1
41799 libr-dev                           	       0        2        2        0        0
41800 libr0                              	       0       20        4        0       16
41801 librabbitmq-dev                    	       0        8        7        1        0
41802 librabbitmq1                       	       0        1        0        0        1
41803 librack-ruby1.9.1                  	       0        1        0        0        1
41804 libradare2-1.1                     	       0        3        0        0        3
41805 libradare2-5.0.0                   	       0        1        0        0        1
41806 libradare2-5.0.0t64                	       0        6        0        0        6
41807 libradare2-common                  	       0        9        0        0        9
41808 libradcli-dev                      	       0       14       14        0        0
41809 libradiusclient-ng2                	       0        2        0        0        2
41810 librados-dev                       	       0        5        3        2        0
41811 libradosstriper1                   	       0        7        0        0        7
41812 libramaze-ruby1.9.1                	       0        1        0        0        1
41813 librandom-numbers-dev              	       0        1        1        0        0
41814 librandom-numbers0d                	       0        1        0        0        1
41815 librandom123-dev                   	       0        1        0        1        0
41816 librandom123-doc                   	       0        1        0        1        0
41817 librandombytes-dev                 	       0        1        1        0        0
41818 librandomx-dev                     	       0        1        1        0        0
41819 librange-v3-dev                    	       0        3        3        0        0
41820 librange-v3-doc                    	       0        3        0        0        3
41821 libranlip-dev                      	       0        1        1        0        0
41822 libranlip1c2                       	       0        1        1        0        0
41823 libraptor1                         	       0        6        0        0        6
41824 libraptor2-dev                     	       0       14       14        0        0
41825 libraptor2-doc                     	       0        2        0        0        2
41826 libraqm-dev                        	       0       10       10        0        0
41827 librarian-puppet                   	       0        1        1        0        0
41828 librarian0                         	       0       95        0        0       95
41829 libraspberrypi-bin                 	       0        1        1        0        0
41830 libraspberrypi0                    	       0        2        2        0        0
41831 libraspell-ruby1.9.1               	       0        3        0        0        3
41832 librasqal0                         	       0        1        0        0        1
41833 librasqal2                         	       0        2        0        0        2
41834 librasqal3-dev                     	       0        4        4        0        0
41835 librasqal3-doc                     	       0        1        0        0        1
41836 librasterlite2-1                   	       0        8        0        0        8
41837 librasterlite2-dev                 	       0        1        1        0        0
41838 libratbag-tools                    	       0        2        0        0        2
41839 libratpoints-2.1.3                 	       0       10        0        0       10
41840 libratpoints-dev                   	       0        9        9        0        0
41841 librav1e-dev                       	       0       11       11        0        0
41842 libraven0                          	       0        6        1        0        5
41843 libraw-bin                         	       0        7        7        0        0
41844 libraw-dev                         	       0       20       19        1        0
41845 libraw-doc                         	       0        2        0        0        2
41846 libraw10                           	       0       13        0        0       13
41847 libraw1394-8                       	       0        3        0        0        3
41848 libraw1394-dev                     	       0       77       76        1        0
41849 libraw1394-doc                     	       0        5        0        0        5
41850 libraw15                           	       0       82        0        0       82
41851 libraw19                           	       0      173        1        0      172
41852 libraw5                            	       0        5        0        0        5
41853 libraynes-fs-clojure               	       0        1        0        0        1
41854 librazik-apt                       	       0        1        0        0        1
41855 librazik-banquesdeson-all          	       0        1        0        0        1
41856 librazik-gig-all                   	       0        1        0        0        1
41857 librazik-keyring                   	       0        1        0        0        1
41858 librazik-sf2-all                   	       0        1        0        0        1
41859 librazik-sf3-all                   	       0        1        0        0        1
41860 librazik-sfz-all                   	       0        1        0        0        1
41861 librb-inotify-ruby1.9.1            	       0        1        0        0        1
41862 librbac-client-clojure             	       0        1        0        0        1
41863 librbd-dev                         	       0        4        3        1        0
41864 librbio2                           	       0       31        0        0       31
41865 librbio4                           	       0        4        0        0        4
41866 librc1t64                          	       0       56        2        1       53
41867 librcc-dev                         	       0        1        1        0        0
41868 librccui0                          	       0        1        0        0        1
41869 librcd-dev                         	       0        1        1        0        0
41870 librcd0                            	       0      234        0        0      234
41871 librcpputils-dev                   	       0        1        0        0        1
41872 librcpputils1d                     	       0        2        0        0        2
41873 librcutils-dev                     	       0        1        1        0        0
41874 librcutils1d                       	       0        2        0        0        2
41875 librda-bin                         	       0        1        1        0        0
41876 librda-common                      	       0      523        0        0      523
41877 librda-dev                         	       0        1        1        0        0
41878 librdf-icalendar-perl              	       0        1        1        0        0
41879 librdf-ldf-perl                    	       0        1        1        0        0
41880 librdf-ns-curated-perl             	       0        2        2        0        0
41881 librdf-ns-perl                     	       0        5        5        0        0
41882 librdf-perl                        	       0        4        4        0        0
41883 librdf-prefixes-perl               	       0        2        2        0        0
41884 librdf-query-client-perl           	       0        2        2        0        0
41885 librdf-query-perl                  	       0        3        3        0        0
41886 librdf-rdfa-generator-perl         	       0        1        1        0        0
41887 librdf-rdfa-parser-perl            	       0        4        4        0        0
41888 librdf-storage-mysql               	       0        1        0        0        1
41889 librdf-storage-postgresql          	       0        1        0        0        1
41890 librdf-storage-sqlite              	       0        1        0        0        1
41891 librdf-storage-virtuoso            	       0        1        0        0        1
41892 librdf-trin3-perl                  	       0        3        3        0        0
41893 librdf-trine-node-literal-xml-perl 	       0        5        5        0        0
41894 librdf-trine-perl                  	       0        6        6        0        0
41895 librdf-trinex-functions-perl       	       0        5        5        0        0
41896 librdf-vcard-perl                  	       0        1        1        0        0
41897 librdf0-dev                        	       0        4        4        0        0
41898 librdfa-java                       	       0        2        0        0        2
41899 librdkafka++1                      	       0        2        0        0        2
41900 librdkafka-dev                     	       0        2        2        0        0
41901 librdmacm-dev                      	       0        6        5        1        0
41902 librdp-taxonomy-tree-java          	       0        1        0        0        1
41903 libre                              	       0        1        0        0        1
41904 libre-dbgsym                       	       0        1        1        0        0
41905 libre-dev                          	       0        2        2        0        0
41906 libre-engine-re2-perl              	       0      181        0        0      181
41907 libre-ocaml-dev                    	       0        1        1        0        0
41908 libre0                             	       0       17        0        0       17
41909 libre2-1                           	       0        1        0        0        1
41910 libre2-2                           	       0        1        0        0        1
41911 libre2-3                           	       0       26        0        0       26
41912 libre2-8                           	       0        2        0        0        2
41913 libre2-dev                         	       0       13       12        1        0
41914 libreact-ocaml                     	       0        3        3        0        0
41915 libreact-ocaml-dev                 	       0        2        2        0        0
41916 libreactive-streams-java           	       0        3        0        0        3
41917 libreactivedata-ocaml              	       0        1        1        0        0
41918 libreactivedata-ocaml-dev          	       0        1        1        0        0
41919 libreadline-java                   	       0        8        8        0        0
41920 libreadline-java-doc               	       0        1        0        0        1
41921 libreadline4                       	       0        3        0        0        3
41922 libreadline5                       	       0      284        0        0      284
41923 libreadline6                       	       0      301        0        0      301
41924 libreadline6-dev                   	       0        6        6        0        0
41925 libreadline7                       	       0      789        2        0      787
41926 libreadline8-dbgsym                	       0        1        1        0        0
41927 libreadonly-xs-perl                	       0        1        0        0        1
41928 libreadosm-dev                     	       0        1        1        0        0
41929 libreadosm1                        	       0        9        0        0        9
41930 libreadstat1                       	       0       17        0        0       17
41931 libreadstat1t64                    	       0        1        0        0        1
41932 librec-dev                         	       0        1        1        0        0
41933 librec1                            	       0       13        0        0       13
41934 librecast-dev                      	       0        2        2        0        0
41935 librecast1                         	       0       15        0        0       15
41936 librecode-dev                      	       0        6        6        0        0
41937 librecode0                         	       0     1277        0        0     1277
41938 librecode3                         	       0       78        0        0       78
41939 librecoll                          	       0        1        0        0        1
41940 librecoll39                        	       0        5        0        0        5
41941 libredis-fast-perl                 	       0        1        0        0        1
41942 libref-array1                      	       0       13        7        0        6
41943 libreflectasm-java                 	       0       47        0        0       47
41944 libreflectasm-java-doc             	       0       33        0        0       33
41945 libreflections-java                	       0        4        0        0        4
41946 libregexp-common-net-cidr-perl     	       0        2        2        0        0
41947 libregexp-common-time-perl         	       0        1        1        0        0
41948 libregexp-debugger-perl            	       0        1        1        0        0
41949 libregexp-java                     	       0       68        0        0       68
41950 libregexp-java-doc                 	       0        1        0        0        1
41951 libregexp-optimizer-perl           	       0        1        1        0        0
41952 libregexp-pattern-defhash-perl     	       0        1        1        0        0
41953 libregexp-reggrp-perl              	       0        2        2        0        0
41954 libregexp-trie-perl                	       0        1        1        0        0
41955 libregf1                           	       0       14        0        0       14
41956 libregfi-dev                       	       0        1        1        0        0
41957 libregfi1                          	       0       26        0        0       26
41958 libregfi1t64                       	       0        1        0        0        1
41959 libregina3                         	       0        7        0        0        7
41960 libregina3-dev                     	       0        1        1        0        0
41961 libreiserfscore0                   	       0        3        0        0        3
41962 libreiserfscore0t64                	       0       55        0        0       55
41963 librelaxng-datatype-java           	       0      419        0        0      419
41964 librelaxngcc-java                  	       0        2        0        0        2
41965 librem-dev                         	       0        1        1        0        0
41966 librem-ec-acpi-dkms                	       0        1        0        0        1
41967 librem0                            	       0       16        0        0       16
41968 librenderdoc                       	       0        5        0        0        5
41969 librenderdoc-dev                   	       0        1        1        0        0
41970 libreoffice                        	       0      589        0        0      589
41971 libreoffice-avmedia-backend-vlc    	       0        2        2        0        0
41972 libreoffice-base-nogui             	       0        1        1        0        0
41973 libreoffice-calc-nogui             	       0        3        2        1        0
41974 libreoffice-canzeley-client        	       0        1        1        0        0
41975 libreoffice-core-nogui             	       0       11       10        1        0
41976 libreoffice-dev-common             	       0        4        3        1        0
41977 libreoffice-dev-doc                	       0        4        3        1        0
41978 libreoffice-dev-gui                	       0        1        0        1        0
41979 libreoffice-emailmerge             	       0        6        1        0        5
41980 libreoffice-grammalecte            	       0        3        3        0        0
41981 libreoffice-gtk4                   	       0       10       10        0        0
41982 libreoffice-help-ca                	       0        6        0        0        6
41983 libreoffice-help-cs                	       0       27        0        0       27
41984 libreoffice-help-da                	       0        4        0        0        4
41985 libreoffice-help-de                	       0      264        0        0      264
41986 libreoffice-help-dz                	       0        2        0        0        2
41987 libreoffice-help-el                	       0       19        0        0       19
41988 libreoffice-help-en-gb             	       0      184        0        0      184
41989 libreoffice-help-en-us             	       0     2294        0        0     2294
41990 libreoffice-help-es                	       0      199        0        0      199
41991 libreoffice-help-et                	       0        3        0        0        3
41992 libreoffice-help-eu                	       0        3        0        0        3
41993 libreoffice-help-fi                	       0        7        0        0        7
41994 libreoffice-help-fr                	       0       86        0        0       86
41995 libreoffice-help-gl                	       0        2        0        0        2
41996 libreoffice-help-hi                	       0        2        0        0        2
41997 libreoffice-help-hu                	       0       14        0        0       14
41998 libreoffice-help-id                	       0        1        0        0        1
41999 libreoffice-help-it                	       0       70        0        0       70
42000 libreoffice-help-ja                	       0       32        0        0       32
42001 libreoffice-help-km                	       0        2        0        0        2
42002 libreoffice-help-ko                	       0        7        0        0        7
42003 libreoffice-help-nl                	       0       15        0        0       15
42004 libreoffice-help-om                	       0        1        0        0        1
42005 libreoffice-help-pl                	       0       47        0        0       47
42006 libreoffice-help-pt                	       0        2        0        0        2
42007 libreoffice-help-pt-br             	       0        2        0        0        2
42008 libreoffice-help-ru                	       0      114        0        0      114
42009 libreoffice-help-sk                	       0        3        0        0        3
42010 libreoffice-help-sl                	       0        2        0        0        2
42011 libreoffice-help-sv                	       0       11        0        0       11
42012 libreoffice-help-tr                	       0        1        0        0        1
42013 libreoffice-help-vi                	       0        1        0        0        1
42014 libreoffice-help-zh-cn             	       0        5        0        0        5
42015 libreoffice-help-zh-tw             	       0        3        0        0        3
42016 libreoffice-impress-templates-all  	       0        1        1        0        0
42017 libreoffice-kde5                   	       0       17       12        0        5
42018 libreoffice-l10n-af                	       0        1        1        0        0
42019 libreoffice-l10n-am                	       0        1        1        0        0
42020 libreoffice-l10n-ar                	       0        2        2        0        0
42021 libreoffice-l10n-as                	       0        1        1        0        0
42022 libreoffice-l10n-ast               	       0        2        2        0        0
42023 libreoffice-l10n-be                	       0        4        4        0        0
42024 libreoffice-l10n-bg                	       0        4        3        1        0
42025 libreoffice-l10n-bn                	       0        2        2        0        0
42026 libreoffice-l10n-br                	       0        1        1        0        0
42027 libreoffice-l10n-bs                	       0        2        2        0        0
42028 libreoffice-l10n-cy                	       0        2        2        0        0
42029 libreoffice-l10n-da                	       0       11       11        0        0
42030 libreoffice-l10n-dz                	       0        3        3        0        0
42031 libreoffice-l10n-el                	       0       23       22        1        0
42032 libreoffice-l10n-eo                	       0        6        5        1        0
42033 libreoffice-l10n-et                	       0        4        4        0        0
42034 libreoffice-l10n-eu                	       0        4        4        0        0
42035 libreoffice-l10n-fa                	       0        2        2        0        0
42036 libreoffice-l10n-fi                	       0        8        8        0        0
42037 libreoffice-l10n-ga                	       0        2        2        0        0
42038 libreoffice-l10n-gd                	       0        1        1        0        0
42039 libreoffice-l10n-gl                	       0        3        3        0        0
42040 libreoffice-l10n-gu                	       0        2        2        0        0
42041 libreoffice-l10n-gug               	       0        1        1        0        0
42042 libreoffice-l10n-he                	       0        4        4        0        0
42043 libreoffice-l10n-hi                	       0        3        3        0        0
42044 libreoffice-l10n-hr                	       0        3        3        0        0
42045 libreoffice-l10n-id                	       0        3        3        0        0
42046 libreoffice-l10n-is                	       0        2        2        0        0
42047 libreoffice-l10n-ja                	       0       34       31        3        0
42048 libreoffice-l10n-ka                	       0        2        2        0        0
42049 libreoffice-l10n-kk                	       0        1        1        0        0
42050 libreoffice-l10n-km                	       0        3        3        0        0
42051 libreoffice-l10n-kmr               	       0        1        1        0        0
42052 libreoffice-l10n-kn                	       0        1        1        0        0
42053 libreoffice-l10n-ko                	       0        8        6        2        0
42054 libreoffice-l10n-lt                	       0        2        2        0        0
42055 libreoffice-l10n-lv                	       0        2        2        0        0
42056 libreoffice-l10n-mk                	       0        2        2        0        0
42057 libreoffice-l10n-ml                	       0        2        2        0        0
42058 libreoffice-l10n-mn                	       0        1        1        0        0
42059 libreoffice-l10n-mr                	       0        2        2        0        0
42060 libreoffice-l10n-nb                	       0       40       35        5        0
42061 libreoffice-l10n-ne                	       0        2        2        0        0
42062 libreoffice-l10n-nn                	       0       40       35        5        0
42063 libreoffice-l10n-nr                	       0        1        1        0        0
42064 libreoffice-l10n-nso               	       0        1        1        0        0
42065 libreoffice-l10n-oc                	       0        1        1        0        0
42066 libreoffice-l10n-om                	       0        2        2        0        0
42067 libreoffice-l10n-or                	       0        1        1        0        0
42068 libreoffice-l10n-pa-in             	       0        2        2        0        0
42069 libreoffice-l10n-pt                	       0        4        4        0        0
42070 libreoffice-l10n-ro                	       0        6        6        0        0
42071 libreoffice-l10n-rw                	       0        1        1        0        0
42072 libreoffice-l10n-si                	       0        2        2        0        0
42073 libreoffice-l10n-sk                	       0        6        6        0        0
42074 libreoffice-l10n-sl                	       0        3        3        0        0
42075 libreoffice-l10n-sr                	       0        2        2        0        0
42076 libreoffice-l10n-ss                	       0        1        1        0        0
42077 libreoffice-l10n-st                	       0        1        1        0        0
42078 libreoffice-l10n-sv                	       0       15       15        0        0
42079 libreoffice-l10n-szl               	       0        1        1        0        0
42080 libreoffice-l10n-ta                	       0        2        2        0        0
42081 libreoffice-l10n-te                	       0        2        2        0        0
42082 libreoffice-l10n-tg                	       0        1        1        0        0
42083 libreoffice-l10n-th                	       0        2        2        0        0
42084 libreoffice-l10n-tn                	       0        1        1        0        0
42085 libreoffice-l10n-tr                	       0        9        9        0        0
42086 libreoffice-l10n-ts                	       0        1        1        0        0
42087 libreoffice-l10n-ug                	       0        2        2        0        0
42088 libreoffice-l10n-uk                	       0       13       13        0        0
42089 libreoffice-l10n-uz                	       0        1        1        0        0
42090 libreoffice-l10n-ve                	       0        1        1        0        0
42091 libreoffice-l10n-vi                	       0        3        3        0        0
42092 libreoffice-l10n-xh                	       0        2        2        0        0
42093 libreoffice-l10n-zh-cn             	       0        6        5        1        0
42094 libreoffice-l10n-zh-tw             	       0        4        4        0        0
42095 libreoffice-l10n-zu                	       0        1        1        0        0
42096 libreoffice-lightproof-hu          	       0        4        4        0        0
42097 libreoffice-lightproof-pt-br       	       0        3        3        0        0
42098 libreoffice-mysql-connector        	       0        3        0        0        3
42099 libreoffice-officebean             	       0        1        1        0        0
42100 libreoffice-parlatype              	       0        1        1        0        0
42101 libreoffice-smoketest-data         	       0        2        1        1        0
42102 libreoffice-style-crystal          	       0        1        0        0        1
42103 libreoffice-style-hicontrast       	       0        2        1        0        1
42104 libreoffice-style-oxygen           	       0        4        3        0        1
42105 libreoffice-style-sifr             	       0       31       28        3        0
42106 libreoffice-subsequentcheckbase    	       0        1        1        0        0
42107 libreoffice-systray                	       0        3        3        0        0
42108 libreoffice-tango-iconset          	       0        1        1        0        0
42109 libreoffice-writer-nogui           	       0        3        2        1        0
42110 libreoffice24.2                    	       0        3        0        0        3
42111 libreoffice24.2-base               	       0        3        0        0        3
42112 libreoffice24.2-calc               	       0        3        0        0        3
42113 libreoffice24.2-de                 	       0        1        0        0        1
42114 libreoffice24.2-debian-menus       	       0        5        5        0        0
42115 libreoffice24.2-dict-de            	       0        1        0        0        1
42116 libreoffice24.2-dict-en            	       0        3        0        0        3
42117 libreoffice24.2-dict-es            	       0        3        0        0        3
42118 libreoffice24.2-dict-fr            	       0        3        0        0        3
42119 libreoffice24.2-draw               	       0        3        0        0        3
42120 libreoffice24.2-en-gb              	       0        1        0        0        1
42121 libreoffice24.2-en-us              	       0        3        0        0        3
42122 libreoffice24.2-fr                 	       0        1        0        0        1
42123 libreoffice24.2-impress            	       0        3        0        0        3
42124 libreoffice24.2-math               	       0        3        0        0        3
42125 libreoffice24.2-ure                	       0        5        0        0        5
42126 libreoffice24.2-writer             	       0        3        0        0        3
42127 libreoffice24.8                    	       0       11        1        0       10
42128 libreoffice24.8-base               	       0       10        0        0       10
42129 libreoffice24.8-calc               	       0       11        0        0       11
42130 libreoffice24.8-de                 	       0        2        0        0        2
42131 libreoffice24.8-debian-menus       	       0       11       11        0        0
42132 libreoffice24.8-dict-de            	       0        2        0        0        2
42133 libreoffice24.8-dict-el            	       0        1        0        0        1
42134 libreoffice24.8-dict-en            	       0       11        0        0       11
42135 libreoffice24.8-dict-es            	       0       10        0        0       10
42136 libreoffice24.8-dict-fr            	       0       10        0        0       10
42137 libreoffice24.8-draw               	       0       10        0        0       10
42138 libreoffice24.8-el                 	       0        1        0        0        1
42139 libreoffice24.8-en-gb              	       0        3        0        0        3
42140 libreoffice24.8-en-us              	       0       11        0        0       11
42141 libreoffice24.8-fr                 	       0        2        0        0        2
42142 libreoffice24.8-impress            	       0       10        0        0       10
42143 libreoffice24.8-math               	       0       10        0        0       10
42144 libreoffice24.8-ure                	       0       11        1        0       10
42145 libreoffice24.8-writer             	       0       11        0        0       11
42146 libreoffice25.2                    	       0        2        0        0        2
42147 libreoffice25.2-base               	       0        2        0        0        2
42148 libreoffice25.2-calc               	       0        2        0        0        2
42149 libreoffice25.2-dict-en            	       0        2        0        0        2
42150 libreoffice25.2-dict-es            	       0        2        0        0        2
42151 libreoffice25.2-dict-fr            	       0        2        0        0        2
42152 libreoffice25.2-draw               	       0        2        0        0        2
42153 libreoffice25.2-en-us              	       0        2        0        0        2
42154 libreoffice25.2-impress            	       0        2        0        0        2
42155 libreoffice25.2-math               	       0        2        0        0        2
42156 libreoffice25.2-ure                	       0        2        0        0        2
42157 libreoffice25.2-writer             	       0        2        0        0        2
42158 libreoffice4.3                     	       0        1        0        0        1
42159 libreoffice4.3-base                	       0        1        0        0        1
42160 libreoffice4.3-calc                	       0        1        0        0        1
42161 libreoffice4.3-debian-menus        	       0        1        1        0        0
42162 libreoffice4.3-dict-en             	       0        1        0        0        1
42163 libreoffice4.3-dict-es             	       0        1        0        0        1
42164 libreoffice4.3-dict-fr             	       0        1        0        0        1
42165 libreoffice4.3-draw                	       0        1        0        0        1
42166 libreoffice4.3-en-us               	       0        1        0        0        1
42167 libreoffice4.3-impress             	       0        1        0        0        1
42168 libreoffice4.3-math                	       0        1        0        0        1
42169 libreoffice4.3-ure                 	       0        1        0        0        1
42170 libreoffice4.3-writer              	       0        1        0        0        1
42171 libreoffice5.0                     	       0        1        0        0        1
42172 libreoffice5.0-base                	       0        1        0        0        1
42173 libreoffice5.0-calc                	       0        1        0        0        1
42174 libreoffice5.0-debian-menus        	       0        1        1        0        0
42175 libreoffice5.0-dict-en             	       0        1        0        0        1
42176 libreoffice5.0-dict-es             	       0        1        0        0        1
42177 libreoffice5.0-dict-fr             	       0        1        0        0        1
42178 libreoffice5.0-draw                	       0        1        0        0        1
42179 libreoffice5.0-en-us               	       0        1        0        0        1
42180 libreoffice5.0-impress             	       0        1        0        0        1
42181 libreoffice5.0-math                	       0        1        0        0        1
42182 libreoffice5.0-ure                 	       0        1        0        0        1
42183 libreoffice5.0-writer              	       0        1        0        0        1
42184 libreoffice5.1                     	       0        1        0        0        1
42185 libreoffice5.1-base                	       0        1        0        0        1
42186 libreoffice5.1-calc                	       0        1        0        0        1
42187 libreoffice5.1-dict-en             	       0        1        0        0        1
42188 libreoffice5.1-dict-es             	       0        1        0        0        1
42189 libreoffice5.1-dict-fr             	       0        1        0        0        1
42190 libreoffice5.1-draw                	       0        1        0        0        1
42191 libreoffice5.1-en-us               	       0        1        0        0        1
42192 libreoffice5.1-impress             	       0        1        0        0        1
42193 libreoffice5.1-math                	       0        1        0        0        1
42194 libreoffice5.1-ure                 	       0        1        0        0        1
42195 libreoffice5.1-writer              	       0        1        0        0        1
42196 libreoffice5.2                     	       0        1        0        0        1
42197 libreoffice5.2-base                	       0        1        0        0        1
42198 libreoffice5.2-calc                	       0        1        0        0        1
42199 libreoffice5.2-debian-menus        	       0        1        1        0        0
42200 libreoffice5.2-dict-en             	       0        1        0        0        1
42201 libreoffice5.2-dict-es             	       0        1        0        0        1
42202 libreoffice5.2-dict-fr             	       0        1        0        0        1
42203 libreoffice5.2-draw                	       0        1        0        0        1
42204 libreoffice5.2-en-us               	       0        1        0        0        1
42205 libreoffice5.2-impress             	       0        1        0        0        1
42206 libreoffice5.2-math                	       0        1        0        0        1
42207 libreoffice5.2-ure                 	       0        1        0        0        1
42208 libreoffice5.2-writer              	       0        1        0        0        1
42209 libreoffice5.4-debian-menus        	       0        1        1        0        0
42210 libreoffice6.0                     	       0        2        0        0        2
42211 libreoffice6.0-base                	       0        2        0        0        2
42212 libreoffice6.0-calc                	       0        2        0        0        2
42213 libreoffice6.0-debian-menus        	       0        3        3        0        0
42214 libreoffice6.0-dict-en             	       0        2        0        0        2
42215 libreoffice6.0-dict-es             	       0        2        0        0        2
42216 libreoffice6.0-dict-fr             	       0        2        0        0        2
42217 libreoffice6.0-draw                	       0        2        0        0        2
42218 libreoffice6.0-en-us               	       0        2        0        0        2
42219 libreoffice6.0-impress             	       0        2        0        0        2
42220 libreoffice6.0-math                	       0        2        0        0        2
42221 libreoffice6.0-ure                 	       0        3        0        0        3
42222 libreoffice6.0-writer              	       0        2        0        0        2
42223 libreoffice6.1-debian-menus        	       0        1        1        0        0
42224 libreoffice6.1-ure                 	       0        1        0        0        1
42225 libreoffice6.2                     	       0        2        0        0        2
42226 libreoffice6.2-base                	       0        2        0        0        2
42227 libreoffice6.2-calc                	       0        2        0        0        2
42228 libreoffice6.2-debian-menus        	       0        2        2        0        0
42229 libreoffice6.2-dict-en             	       0        2        0        0        2
42230 libreoffice6.2-dict-es             	       0        2        0        0        2
42231 libreoffice6.2-dict-fr             	       0        2        0        0        2
42232 libreoffice6.2-draw                	       0        2        0        0        2
42233 libreoffice6.2-en-us               	       0        2        0        0        2
42234 libreoffice6.2-impress             	       0        2        0        0        2
42235 libreoffice6.2-math                	       0        2        0        0        2
42236 libreoffice6.2-ure                 	       0        2        0        0        2
42237 libreoffice6.2-writer              	       0        2        0        0        2
42238 libreoffice6.3                     	       0        3        0        0        3
42239 libreoffice6.3-base                	       0        3        0        0        3
42240 libreoffice6.3-calc                	       0        3        0        0        3
42241 libreoffice6.3-debian-menus        	       0        3        3        0        0
42242 libreoffice6.3-dict-en             	       0        3        0        0        3
42243 libreoffice6.3-dict-es             	       0        2        0        0        2
42244 libreoffice6.3-dict-fr             	       0        2        0        0        2
42245 libreoffice6.3-draw                	       0        3        0        0        3
42246 libreoffice6.3-en-us               	       0        3        0        0        3
42247 libreoffice6.3-impress             	       0        3        0        0        3
42248 libreoffice6.3-math                	       0        3        0        0        3
42249 libreoffice6.3-ure                 	       0        3        0        0        3
42250 libreoffice6.3-writer              	       0        3        0        0        3
42251 libreoffice6.4                     	       0        4        0        0        4
42252 libreoffice6.4-base                	       0        4        0        0        4
42253 libreoffice6.4-calc                	       0        4        0        0        4
42254 libreoffice6.4-debian-menus        	       0        5        5        0        0
42255 libreoffice6.4-dict-en             	       0        4        0        0        4
42256 libreoffice6.4-dict-es             	       0        4        0        0        4
42257 libreoffice6.4-dict-fr             	       0        4        0        0        4
42258 libreoffice6.4-dict-ru             	       0        1        0        0        1
42259 libreoffice6.4-draw                	       0        4        0        0        4
42260 libreoffice6.4-en-us               	       0        4        0        0        4
42261 libreoffice6.4-fr                  	       0        1        0        0        1
42262 libreoffice6.4-impress             	       0        4        0        0        4
42263 libreoffice6.4-math                	       0        4        0        0        4
42264 libreoffice6.4-ru                  	       0        1        0        0        1
42265 libreoffice6.4-ure                 	       0        4        0        0        4
42266 libreoffice6.4-writer              	       0        4        0        0        4
42267 libreoffice7.1                     	       0        2        0        0        2
42268 libreoffice7.1-base                	       0        2        0        0        2
42269 libreoffice7.1-calc                	       0        2        0        0        2
42270 libreoffice7.1-debian-menus        	       0        2        2        0        0
42271 libreoffice7.1-dict-en             	       0        2        0        0        2
42272 libreoffice7.1-dict-es             	       0        2        0        0        2
42273 libreoffice7.1-dict-fr             	       0        2        0        0        2
42274 libreoffice7.1-draw                	       0        2        0        0        2
42275 libreoffice7.1-en-us               	       0        2        0        0        2
42276 libreoffice7.1-impress             	       0        2        0        0        2
42277 libreoffice7.1-math                	       0        2        0        0        2
42278 libreoffice7.1-ure                 	       0        2        0        0        2
42279 libreoffice7.1-writer              	       0        2        0        0        2
42280 libreoffice7.2-debian-menus        	       0        1        1        0        0
42281 libreoffice7.2-ure                 	       0        1        0        0        1
42282 libreoffice7.3                     	       0        1        0        0        1
42283 libreoffice7.3-base                	       0        1        0        0        1
42284 libreoffice7.3-calc                	       0        1        0        0        1
42285 libreoffice7.3-debian-menus        	       0        1        1        0        0
42286 libreoffice7.3-dict-en             	       0        1        0        0        1
42287 libreoffice7.3-dict-es             	       0        1        0        0        1
42288 libreoffice7.3-dict-fr             	       0        1        0        0        1
42289 libreoffice7.3-dict-ru             	       0        1        0        0        1
42290 libreoffice7.3-draw                	       0        1        0        0        1
42291 libreoffice7.3-en-us               	       0        1        0        0        1
42292 libreoffice7.3-impress             	       0        1        0        0        1
42293 libreoffice7.3-math                	       0        1        0        0        1
42294 libreoffice7.3-ru                  	       0        1        0        0        1
42295 libreoffice7.3-ure                 	       0        1        0        0        1
42296 libreoffice7.3-writer              	       0        1        0        0        1
42297 libreoffice7.4                     	       0       10        0        0       10
42298 libreoffice7.4-calc                	       0       10        0        0       10
42299 libreoffice7.4-debian-menus        	       0       10       10        0        0
42300 libreoffice7.4-draw                	       0       10        0        0       10
42301 libreoffice7.4-impress             	       0       10        0        0       10
42302 libreoffice7.4-it                  	       0       10        0        0       10
42303 libreoffice7.4-ure                 	       0       11        0        0       11
42304 libreoffice7.4-writer              	       0       10        0        0       10
42305 libreoffice7.5                     	       0        4        0        0        4
42306 libreoffice7.5-base                	       0        4        0        0        4
42307 libreoffice7.5-calc                	       0        4        0        0        4
42308 libreoffice7.5-debian-menus        	       0        5        5        0        0
42309 libreoffice7.5-dict-en             	       0        4        0        0        4
42310 libreoffice7.5-dict-es             	       0        4        0        0        4
42311 libreoffice7.5-dict-fr             	       0        4        0        0        4
42312 libreoffice7.5-dict-nl             	       0        1        0        0        1
42313 libreoffice7.5-draw                	       0        4        0        0        4
42314 libreoffice7.5-en-us               	       0        4        0        0        4
42315 libreoffice7.5-impress             	       0        4        0        0        4
42316 libreoffice7.5-math                	       0        4        0        0        4
42317 libreoffice7.5-nl                  	       0        1        0        0        1
42318 libreoffice7.5-ure                 	       0        6        0        0        6
42319 libreoffice7.5-writer              	       0        4        0        0        4
42320 libreoffice7.6                     	       0        3        0        0        3
42321 libreoffice7.6-base                	       0        3        0        0        3
42322 libreoffice7.6-calc                	       0        3        0        0        3
42323 libreoffice7.6-debian-menus        	       0        4        4        0        0
42324 libreoffice7.6-dict-en             	       0        3        0        0        3
42325 libreoffice7.6-dict-es             	       0        3        0        0        3
42326 libreoffice7.6-dict-fr             	       0        3        0        0        3
42327 libreoffice7.6-draw                	       0        3        0        0        3
42328 libreoffice7.6-en-us               	       0        3        0        0        3
42329 libreoffice7.6-impress             	       0        3        0        0        3
42330 libreoffice7.6-math                	       0        3        0        0        3
42331 libreoffice7.6-ure                 	       0        5        0        0        5
42332 libreoffice7.6-writer              	       0        3        0        0        3
42333 libreofficebox-keyring             	       0        1        0        0        1
42334 libreofficekit-dev                 	       0        1        1        0        0
42335 librep-dev                         	       0        3        3        0        0
42336 librep16                           	       0       18        2        0       16
42337 librepfunc1                        	       0        1        0        0        1
42338 libreplaygain-dev                  	       0        2        2        0        0
42339 libreplaygain1                     	       0       36        0        0       36
42340 librepo0                           	       0        3        0        0        3
42341 librepository-java                 	       0      733        0        0      733
42342 librepository-java-doc             	       0        6        0        0        6
42343 libresample1-dev                   	       0       12       12        0        0
42344 libresid-builder-dev               	       0       12       12        0        0
42345 libresolv-wrapper                  	       0        5        0        0        5
42346 libresource-retriever-dev          	       0        1        1        0        0
42347 libresource-retriever0d            	       0        1        0        0        1
42348 libressl                           	       0        1        1        0        0
42349 librest-application-perl           	       0        1        1        0        0
42350 librest-dev                        	       0        2        2        0        0
42351 librest-doc                        	       0        1        0        0        1
42352 librest-extras-0.7-0               	       0        7        0        0        7
42353 librest-extras-1.0-0               	       0        1        0        0        1
42354 librest-extras-dev                 	       0        1        1        0        0
42355 librestbed0                        	       0        4        0        0        4
42356 libresult-ocaml                    	       0        1        1        0        0
42357 libreswan                          	       0        1        1        0        0
42358 libretro-beetle-pce-fast           	       0        4        0        0        4
42359 libretro-beetle-psx                	       0        5        0        0        5
42360 libretro-beetle-vb                 	       0        4        0        0        4
42361 libretro-beetle-wswan              	       0        4        0        0        4
42362 libretro-bsnes-mercury-accuracy    	       0        8        0        0        8
42363 libretro-bsnes-mercury-balanced    	       0        5        0        0        5
42364 libretro-bsnes-mercury-performance 	       0        6        0        0        6
42365 libretro-core-info                 	       0       26        0        0       26
42366 libretro-desmume                   	       0        4        0        0        4
42367 libretro-gambatte                  	       0        4        0        0        4
42368 libretro-genesisplusgx             	       0        1        0        0        1
42369 libretro-gtk-1-0                   	       0        4        0        0        4
42370 libretro-gtk-1-dev                 	       0        3        3        0        0
42371 libretro-mgba                      	       0        5        0        0        5
42372 libretro-mupen64plus               	       0        1        0        0        1
42373 libretro-nestopia                  	       0        6        0        0        6
42374 libretro-snes9x                    	       0        7        0        0        7
42375 libreturn-multilevel-perl          	       0        1        1        0        0
42376 libreturn-type-perl                	       0        1        1        0        0
42377 libreturn-value-perl               	       0        1        1        0        0
42378 librevenge-dev                     	       0        7        7        0        0
42379 librevm0                           	       0        1        0        0        1
42380 librexml-ruby                      	       0        1        0        0        1
42381 librg-exception-perl               	       0        1        1        0        0
42382 librg-reprof-bundle-perl           	       0        1        1        0        0
42383 librg-utils-perl                   	       0        1        1        0        0
42384 librgw2                            	       0        5        0        0        5
42385 librgxg0                           	       0        1        0        0        1
42386 librhash-dev                       	       0       14       14        0        0
42387 librhash0                          	       0      628        1        0      627
42388 librhash0-dbgsym                   	       0        1        1        0        0
42389 librhash1                          	       0       57        0        0       57
42390 librhino-java                      	       0      271        0        0      271
42391 librhino-java-doc                  	       0        4        0        0        4
42392 librhonabwy0.9                     	       0        1        0        0        1
42393 librhonabwy1.1                     	       0        1        0        0        1
42394 librhvoice-audio2                  	       0        3        1        0        2
42395 librhvoice-core7                   	       0        3        1        0        2
42396 librhythmbox-core8                 	       0        4        0        0        4
42397 librhythmbox-core9                 	       0        1        0        0        1
42398 libriemann-client-dev              	       0        2        2        0        0
42399 libriemann-client0                 	       0       40        0        0       40
42400 librime-bin                        	       0        6        6        0        0
42401 librime-data                       	       0        6        0        0        6
42402 librime-data-array30               	       0        1        0        0        1
42403 librime-data-bopomofo              	       0        3        0        0        3
42404 librime-data-cangjie5              	       0        2        0        0        2
42405 librime-data-combo-pinyin          	       0        1        0        0        1
42406 librime-data-double-pinyin         	       0        1        0        0        1
42407 librime-data-emoji                 	       0        1        0        0        1
42408 librime-data-ipa-xsampa            	       0        1        0        0        1
42409 librime-data-ipa-yunlong           	       0        1        0        0        1
42410 librime-data-jyutping              	       0        1        0        0        1
42411 librime-data-luna-pinyin           	       0        2        0        0        2
42412 librime-data-pinyin-simp           	       0        1        0        0        1
42413 librime-data-quick5                	       0        1        0        0        1
42414 librime-data-sampheng              	       0        1        0        0        1
42415 librime-data-scj6                  	       0        1        0        0        1
42416 librime-data-soutzoe               	       0        1        0        0        1
42417 librime-data-stenotype             	       0        1        0        0        1
42418 librime-data-stroke                	       0        2        0        0        2
42419 librime-data-terra-pinyin          	       0        2        0        0        2
42420 librime-data-wubi                  	       0        1        0        0        1
42421 librime-data-wugniu                	       0        1        0        0        1
42422 librime-data-zyenpheng             	       0        1        0        0        1
42423 librime-plugin-charcode            	       0        3        1        0        2
42424 librime-plugin-lua                 	       0        3        1        0        2
42425 librime-plugin-octagram            	       0        3        1        0        2
42426 librime1                           	       0        6        2        0        4
42427 libring-anti-forgery-clojure       	       0        1        0        0        1
42428 libring-codec-clojure              	       0        2        0        0        2
42429 libring-core-clojure               	       0        2        0        0        2
42430 libring-defaults-clojure           	       0        1        0        0        1
42431 libring-headers-clojure            	       0        1        0        0        1
42432 libring-json-clojure               	       0        1        0        0        1
42433 libring-mock-clojure               	       0        1        0        0        1
42434 libring-servlet-clojure            	       0        1        0        0        1
42435 libring-ssl-clojure                	       0        1        0        0        1
42436 librist-dev                        	       0        9        8        1        0
42437 librlog1c2a                        	       0        1        0        0        1
42438 librlog5                           	       0        2        0        0        2
42439 librlog5v5                         	       0        8        0        0        8
42440 librnd3                            	       0       10        0        0       10
42441 librnd3-cloud                      	       0        9        9        0        0
42442 librnd3-hid-gtk2-gdk               	       0        9        9        0        0
42443 librnd3-hid-gtk2-gl                	       0        9        9        0        0
42444 librnd3-lib-gl                     	       0        9        9        0        0
42445 librnd3-lib-gtk                    	       0        9        9        0        0
42446 librnd3-lib-gui                    	       0        9        9        0        0
42447 librnd3-pixmap                     	       0        9        9        0        0
42448 librnd4-cloud                      	       0        3        2        1        0
42449 librnd4-dev                        	       0        1        0        1        0
42450 librnd4-hid-gtk4-gl                	       0        3        2        1        0
42451 librnd4-lib-gl                     	       0        3        2        1        0
42452 librnd4-lib-gui                    	       0        3        2        1        0
42453 librnd4-pixmap                     	       0        3        2        1        0
42454 librnd4t64                         	       0        3        0        0        3
42455 librngom-java                      	       0      335        0        0      335
42456 librnnoise-dev                     	       0        1        1        0        0
42457 librnnoise0                        	       0        1        0        0        1
42458 libroar-compat-tools               	       0        1        1        0        0
42459 libroar-compat1                    	       0        1        1        0        0
42460 libroar-compat2                    	       0        5        0        0        5
42461 libroar-dev                        	       0        1        1        0        0
42462 libroar-plugins-universal          	       0        1        0        0        1
42463 libroar1                           	       0        1        0        0        1
42464 libroar2                           	       0       11        0        0       11
42465 libroaring-dev                     	       0        1        1        0        0
42466 libroaring0                        	       0        1        0        0        1
42467 libroc0.3                          	       0       12        0        0       12
42468 libroc0.4                          	       0      112        0        0      112
42469 librocksdb7.8                      	       0        1        0        0        1
42470 librocm-smi-dev                    	       0        3        3        0        0
42471 librocm-smi64-1                    	       0       12        0        0       12
42472 librocrand1                        	       0        1        0        0        1
42473 librocsparse0                      	       0        1        0        0        1
42474 libroken18-heimdal                 	       0       47        0        0       47
42475 libroken19t64-heimdal              	       0        2        0        0        2
42476 librole-basic-perl                 	       0        1        1        0        0
42477 librole-commons-perl               	       0        4        4        0        0
42478 librole-eventemitter-perl          	       0        1        1        0        0
42479 librole-hasmessage-perl            	       0        1        1        0        0
42480 librole-identifiable-perl          	       0        1        1        0        0
42481 libroman-perl                      	       0       17       17        0        0
42482 librome-java                       	       0        1        0        0        1
42483 libros-rosgraph-msgs-dev           	       0        3        3        0        0
42484 librosbag-dev                      	       0        1        1        0        0
42485 librosbag-storage-dev              	       0        1        1        0        0
42486 librosbag-storage4d                	       0        1        0        0        1
42487 librosbag4d                        	       0        1        0        0        1
42488 librosconsole-bridge-dev           	       0        1        1        0        0
42489 librosconsole-bridge0d             	       0        1        0        0        1
42490 librosconsole-dev                  	       0        3        3        0        0
42491 librosconsole3d                    	       0        4        0        0        4
42492 libroscpp-core-dev                 	       0        3        3        0        0
42493 libroscpp-dev                      	       0        3        3        0        0
42494 libroscpp-msg-dev                  	       0        3        3        0        0
42495 libroscpp-serialization0d          	       0        4        0        0        4
42496 libroscpp3d                        	       0        2        0        0        2
42497 libroscpp4d                        	       0        3        0        0        3
42498 librose-datetime-perl              	       0        1        1        0        0
42499 librose-object-perl                	       0        2        2        0        0
42500 librose-uri-perl                   	       0        2        2        0        0
42501 libroslib-dev                      	       0        1        1        0        0
42502 libroslib0d                        	       0        2        0        0        2
42503 libroslz4-1d                       	       0        1        0        0        1
42504 libroslz4-dev                      	       0        1        1        0        0
42505 librospack-dev                     	       0        1        1        0        0
42506 librospack0d                       	       0        4        0        0        4
42507 librostest-dev                     	       0        1        1        0        0
42508 librostime1d                       	       0        4        0        0        4
42509 librouter-simple-perl              	       0        4        4        0        0
42510 libroutermanager0                  	       0        2        0        0        2
42511 libroutino-slim0                   	       0       22        0        0       22
42512 libroutino0                        	       0       22        0        0       22
42513 librpc-xml-perl                    	       0       10       10        0        0
42514 librpcsecgss-dev                   	       0        1        1        0        0
42515 librpcsecgss3                      	       0       28        0        0       28
42516 librplay3-dev                      	       0        1        1        0        0
42517 librpm-dev                         	       0        2        2        0        0
42518 librpm10                           	       0       41        0        0       41
42519 librpm3                            	       0       33        0        0       33
42520 librpm8                            	       0       24        0        0       24
42521 librpm9                            	       0      275        0        0      275
42522 librpm9t64                         	       0        1        0        0        1
42523 librpmbuild10                      	       0       21        0        0       21
42524 librpmbuild3                       	       0       26        0        0       26
42525 librpmbuild8                       	       0       19        0        0       19
42526 librpmbuild9                       	       0      186        0        0      186
42527 librpmbuild9t64                    	       0        1        0        0        1
42528 librpmio10                         	       0       41        0        0       41
42529 librpmio3                          	       0       33        0        0       33
42530 librpmio8                          	       0       24        0        0       24
42531 librpmio9                          	       0      277        0        0      277
42532 librpmio9t64                       	       0        1        0        0        1
42533 librpmsign1                        	       0        5        0        0        5
42534 librpmsign10                       	       0       34        0        0       34
42535 librpmsign3                        	       0       15        0        0       15
42536 librpmsign8                        	       0       19        0        0       19
42537 librpmsign9                        	       0      186        0        0      186
42538 librpmsign9t64                     	       0        1        0        0        1
42539 librqrcode-ruby1.9                 	       0        1        0        0        1
42540 librrd-dev                         	       0        5        5        0        0
42541 librrd-ruby1.9.1                   	       0        2        0        0        2
42542 librrd2                            	       0        1        0        0        1
42543 librrd8t64                         	       0        8        1        0        7
42544 librrdp-perl                       	       0        1        1        0        0
42545 librrdtool-oo-perl                 	       0        1        1        0        0
42546 librresult-ocaml                   	       0        1        1        0        0
42547 librresult-ocaml-dev               	       0        1        1        0        0
42548 librsb-dev                         	       0        2        2        0        0
42549 librsb0                            	       0        2        0        0        2
42550 librsb0t64                         	       0        1        0        0        1
42551 librsl-dev                         	       0        1        1        0        0
42552 librsl1                            	       0        3        0        0        3
42553 librspec-ruby1.9.1                 	       0        1        0        0        1
42554 librsplib3                         	       0        2        0        0        2
42555 librss1-trinity                    	       0       26        0        0       26
42556 librsskit-dev                      	       0        1        1        0        0
42557 librsskit0d                        	       0        3        3        0        0
42558 librsvg2-doc                       	       0        3        0        0        3
42559 librsvg2-tests                     	       0        1        0        0        1
42560 librsync-dev                       	       0        5        5        0        0
42561 librsync1                          	       0       32        0        0       32
42562 librsync2                          	       0      352       10        0      342
42563 librsyntaxtextarea-java            	       0       90        0        0       90
42564 librt-client-rest-perl             	       0        1        1        0        0
42565 librtas2                           	       0        3        0        0        3
42566 librtasevent2                      	       0        3        0        0        3
42567 librtaudio-dev                     	       0       13       13        0        0
42568 librtaudio5                        	       0        1        0        0        1
42569 librtaudio5a                       	       0       10        0        0       10
42570 librtaudio6                        	       0      287        4        0      283
42571 librtaudio7                        	       0       36        1        0       35
42572 librte-acl18.11                    	       0        5        0        0        5
42573 librte-acl2                        	       0        1        0        0        1
42574 librte-acl23                       	       0        2        0        0        2
42575 librte-baseband-acc23              	       0        2        0        0        2
42576 librte-baseband-fpga-5gnr-fec23    	       0        2        0        0        2
42577 librte-baseband-fpga-lte-fec23     	       0        2        0        0        2
42578 librte-baseband-la12xx23           	       0        2        0        0        2
42579 librte-baseband-null23             	       0        2        0        0        2
42580 librte-baseband-turbo-sw23         	       0        2        0        0        2
42581 librte-bbdev18.11                  	       0        5        0        0        5
42582 librte-bbdev23                     	       0        2        0        0        2
42583 librte-bitratestats18.11           	       0        5        0        0        5
42584 librte-bitratestats23              	       0        2        0        0        2
42585 librte-bpf18.11                    	       0        5        0        0        5
42586 librte-bpf23                       	       0        2        0        0        2
42587 librte-bus-auxiliary23             	       0        2        0        0        2
42588 librte-bus-dpaa18.11               	       0        1        0        0        1
42589 librte-bus-dpaa23                  	       0        2        0        0        2
42590 librte-bus-fslmc18.11              	       0        1        0        0        1
42591 librte-bus-fslmc23                 	       0        2        0        0        2
42592 librte-bus-ifpga18.11              	       0        1        0        0        1
42593 librte-bus-ifpga23                 	       0        2        0        0        2
42594 librte-bus-pci18.11                	       0        1        0        0        1
42595 librte-bus-pci23                   	       0        2        0        0        2
42596 librte-bus-vdev18.11               	       0        1        0        0        1
42597 librte-bus-vdev23                  	       0        2        0        0        2
42598 librte-bus-vmbus18.11              	       0        1        0        0        1
42599 librte-bus-vmbus23                 	       0        2        0        0        2
42600 librte-cfgfile18.11                	       0        5        0        0        5
42601 librte-cfgfile2                    	       0        1        0        0        1
42602 librte-cfgfile23                   	       0        2        0        0        2
42603 librte-cmdline18.11                	       0        5        0        0        5
42604 librte-cmdline2                    	       0        1        0        0        1
42605 librte-cmdline23                   	       0        2        0        0        2
42606 librte-common-cnxk23               	       0        2        0        0        2
42607 librte-common-cpt18.11             	       0        1        0        0        1
42608 librte-common-cpt23                	       0        2        0        0        2
42609 librte-common-dpaax18.11           	       0        1        0        0        1
42610 librte-common-dpaax23              	       0        2        0        0        2
42611 librte-common-iavf23               	       0        2        0        0        2
42612 librte-common-idpf23               	       0        2        0        0        2
42613 librte-common-mlx5-23              	       0        2        0        0        2
42614 librte-common-octeontx18.11        	       0        1        0        0        1
42615 librte-common-octeontx23           	       0        2        0        0        2
42616 librte-common-qat23                	       0        2        0        0        2
42617 librte-common-sfc-efx23            	       0        2        0        0        2
42618 librte-compress-isal23             	       0        2        0        0        2
42619 librte-compress-mlx5-23            	       0        2        0        0        2
42620 librte-compress-octeontx23         	       0        2        0        0        2
42621 librte-compress-zlib23             	       0        2        0        0        2
42622 librte-compressdev18.11            	       0        5        0        0        5
42623 librte-compressdev23               	       0        2        0        0        2
42624 librte-crypto-bcmfs23              	       0        2        0        0        2
42625 librte-crypto-caam-jr23            	       0        2        0        0        2
42626 librte-crypto-ccp23                	       0        2        0        0        2
42627 librte-crypto-cnxk23               	       0        2        0        0        2
42628 librte-crypto-dpaa-sec23           	       0        2        0        0        2
42629 librte-crypto-dpaa2-sec23          	       0        2        0        0        2
42630 librte-crypto-ipsec-mb23           	       0        2        0        0        2
42631 librte-crypto-mlx5-23              	       0        2        0        0        2
42632 librte-crypto-nitrox23             	       0        2        0        0        2
42633 librte-crypto-null23               	       0        2        0        0        2
42634 librte-crypto-octeontx23           	       0        2        0        0        2
42635 librte-crypto-openssl23            	       0        2        0        0        2
42636 librte-crypto-scheduler23          	       0        2        0        0        2
42637 librte-crypto-virtio23             	       0        2        0        0        2
42638 librte-cryptodev18.11              	       0        5        0        0        5
42639 librte-cryptodev2                  	       0        1        0        0        1
42640 librte-cryptodev20.0               	       0        1        0        0        1
42641 librte-cryptodev23                 	       0        2        0        0        2
42642 librte-distributor1                	       0        1        0        0        1
42643 librte-distributor18.11            	       0        5        0        0        5
42644 librte-distributor23               	       0        2        0        0        2
42645 librte-dma-cnxk23                  	       0        2        0        0        2
42646 librte-dma-dpaa2-23                	       0        2        0        0        2
42647 librte-dma-dpaa23                  	       0        2        0        0        2
42648 librte-dma-hisilicon23             	       0        2        0        0        2
42649 librte-dma-idxd23                  	       0        2        0        0        2
42650 librte-dma-ioat23                  	       0        2        0        0        2
42651 librte-dma-skeleton23              	       0        2        0        0        2
42652 librte-dmadev23                    	       0        2        0        0        2
42653 librte-eal18.11                    	       0        5        0        0        5
42654 librte-eal20.0                     	       0        1        0        0        1
42655 librte-eal21                       	       0        1        0        0        1
42656 librte-eal23                       	       0        7        0        0        7
42657 librte-eal3                        	       0        1        0        0        1
42658 librte-efd18.11                    	       0        5        0        0        5
42659 librte-efd23                       	       0        2        0        0        2
42660 librte-ethdev18.11                 	       0        5        0        0        5
42661 librte-ethdev21                    	       0        1        0        0        1
42662 librte-ethdev23                    	       0        7        0        0        7
42663 librte-ethdev5                     	       0        1        0        0        1
42664 librte-event-cnxk23                	       0        2        0        0        2
42665 librte-event-dlb2-23               	       0        2        0        0        2
42666 librte-event-dpaa2-23              	       0        2        0        0        2
42667 librte-event-dpaa23                	       0        2        0        0        2
42668 librte-event-dsw23                 	       0        2        0        0        2
42669 librte-event-octeontx23            	       0        2        0        0        2
42670 librte-event-opdl23                	       0        2        0        0        2
42671 librte-event-skeleton23            	       0        2        0        0        2
42672 librte-event-sw23                  	       0        2        0        0        2
42673 librte-eventdev18.11               	       0        5        0        0        5
42674 librte-eventdev23                  	       0        2        0        0        2
42675 librte-fib23                       	       0        2        0        0        2
42676 librte-flow-classify18.11          	       0        5        0        0        5
42677 librte-gpudev23                    	       0        2        0        0        2
42678 librte-graph23                     	       0        2        0        0        2
42679 librte-gro18.11                    	       0        5        0        0        5
42680 librte-gro23                       	       0        2        0        0        2
42681 librte-gso18.11                    	       0        5        0        0        5
42682 librte-gso23                       	       0        2        0        0        2
42683 librte-hash18.11                   	       0        5        0        0        5
42684 librte-hash2                       	       0        1        0        0        1
42685 librte-hash20.0                    	       0        1        0        0        1
42686 librte-hash23                      	       0        2        0        0        2
42687 librte-ip-frag1                    	       0        1        0        0        1
42688 librte-ip-frag18.11                	       0        5        0        0        5
42689 librte-ip-frag23                   	       0        2        0        0        2
42690 librte-ipsec0.200                  	       0        1        0        0        1
42691 librte-ipsec23                     	       0        2        0        0        2
42692 librte-jobstats1                   	       0        1        0        0        1
42693 librte-jobstats18.11               	       0        5        0        0        5
42694 librte-jobstats23                  	       0        2        0        0        2
42695 librte-kni18.11                    	       0        5        0        0        5
42696 librte-kni2                        	       0        1        0        0        1
42697 librte-kvargs1                     	       0        1        0        0        1
42698 librte-kvargs18.11                 	       0        5        0        0        5
42699 librte-kvargs20.0                  	       0        1        0        0        1
42700 librte-kvargs21                    	       0        1        0        0        1
42701 librte-kvargs23                    	       0        7        0        0        7
42702 librte-latencystats18.11           	       0        5        0        0        5
42703 librte-latencystats23              	       0        2        0        0        2
42704 librte-lpm18.11                    	       0        5        0        0        5
42705 librte-lpm2                        	       0        1        0        0        1
42706 librte-lpm23                       	       0        2        0        0        2
42707 librte-mbuf18.11                   	       0        5        0        0        5
42708 librte-mbuf2                       	       0        1        0        0        1
42709 librte-mbuf20.0                    	       0        1        0        0        1
42710 librte-mbuf21                      	       0        1        0        0        1
42711 librte-mbuf23                      	       0        7        0        0        7
42712 librte-member18.11                 	       0        5        0        0        5
42713 librte-member23                    	       0        2        0        0        2
42714 librte-mempool-bucket18.11         	       0        1        0        0        1
42715 librte-mempool-bucket23            	       0        2        0        0        2
42716 librte-mempool-cnxk23              	       0        2        0        0        2
42717 librte-mempool-dpaa18.11           	       0        1        0        0        1
42718 librte-mempool-dpaa2-18.11         	       0        1        0        0        1
42719 librte-mempool-dpaa2-23            	       0        2        0        0        2
42720 librte-mempool-dpaa23              	       0        2        0        0        2
42721 librte-mempool-octeontx18.11       	       0        1        0        0        1
42722 librte-mempool-octeontx23          	       0        2        0        0        2
42723 librte-mempool-ring18.11           	       0        1        0        0        1
42724 librte-mempool-ring23              	       0        2        0        0        2
42725 librte-mempool-stack18.11          	       0        1        0        0        1
42726 librte-mempool-stack23             	       0        2        0        0        2
42727 librte-mempool18.11                	       0        5        0        0        5
42728 librte-mempool2                    	       0        1        0        0        1
42729 librte-mempool20.0                 	       0        1        0        0        1
42730 librte-mempool21                   	       0        1        0        0        1
42731 librte-mempool23                   	       0        7        0        0        7
42732 librte-meta-all                    	       0        2        0        0        2
42733 librte-meta-allpmds                	       0        2        0        0        2
42734 librte-meta-baseband               	       0        2        0        0        2
42735 librte-meta-bus                    	       0        2        0        0        2
42736 librte-meta-common                 	       0        2        0        0        2
42737 librte-meta-compress               	       0        2        0        0        2
42738 librte-meta-crypto                 	       0        2        0        0        2
42739 librte-meta-dma                    	       0        2        0        0        2
42740 librte-meta-event                  	       0        2        0        0        2
42741 librte-meta-mempool                	       0        2        0        0        2
42742 librte-meta-net                    	       0        2        0        0        2
42743 librte-meta-raw                    	       0        2        0        0        2
42744 librte-meter1                      	       0        1        0        0        1
42745 librte-meter18.11                  	       0        5        0        0        5
42746 librte-meter21                     	       0        1        0        0        1
42747 librte-meter23                     	       0        7        0        0        7
42748 librte-metrics18.11                	       0        5        0        0        5
42749 librte-metrics23                   	       0        2        0        0        2
42750 librte-net-af-packet23             	       0        2        0        0        2
42751 librte-net-af-xdp23                	       0        2        0        0        2
42752 librte-net-ark23                   	       0        2        0        0        2
42753 librte-net-atlantic23              	       0        2        0        0        2
42754 librte-net-avp23                   	       0        2        0        0        2
42755 librte-net-axgbe23                 	       0        2        0        0        2
42756 librte-net-bnx2x23                 	       0        2        0        0        2
42757 librte-net-bnxt23                  	       0        2        0        0        2
42758 librte-net-bond23                  	       0        2        0        0        2
42759 librte-net-cnxk23                  	       0        2        0        0        2
42760 librte-net-cxgbe23                 	       0        2        0        0        2
42761 librte-net-dpaa2-23                	       0        2        0        0        2
42762 librte-net-dpaa23                  	       0        2        0        0        2
42763 librte-net-e1000-23                	       0        2        0        0        2
42764 librte-net-ena23                   	       0        2        0        0        2
42765 librte-net-enetc23                 	       0        2        0        0        2
42766 librte-net-enetfec23               	       0        2        0        0        2
42767 librte-net-enic23                  	       0        2        0        0        2
42768 librte-net-failsafe23              	       0        2        0        0        2
42769 librte-net-fm10k23                 	       0        2        0        0        2
42770 librte-net-gve23                   	       0        2        0        0        2
42771 librte-net-hinic23                 	       0        2        0        0        2
42772 librte-net-hns3-23                 	       0        2        0        0        2
42773 librte-net-i40e23                  	       0        2        0        0        2
42774 librte-net-iavf23                  	       0        2        0        0        2
42775 librte-net-ice23                   	       0        2        0        0        2
42776 librte-net-idpf23                  	       0        2        0        0        2
42777 librte-net-igc23                   	       0        2        0        0        2
42778 librte-net-ionic23                 	       0        2        0        0        2
42779 librte-net-ipn3ke23                	       0        2        0        0        2
42780 librte-net-ixgbe23                 	       0        2        0        0        2
42781 librte-net-liquidio23              	       0        2        0        0        2
42782 librte-net-memif23                 	       0        2        0        0        2
42783 librte-net-mlx4-23                 	       0        2        0        0        2
42784 librte-net-mlx5-23                 	       0        2        0        0        2
42785 librte-net-netvsc23                	       0        2        0        0        2
42786 librte-net-nfp23                   	       0        2        0        0        2
42787 librte-net-ngbe23                  	       0        2        0        0        2
42788 librte-net-null23                  	       0        2        0        0        2
42789 librte-net-octeon-ep23             	       0        2        0        0        2
42790 librte-net-octeontx23              	       0        2        0        0        2
42791 librte-net-pcap23                  	       0        2        0        0        2
42792 librte-net-pfe23                   	       0        2        0        0        2
42793 librte-net-qede23                  	       0        2        0        0        2
42794 librte-net-ring23                  	       0        2        0        0        2
42795 librte-net-sfc23                   	       0        2        0        0        2
42796 librte-net-softnic23               	       0        2        0        0        2
42797 librte-net-tap23                   	       0        2        0        0        2
42798 librte-net-thunderx23              	       0        2        0        0        2
42799 librte-net-txgbe23                 	       0        2        0        0        2
42800 librte-net-vdev-netvsc23           	       0        2        0        0        2
42801 librte-net-vhost23                 	       0        2        0        0        2
42802 librte-net-virtio23                	       0        2        0        0        2
42803 librte-net-vmxnet3-23              	       0        2        0        0        2
42804 librte-net1                        	       0        1        0        0        1
42805 librte-net18.11                    	       0        5        0        0        5
42806 librte-net20.0                     	       0        1        0        0        1
42807 librte-net21                       	       0        1        0        0        1
42808 librte-net23                       	       0        7        0        0        7
42809 librte-node23                      	       0        2        0        0        2
42810 librte-pcapng23                    	       0        3        0        0        3
42811 librte-pci18.11                    	       0        5        0        0        5
42812 librte-pci23                       	       0        2        0        0        2
42813 librte-pdump1                      	       0        1        0        0        1
42814 librte-pdump18.11                  	       0        5        0        0        5
42815 librte-pdump23                     	       0        2        0        0        2
42816 librte-pipeline18.11               	       0        5        0        0        5
42817 librte-pipeline23                  	       0        2        0        0        2
42818 librte-pipeline3                   	       0        1        0        0        1
42819 librte-pmd-aesni-gcm18.11          	       0        1        0        0        1
42820 librte-pmd-aesni-mb18.11           	       0        1        0        0        1
42821 librte-pmd-af-packet1              	       0        1        0        0        1
42822 librte-pmd-af-packet18.11          	       0        1        0        0        1
42823 librte-pmd-ark18.11                	       0        1        0        0        1
42824 librte-pmd-atlantic18.11           	       0        1        0        0        1
42825 librte-pmd-avf18.11                	       0        1        0        0        1
42826 librte-pmd-avp18.11                	       0        1        0        0        1
42827 librte-pmd-axgbe18.11              	       0        1        0        0        1
42828 librte-pmd-bbdev-null18.11         	       0        1        0        0        1
42829 librte-pmd-bnx2x18.11              	       0        1        0        0        1
42830 librte-pmd-bnxt1                   	       0        1        0        0        1
42831 librte-pmd-bnxt18.11               	       0        1        0        0        1
42832 librte-pmd-bond1                   	       0        1        0        0        1
42833 librte-pmd-bond18.11               	       0        1        0        0        1
42834 librte-pmd-caam-jr18.11            	       0        1        0        0        1
42835 librte-pmd-ccp18.11                	       0        1        0        0        1
42836 librte-pmd-crypto-scheduler18.11   	       0        1        0        0        1
42837 librte-pmd-cxgbe1                  	       0        1        0        0        1
42838 librte-pmd-cxgbe18.11              	       0        1        0        0        1
42839 librte-pmd-dpaa-event18.11         	       0        1        0        0        1
42840 librte-pmd-dpaa-sec18.11           	       0        1        0        0        1
42841 librte-pmd-dpaa18.11               	       0        1        0        0        1
42842 librte-pmd-dpaa2-18.11             	       0        1        0        0        1
42843 librte-pmd-dpaa2-cmdif18.11        	       0        1        0        0        1
42844 librte-pmd-dpaa2-event18.11        	       0        1        0        0        1
42845 librte-pmd-dpaa2-qdma18.11         	       0        1        0        0        1
42846 librte-pmd-dpaa2-sec18.11          	       0        1        0        0        1
42847 librte-pmd-dsw-event18.11          	       0        1        0        0        1
42848 librte-pmd-e1000-1                 	       0        1        0        0        1
42849 librte-pmd-e1000-18.11             	       0        1        0        0        1
42850 librte-pmd-ena1                    	       0        1        0        0        1
42851 librte-pmd-ena18.11                	       0        1        0        0        1
42852 librte-pmd-enetc18.11              	       0        1        0        0        1
42853 librte-pmd-enic1                   	       0        1        0        0        1
42854 librte-pmd-enic18.11               	       0        1        0        0        1
42855 librte-pmd-failsafe18.11           	       0        1        0        0        1
42856 librte-pmd-fm10k1                  	       0        1        0        0        1
42857 librte-pmd-fm10k18.11              	       0        1        0        0        1
42858 librte-pmd-i40e1                   	       0        1        0        0        1
42859 librte-pmd-i40e18.11               	       0        1        0        0        1
42860 librte-pmd-ifc18.11                	       0        1        0        0        1
42861 librte-pmd-ifpga-rawdev18.11       	       0        1        0        0        1
42862 librte-pmd-ixgbe1                  	       0        1        0        0        1
42863 librte-pmd-ixgbe18.11              	       0        1        0        0        1
42864 librte-pmd-kni18.11                	       0        1        0        0        1
42865 librte-pmd-liquidio18.11           	       0        1        0        0        1
42866 librte-pmd-mlx4-18.11              	       0        1        0        0        1
42867 librte-pmd-mlx5-18.11              	       0        1        0        0        1
42868 librte-pmd-netvsc18.11             	       0        1        0        0        1
42869 librte-pmd-nfp18.11                	       0        1        0        0        1
42870 librte-pmd-null-crypto1            	       0        1        0        0        1
42871 librte-pmd-null-crypto18.11        	       0        1        0        0        1
42872 librte-pmd-null1                   	       0        1        0        0        1
42873 librte-pmd-null18.11               	       0        1        0        0        1
42874 librte-pmd-octeontx-compress18.11  	       0        1        0        0        1
42875 librte-pmd-octeontx-crypto18.11    	       0        1        0        0        1
42876 librte-pmd-octeontx-event18.11     	       0        1        0        0        1
42877 librte-pmd-octeontx18.11           	       0        1        0        0        1
42878 librte-pmd-opdl-event18.11         	       0        1        0        0        1
42879 librte-pmd-openssl18.11            	       0        1        0        0        1
42880 librte-pmd-pcap1                   	       0        1        0        0        1
42881 librte-pmd-pcap18.11               	       0        1        0        0        1
42882 librte-pmd-qat18.11                	       0        1        0        0        1
42883 librte-pmd-qede1                   	       0        1        0        0        1
42884 librte-pmd-qede18.11               	       0        1        0        0        1
42885 librte-pmd-ring18.11               	       0        1        0        0        1
42886 librte-pmd-ring2                   	       0        1        0        0        1
42887 librte-pmd-sfc18.11                	       0        1        0        0        1
42888 librte-pmd-skeleton-event18.11     	       0        1        0        0        1
42889 librte-pmd-skeleton-rawdev18.11    	       0        1        0        0        1
42890 librte-pmd-softnic18.11            	       0        1        0        0        1
42891 librte-pmd-sw-event18.11           	       0        1        0        0        1
42892 librte-pmd-tap18.11                	       0        1        0        0        1
42893 librte-pmd-thunderx18.11           	       0        1        0        0        1
42894 librte-pmd-vdev-netvsc18.11        	       0        1        0        0        1
42895 librte-pmd-vhost1                  	       0        1        0        0        1
42896 librte-pmd-vhost18.11              	       0        1        0        0        1
42897 librte-pmd-virtio-crypto18.11      	       0        1        0        0        1
42898 librte-pmd-virtio1                 	       0        1        0        0        1
42899 librte-pmd-virtio18.11             	       0        1        0        0        1
42900 librte-pmd-vmxnet3-18.11           	       0        1        0        0        1
42901 librte-pmd-vmxnet3-uio1            	       0        1        0        0        1
42902 librte-pmd-xenvirt1                	       0        1        0        0        1
42903 librte-pmd-zlib18.11               	       0        1        0        0        1
42904 librte-port18.11                   	       0        5        0        0        5
42905 librte-port23                      	       0        2        0        0        2
42906 librte-port3                       	       0        1        0        0        1
42907 librte-power1                      	       0        1        0        0        1
42908 librte-power18.11                  	       0        5        0        0        5
42909 librte-power23                     	       0        2        0        0        2
42910 librte-raw-cnxk-bphy23             	       0        2        0        0        2
42911 librte-raw-cnxk-gpio23             	       0        2        0        0        2
42912 librte-raw-dpaa2-cmdif23           	       0        2        0        0        2
42913 librte-raw-ifpga23                 	       0        2        0        0        2
42914 librte-raw-ntb23                   	       0        2        0        0        2
42915 librte-raw-skeleton23              	       0        2        0        0        2
42916 librte-rawdev18.11                 	       0        5        0        0        5
42917 librte-rawdev23                    	       0        2        0        0        2
42918 librte-rcu23                       	       0        2        0        0        2
42919 librte-regex-cn9k23                	       0        2        0        0        2
42920 librte-regex-mlx5-23               	       0        2        0        0        2
42921 librte-regexdev23                  	       0        2        0        0        2
42922 librte-reorder1                    	       0        1        0        0        1
42923 librte-reorder18.11                	       0        5        0        0        5
42924 librte-reorder23                   	       0        2        0        0        2
42925 librte-rib23                       	       0        2        0        0        2
42926 librte-ring1                       	       0        1        0        0        1
42927 librte-ring18.11                   	       0        5        0        0        5
42928 librte-ring20.0                    	       0        1        0        0        1
42929 librte-ring21                      	       0        1        0        0        1
42930 librte-ring23                      	       0        7        0        0        7
42931 librte-sched1                      	       0        1        0        0        1
42932 librte-sched18.11                  	       0        5        0        0        5
42933 librte-sched23                     	       0        2        0        0        2
42934 librte-security18.11               	       0        5        0        0        5
42935 librte-security20.0                	       0        1        0        0        1
42936 librte-security23                  	       0        2        0        0        2
42937 librte-stack23                     	       0        2        0        0        2
42938 librte-table18.11                  	       0        5        0        0        5
42939 librte-table2                      	       0        1        0        0        1
42940 librte-table23                     	       0        2        0        0        2
42941 librte-telemetry18.11              	       0        5        0        0        5
42942 librte-telemetry21                 	       0        1        0        0        1
42943 librte-telemetry23                 	       0        7        0        0        7
42944 librte-timer1                      	       0        1        0        0        1
42945 librte-timer18.11                  	       0        5        0        0        5
42946 librte-timer23                     	       0        2        0        0        2
42947 librte-vdpa-ifc23                  	       0        2        0        0        2
42948 librte-vdpa-mlx5-23                	       0        2        0        0        2
42949 librte-vdpa-sfc23                  	       0        2        0        0        2
42950 librte-vhost18.11                  	       0        5        0        0        5
42951 librte-vhost23                     	       0        2        0        0        2
42952 librte-vhost3                      	       0        1        0        0        1
42953 librtklib1                         	       0        3        0        0        3
42954 librtmidi-dev                      	       0        8        8        0        0
42955 librtmidi2                         	       0        2        0        0        2
42956 librtmidi3                         	       0        3        0        0        3
42957 librtmidi4                         	       0       10        0        0       10
42958 librtmidi5                         	       0       20        0        0       20
42959 librtmidi6                         	       0       82        2        0       80
42960 librtmidi7                         	       0        9        0        0        9
42961 librtmp-dev                        	       0       69       68        1        0
42962 librtmp0                           	       0       31        0        0       31
42963 librtpkcs11ecp                     	       0        1        0        0        1
42964 librttopo-dev                      	       0       40       39        1        0
42965 librttr-core0.9.6                  	       0       28        0        0       28
42966 librubberband-dev                  	       0       35       34        1        0
42967 librubberband3                     	       0       15        1        0       14
42968 libruby                            	       0      756        0        0      756
42969 libruby1.6                         	       0        1        1        0        0
42970 libruby1.9.1-dbg                   	       0        2        2        0        0
42971 libruby2.0                         	       0        5        5        0        0
42972 libruby2.2                         	       0        2        2        0        0
42973 librududu0                         	       0        1        0        0        1
42974 librulexdb-dev                     	       0        1        1        0        0
42975 librulexdb0                        	       0        1        0        0        1
42976 libruli4                           	       0        1        0        0        1
42977 librun-parts-perl                  	       0        2        2        0        0
42978 librust-ab-glyph-dev               	       0        1        0        0        1
42979 librust-ab-glyph-rasterizer+libm-dev	       0        1        0        0        1
42980 librust-ab-glyph-rasterizer-dev    	       0        1        0        0        1
42981 librust-addr2line+cpp-demangle-dev 	       0        9        0        0        9
42982 librust-addr2line+default-dev      	       0        9        0        0        9
42983 librust-addr2line+object-dev       	       0        9        0        0        9
42984 librust-addr2line+rustc-demangle-dev	       0        9        0        0        9
42985 librust-addr2line+std-dev          	       0        9        0        0        9
42986 librust-addr2line+std-object-dev   	       0        9        0        0        9
42987 librust-addr2line-dev              	       0       10        1        0        9
42988 librust-adler-dev                  	       0       11        0        0       11
42989 librust-ahash-0.7-dev              	       0        1        0        0        1
42990 librust-ahash-dev                  	       0       11        0        0       11
42991 librust-aho-corasick-dev           	       0       12        0        0       12
42992 librust-allocator-api2-dev         	       0        2        0        0        2
42993 librust-anes-dev                   	       0        1        0        0        1
42994 librust-annotate-snippets-dev      	       0        1        0        0        1
42995 librust-anstream-dev               	       0        2        0        0        2
42996 librust-anstyle-dev                	       0        2        0        0        2
42997 librust-anstyle-parse-dev          	       0        2        0        0        2
42998 librust-anstyle-query-dev          	       0        2        0        0        2
42999 librust-anyhow-dev                 	       0        4        0        0        4
43000 librust-approx-dev                 	       0        2        0        0        2
43001 librust-arbitrary-dev              	       0       13        0        0       13
43002 librust-arc-swap-dev               	       0        1        0        0        1
43003 librust-array-init-dev             	       0        1        0        0        1
43004 librust-arrayvec-dev               	       0        2        0        0        2
43005 librust-async-attributes-dev       	       0        1        0        0        1
43006 librust-async-channel-dev          	       0        1        0        0        1
43007 librust-async-executor-dev         	       0        1        0        0        1
43008 librust-async-fs-dev               	       0        1        0        0        1
43009 librust-async-global-executor-dev  	       0        1        0        0        1
43010 librust-async-io-dev               	       0        1        0        0        1
43011 librust-async-lock-dev             	       0        1        0        0        1
43012 librust-async-net-dev              	       0        1        0        0        1
43013 librust-async-process-dev          	       0        1        0        0        1
43014 librust-async-signal-dev           	       0        1        0        0        1
43015 librust-async-std-dev              	       0        1        0        0        1
43016 librust-async-task-dev             	       0        1        0        0        1
43017 librust-async-trait-dev            	       0        1        0        0        1
43018 librust-atk-dev                    	       0        1        0        0        1
43019 librust-atk-sys-dev                	       0        2        2        0        0
43020 librust-atomic-dev                 	       0        2        0        0        2
43021 librust-atomic-waker-dev           	       0        1        0        0        1
43022 librust-atty-dev                   	       0       10        0        0       10
43023 librust-autocfg-dev                	       0       12        0        0       12
43024 librust-automod-dev                	       0        1        0        0        1
43025 librust-backtrace-dev              	       0       10        0        0       10
43026 librust-base64-dev                 	       0        1        0        0        1
43027 librust-bindgen+clap-dev           	       0        5        0        0        5
43028 librust-bindgen+default-dev        	       0        5        0        0        5
43029 librust-bindgen+env-logger-dev     	       0        5        0        0        5
43030 librust-bindgen+log-dev            	       0        5        0        0        5
43031 librust-bindgen+logging-dev        	       0        5        0        0        5
43032 librust-bindgen+runtime-dev        	       0        5        0        0        5
43033 librust-bindgen+which-dev          	       0        5        0        0        5
43034 librust-bindgen-dev                	       0        5        0        0        5
43035 librust-bit-field-dev              	       0        1        0        0        1
43036 librust-bit-set-dev                	       0        1        0        0        1
43037 librust-bit-vec-dev                	       0        2        0        0        2
43038 librust-bitflags-1-dev             	       0        1        0        0        1
43039 librust-bitflags-dev               	       0       14        0        0       14
43040 librust-bitvec-dev                 	       0        1        0        0        1
43041 librust-blobby-dev                 	       0        2        0        0        2
43042 librust-block-buffer-dev           	       0        2        0        0        2
43043 librust-blocking-dev               	       0        1        0        0        1
43044 librust-bstr-dev                   	       0        1        0        0        1
43045 librust-bumpalo-dev                	       0       11        0        0       11
43046 librust-bytecheck+std-dev          	       0        1        0        0        1
43047 librust-bytecheck-derive-dev       	       0        2        0        0        2
43048 librust-bytecheck-dev              	       0        2        0        0        2
43049 librust-bytemuck-derive-dev        	       0        1        0        0        1
43050 librust-bytemuck-dev               	       0        1        0        0        1
43051 librust-byteorder-dev              	       0        4        0        0        4
43052 librust-bytes-dev                  	       0        7        0        0        7
43053 librust-bytesize-dev               	       0        1        0        0        1
43054 librust-cairo-rs+use-glib-dev      	       0        2        0        0        2
43055 librust-cairo-rs-dev               	       0        4        0        0        4
43056 librust-cairo-sys-rs+use-glib-dev  	       0        2        0        0        2
43057 librust-cairo-sys-rs-dev           	       0        5        0        0        5
43058 librust-capnp-dev                  	       0        1        0        0        1
43059 librust-cast-dev                   	       0        1        0        0        1
43060 librust-cc-dev                     	       0       12       10        1        1
43061 librust-cexpr-dev                  	       0        6        5        1        0
43062 librust-cfg-aliases-dev            	       0        1        0        0        1
43063 librust-cfg-expr-dev               	       0        5        0        0        5
43064 librust-cfg-if-0.1-dev             	       0        1        0        0        1
43065 librust-cfg-if-dev                 	       0       13        0        0       13
43066 librust-chrono-dev                 	       0        2        0        0        2
43067 librust-ciborium-dev               	       0        1        0        0        1
43068 librust-ciborium-io-dev            	       0        1        0        0        1
43069 librust-ciborium-ll-dev            	       0        1        0        0        1
43070 librust-clang-sys+libloading-dev   	       0        5        0        0        5
43071 librust-clang-sys-dev              	       0        5        4        1        0
43072 librust-clap-3-dev                 	       0        5        4        1        0
43073 librust-clap-builder-dev           	       0        1        0        0        1
43074 librust-clap-derive-3-dev          	       0        5        0        0        5
43075 librust-clap-derive-dev            	       0        1        0        0        1
43076 librust-clap-dev                   	       0        1        1        0        0
43077 librust-clap-lex-dev               	       0        6        0        0        6
43078 librust-cmake-dev                  	       0        2        0        0        2
43079 librust-color-quant-dev            	       0        1        0        0        1
43080 librust-colorchoice-dev            	       0        2        0        0        2
43081 librust-compiler-builtins+core-dev 	       0        2        0        0        2
43082 librust-compiler-builtins+rustc-dep-of-std-dev	       0        2        0        0        2
43083 librust-compiler-builtins-dev      	       0       14        0        0       14
43084 librust-concurrent-queue-dev       	       0        1        0        0        1
43085 librust-const-cstr-dev             	       0        2        0        0        2
43086 librust-const-oid-dev              	       0        1        0        0        1
43087 librust-const-random-dev           	       0       12        0        0       12
43088 librust-const-random-macro-dev     	       0       12        0        0       12
43089 librust-convert-case-dev           	       0        1        0        0        1
43090 librust-core-maths-dev             	       0        1        0        0        1
43091 librust-cpp-demangle-dev           	       0       10        0        0       10
43092 librust-cpufeatures-dev            	       0        2        0        0        2
43093 librust-crc32fast-dev              	       0       11        0        0       11
43094 librust-criterion-dev              	       0        1        0        0        1
43095 librust-critical-section-dev       	       0        2        0        0        2
43096 librust-crossbeam-channel-dev      	       0       10        0        0       10
43097 librust-crossbeam-deque-dev        	       0       12        0        0       12
43098 librust-crossbeam-epoch+std-dev    	       0       12        0        0       12
43099 librust-crossbeam-epoch-dev        	       0       12        0        0       12
43100 librust-crossbeam-utils-dev        	       0       12        0        0       12
43101 librust-crunchy-dev                	       0       12        0        0       12
43102 librust-crypto-common-dev          	       0        2        0        0        2
43103 librust-cssparser-dev              	       0        1        0        0        1
43104 librust-cssparser-macros-dev       	       0        1        0        0        1
43105 librust-cstr-dev                   	       0        1        0        0        1
43106 librust-csv-core-dev               	       0        1        0        0        1
43107 librust-csv-dev                    	       0        1        0        0        1
43108 librust-ctor-dev                   	       0       10        0        0       10
43109 librust-curl-sys+openssl-sys-dev   	       0        1        0        0        1
43110 librust-curl-sys-dev               	       0        1        0        0        1
43111 librust-data-url-dev               	       0        1        0        0        1
43112 librust-defmt-dev                  	       0        1        0        0        1
43113 librust-defmt-macros-dev           	       0        1        0        0        1
43114 librust-defmt-parser-dev           	       0        1        0        0        1
43115 librust-derive-arbitrary-dev       	       0       13        0        0       13
43116 librust-derive-more-0.99-dev       	       0        1        0        0        1
43117 librust-diff-dev                   	       0        1        0        0        1
43118 librust-digest-dev                 	       0        2        0        0        2
43119 librust-dirs-dev                   	       0        1        0        0        1
43120 librust-dirs-next-dev              	       0        1        0        0        1
43121 librust-dirs-sys-dev               	       0        1        0        0        1
43122 librust-dirs-sys-next-dev          	       0        1        0        0        1
43123 librust-dlib-dev                   	       0        3        0        0        3
43124 librust-dlv-list-dev               	       0        1        0        0        1
43125 librust-downcast-rs-dev            	       0        1        0        0        1
43126 librust-doxygen-rs-dev             	       0        1        0        0        1
43127 librust-dtoa-dev                   	       0        1        0        0        1
43128 librust-dtoa-short-dev             	       0        1        0        0        1
43129 librust-dunce-dev                  	       0        1        0        0        1
43130 librust-either-dev                 	       0       13        0        0       13
43131 librust-encoding-dev               	       0        1        0        0        1
43132 librust-encoding-index-japanese-dev	       0        1        0        0        1
43133 librust-encoding-index-korean-dev  	       0        1        0        0        1
43134 librust-encoding-index-simpchinese-dev	       0        1        0        0        1
43135 librust-encoding-index-singlebyte-dev	       0        1        0        0        1
43136 librust-encoding-index-tests-dev   	       0        1        0        0        1
43137 librust-encoding-index-tradchinese-dev	       0        1        0        0        1
43138 librust-encoding-rs-dev            	       0        2        0        0        2
43139 librust-env-filter-dev             	       0        2        0        0        2
43140 librust-env-logger+atty-dev        	       0       10        0        0       10
43141 librust-env-logger+default-dev     	       0       10        0        0       10
43142 librust-env-logger+humantime-dev   	       0       10        0        0       10
43143 librust-env-logger+regex-dev       	       0       10        0        0       10
43144 librust-env-logger+termcolor-dev   	       0       10        0        0       10
43145 librust-env-logger-dev             	       0       12        0        0       12
43146 librust-equivalent-dev             	       0        2        0        0        2
43147 librust-erased-serde-dev           	       0       12        0        0       12
43148 librust-errno-dev                  	       0        6        0        0        6
43149 librust-eui48-dev                  	       0        1        0        0        1
43150 librust-event-listener-dev         	       0        1        0        0        1
43151 librust-event-listener-strategy-dev	       0        1        0        0        1
43152 librust-exr-dev                    	       0        1        0        0        1
43153 librust-fallible-iterator-dev      	       0       10        0        0       10
43154 librust-fastrand-dev               	       0        1        0        0        1
43155 librust-field-offset-dev           	       0        1        0        0        1
43156 librust-fixedbitset-dev            	       0        6        0        0        6
43157 librust-flate2+miniz-oxide-dev     	       0        9        0        0        9
43158 librust-flate2-dev                 	       0       11        0        0       11
43159 librust-float-cmp-dev              	       0        1        0        0        1
43160 librust-float-ord-dev              	       0        2        0        0        2
43161 librust-flume-dev                  	       0        1        0        0        1
43162 librust-fnv-dev                    	       0        1        0        0        1
43163 librust-font-kit-dev               	       0        2        0        0        2
43164 librust-foreign-types-0.3-dev      	       0        2        0        0        2
43165 librust-foreign-types-shared-0.1-dev	       0        2        0        0        2
43166 librust-form-urlencoded-dev        	       0        1        0        0        1
43167 librust-fragile-dev                	       0        1        0        0        1
43168 librust-freetype-dev               	       0        3        3        0        0
43169 librust-freetype-rs-dev            	       0        2        0        0        2
43170 librust-freetype-sys-dev           	       0        3        0        0        3
43171 librust-funty-dev                  	       0        1        0        0        1
43172 librust-futf-dev                   	       0        1        0        0        1
43173 librust-futures-channel-dev        	       0        5        0        0        5
43174 librust-futures-core-dev           	       0        5        0        0        5
43175 librust-futures-dev                	       0        1        0        0        1
43176 librust-futures-executor-dev       	       0        4        0        0        4
43177 librust-futures-io-dev             	       0        5        0        0        5
43178 librust-futures-lite-dev           	       0        1        0        0        1
43179 librust-futures-macro-dev          	       0        5        0        0        5
43180 librust-futures-sink-dev           	       0        5        0        0        5
43181 librust-futures-task-dev           	       0        5        0        0        5
43182 librust-futures-util-dev           	       0        5        0        0        5
43183 librust-fxhash-dev                 	       0        1        0        0        1
43184 librust-gdk-pixbuf-dev             	       0        4        0        0        4
43185 librust-gdk-pixbuf-sys-dev         	       0        5        5        0        0
43186 librust-gdk-sys-dev                	       0        2        2        0        0
43187 librust-gdk4-dev                   	       0        1        0        0        1
43188 librust-gdk4-sys-dev               	       0        1        1        0        0
43189 librust-generic-array-dev          	       0        2        0        0        2
43190 librust-geo-types-dev              	       0        1        0        0        1
43191 librust-getrandom-dev              	       0       12        0        0       12
43192 librust-gif-dev                    	       0        1        0        0        1
43193 librust-gimli-dev                  	       0       10        0        0       10
43194 librust-gio-dev                    	       0        5        0        0        5
43195 librust-gio-sys-dev                	       0        6        6        0        0
43196 librust-glib-dev                   	       0        6        0        0        6
43197 librust-glib-macros-dev            	       0        4        0        0        4
43198 librust-glib-sys-dev               	       0        7        7        0        0
43199 librust-glob-dev                   	       0        7        0        0        7
43200 librust-gobject-sys-dev            	       0        7        7        0        0
43201 librust-graphene-rs-dev            	       0        1        0        0        1
43202 librust-graphene-sys-dev           	       0        1        1        0        0
43203 librust-gsk4-dev                   	       0        1        0        0        1
43204 librust-gsk4-sys-dev               	       0        1        1        0        0
43205 librust-gtk-sys-dev                	       0        1        1        0        0
43206 librust-gtk4-dev                   	       0        1        0        0        1
43207 librust-gtk4-macros-dev            	       0        1        0        0        1
43208 librust-gtk4-sys-dev               	       0        1        1        0        0
43209 librust-half-dev                   	       0        1        0        0        1
43210 librust-hashbrown-dev              	       0       12        0        0       12
43211 librust-heck-dev                   	       0        9        0        0        9
43212 librust-hex-dev                    	       0        1        0        0        1
43213 librust-hmac-dev                   	       0        1        0        0        1
43214 librust-home-dev                   	       0        1        0        0        1
43215 librust-humantime-dev              	       0       12        0        0       12
43216 librust-iana-time-zone-dev         	       0        2        0        0        2
43217 librust-idna-dev                   	       0        1        0        0        1
43218 librust-image-dev                  	       0        1        0        0        1
43219 librust-image-webp-dev             	       0        1        0        0        1
43220 librust-indexmap-dev               	       0       12        0        0       12
43221 librust-io-lifetimes-dev           	       0        5        0        0        5
43222 librust-is-terminal-dev            	       0        1        0        0        1
43223 librust-itertools-dev              	       0        3        0        0        3
43224 librust-itoa-dev                   	       0        7        0        0        7
43225 librust-jobserver-dev              	       0        1        0        0        1
43226 librust-jpeg-decoder-dev           	       0        1        0        0        1
43227 librust-js-sys-dev                 	       0        2        0        0        2
43228 librust-kstring-dev                	       0        1        0        0        1
43229 librust-kv-log-macro-dev           	       0        1        0        0        1
43230 librust-language-tags-dev          	       0        1        0        0        1
43231 librust-lazy-static-dev            	       0       14        0        0       14
43232 librust-lazycell-dev               	       0        6        0        0        6
43233 librust-lebe-dev                   	       0        1        0        0        1
43234 librust-libc-dev                   	       0       18        0        0       18
43235 librust-libdbus-sys-dev            	       0        1        0        0        1
43236 librust-libloading-dev             	       0        8        0        0        8
43237 librust-libm-dev                   	       0        2        0        0        2
43238 librust-librsvg-dev                	       0        1        0        0        1
43239 librust-libsqlite3-sys-dev         	       0        2        1        1        0
43240 librust-libudev-sys-dev            	       0        2        0        0        2
43241 librust-libz-sys-dev               	       0        2        0        0        2
43242 librust-linked-hash-map-dev        	       0        5        0        0        5
43243 librust-linux-raw-sys+compiler-builtins-dev	       0        5        0        0        5
43244 librust-linux-raw-sys+core-dev     	       0        5        0        0        5
43245 librust-linux-raw-sys+default-dev  	       0        5        0        0        5
43246 librust-linux-raw-sys+rustc-dep-of-std-dev	       0        5        0        0        5
43247 librust-linux-raw-sys-dev          	       0        6        0        0        6
43248 librust-lmdb-dev                   	       0        1        0        0        1
43249 librust-lmdb-sys-dev               	       0        1        0        0        1
43250 librust-locale-config-dev          	       0        1        0        0        1
43251 librust-lock-api-dev               	       0       12        0        0       12
43252 librust-log-dev                    	       0       12        0        0       12
43253 librust-mac-dev                    	       0        1        0        0        1
43254 librust-markup5ever-dev            	       0        1        0        0        1
43255 librust-matches-dev                	       0        1        0        0        1
43256 librust-matrixmultiply-dev         	       0        1        0        0        1
43257 librust-md-5-dev                   	       0        2        0        0        2
43258 librust-md5-asm-dev                	       0        2        0        0        2
43259 librust-memchr-dev                 	       0       12        0        0       12
43260 librust-memmap2-dev                	       0        1        0        0        1
43261 librust-memoffset-dev              	       0       12        0        0       12
43262 librust-minimal-lexical-dev        	       0        6        0        0        6
43263 librust-miniz-oxide-dev            	       0       11        0        0       11
43264 librust-mint-dev                   	       0        1        0        0        1
43265 librust-mio-dev                    	       0        7        0        0        7
43266 librust-munge-dev                  	       0        1        0        0        1
43267 librust-munge-macro-dev            	       0        1        0        0        1
43268 librust-nalgebra-dev               	       0        1        0        0        1
43269 librust-nalgebra-macros-dev        	       0        1        0        0        1
43270 librust-nanorand-dev               	       0        1        0        0        1
43271 librust-new-debug-unreachable-dev  	       0        1        0        0        1
43272 librust-nix-dev                    	       0        2        0        0        2
43273 librust-no-panic-dev               	       0        2        0        0        2
43274 librust-nom+std-dev                	       0        6        0        0        6
43275 librust-nom-dev                    	       0        6        0        0        6
43276 librust-num-bigint-dev             	       0        1        0        0        1
43277 librust-num-complex-dev            	       0        1        0        0        1
43278 librust-num-cpus-dev               	       0       11        0        0       11
43279 librust-num-integer+std-dev        	       0        1        0        0        1
43280 librust-num-integer-dev            	       0        2        0        0        2
43281 librust-num-rational-dev           	       0        1        0        0        1
43282 librust-num-threads-dev            	       0        1        0        0        1
43283 librust-num-traits-dev             	       0        2        0        0        2
43284 librust-object-dev                 	       0       10        0        0       10
43285 librust-once-cell-dev              	       0       13        0        0       13
43286 librust-oorandom-dev               	       0        1        0        0        1
43287 librust-openssl-dev                	       0        2        0        0        2
43288 librust-openssl-macros-dev         	       0        2        0        0        2
43289 librust-openssl-sys-dev            	       0        4        0        0        4
43290 librust-option-ext-dev             	       0        1        0        0        1
43291 librust-ordered-multimap-dev       	       0        1        0        0        1
43292 librust-os-pipe-dev                	       0        5        4        1        0
43293 librust-os-str-bytes-dev           	       0        5        0        0        5
43294 librust-osmesa-sys-dev             	       0        1        0        0        1
43295 librust-owned-ttf-parser-dev       	       0        1        0        0        1
43296 librust-owning-ref-dev             	       0       12        0        0       12
43297 librust-pam-sys-dev                	       0        1        0        0        1
43298 librust-pamsm-dev                  	       0        1        0        0        1
43299 librust-pango-dev                  	       0        5        0        0        5
43300 librust-pango-sys-dev              	       0        6        6        0        0
43301 librust-pangocairo-dev             	       0        3        0        0        3
43302 librust-pangocairo-sys-dev         	       0        3        0        0        3
43303 librust-parking-dev                	       0        1        0        0        1
43304 librust-parking-lot-core+deadlock-detection-dev	       0        6        0        0        6
43305 librust-parking-lot-core+petgraph-dev	       0        6        0        0        6
43306 librust-parking-lot-core+thread-id-dev	       0        6        0        0        6
43307 librust-parking-lot-core-dev       	       0       13        0        0       13
43308 librust-parking-lot-dev            	       0        7        0        0        7
43309 librust-paste-dev                  	       0        1        0        0        1
43310 librust-pathfinder-geometry-dev    	       0        2        0        0        2
43311 librust-pathfinder-simd-dev        	       0        2        0        0        2
43312 librust-peeking-take-while-dev     	       0        6        0        0        6
43313 librust-percent-encoding-dev       	       0        2        0        0        2
43314 librust-pest-derive-dev            	       0        1        0        0        1
43315 librust-pest-dev                   	       0        1        0        0        1
43316 librust-pest-generator-dev         	       0        1        0        0        1
43317 librust-pest-meta-dev              	       0        1        0        0        1
43318 librust-petgraph-dev               	       0        6        0        0        6
43319 librust-phf+phf-macros-dev         	       0        1        0        0        1
43320 librust-phf+std-dev                	       0        2        0        0        2
43321 librust-phf-codegen-dev            	       0        1        0        0        1
43322 librust-phf-dev                    	       0        2        0        0        2
43323 librust-phf-generator-dev          	       0        1        1        0        0
43324 librust-phf-macros-dev             	       0        1        0        0        1
43325 librust-phf-shared-dev             	       0        2        0        0        2
43326 librust-pin-project-lite-dev       	       0       10        0        0       10
43327 librust-pin-utils-dev              	       0        6        0        0        6
43328 librust-pkg-config-dev             	       0       17        0        0       17
43329 librust-plotters-backend-dev       	       0        1        0        0        1
43330 librust-plotters-bitmap-dev        	       0        1        0        0        1
43331 librust-plotters-dev               	       0        1        0        0        1
43332 librust-plotters-svg-dev           	       0        1        0        0        1
43333 librust-png-dev                    	       0        1        0        0        1
43334 librust-podio-dev                  	       0        1        0        0        1
43335 librust-polling-dev                	       0        1        0        0        1
43336 librust-portable-atomic-dev        	       0        2        0        0        2
43337 librust-postgres-derive-dev        	       0        1        0        0        1
43338 librust-postgres-protocol-dev      	       0        1        0        0        1
43339 librust-postgres-types-dev         	       0        1        0        0        1
43340 librust-ppv-lite86-dev             	       0       11        0        0       11
43341 librust-precomputed-hash-dev       	       0        1        0        0        1
43342 librust-prettyplease-dev           	       0        1        0        0        1
43343 librust-print-bytes-dev            	       0        5        0        0        5
43344 librust-proc-macro-crate-dev       	       0        4        0        0        4
43345 librust-proc-macro-error-attr-dev  	       0        8        0        0        8
43346 librust-proc-macro-error-attr2-dev 	       0        1        0        0        1
43347 librust-proc-macro-error-dev       	       0        8        0        0        8
43348 librust-proc-macro-error2-dev      	       0        1        0        0        1
43349 librust-proc-macro-hack-dev        	       0       10        0        0       10
43350 librust-proc-macro2-dev            	       0       13        0        0       13
43351 librust-proptest-dev               	       0        1        0        0        1
43352 librust-ptr-meta-derive-dev        	       0        2        0        0        2
43353 librust-ptr-meta-dev               	       0        2        0        0        2
43354 librust-pure-rust-locales-dev      	       0        1        0        0        1
43355 librust-python3-dll-a-dev          	       0        1        0        0        1
43356 librust-qoi-dev                    	       0        1        0        0        1
43357 librust-quick-error-dev            	       0        1        0        0        1
43358 librust-quick-xml-dev              	       0        1        0        0        1
43359 librust-quickcheck+default-dev     	       0       10        0        0       10
43360 librust-quickcheck+regex-dev       	       0       10        0        0       10
43361 librust-quickcheck+use-logging-dev 	       0       10        0        0       10
43362 librust-quickcheck-dev             	       0       11        0        0       11
43363 librust-quote+proc-macro-dev       	       0       11        0        0       11
43364 librust-quote-dev                  	       0       13        0        0       13
43365 librust-radium-dev                 	       0        1        0        0        1
43366 librust-rancor-dev                 	       0        1        0        0        1
43367 librust-rand-chacha-dev            	       0       11        0        0       11
43368 librust-rand-core+getrandom-dev    	       0       11        0        0       11
43369 librust-rand-core+serde-dev        	       0       11        0        0       11
43370 librust-rand-core+std-dev          	       0       11        0        0       11
43371 librust-rand-core-dev              	       0       11        0        0       11
43372 librust-rand-dev                   	       0       11        0        0       11
43373 librust-rand-distr-dev             	       0        1        0        0        1
43374 librust-rand-xorshift-dev          	       0        1        0        0        1
43375 librust-rawpointer-dev             	       0        1        0        0        1
43376 librust-rayon-core-dev             	       0       12        0        0       12
43377 librust-rayon-dev                  	       0       12        0        0       12
43378 librust-rctree-dev                 	       0        1        0        0        1
43379 librust-regex+aho-corasick-dev     	       0       10        0        0       10
43380 librust-regex+default-dev          	       0       10        0        0       10
43381 librust-regex+memchr-dev           	       0       10        0        0       10
43382 librust-regex+perf-dev             	       0       10        0        0       10
43383 librust-regex+perf-literal-dev     	       0       10        0        0       10
43384 librust-regex+unicode-age-dev      	       0       10        0        0       10
43385 librust-regex+unicode-bool-dev     	       0       10        0        0       10
43386 librust-regex+unicode-case-dev     	       0       10        0        0       10
43387 librust-regex+unicode-dev          	       0       10        0        0       10
43388 librust-regex+unicode-gencat-dev   	       0       10        0        0       10
43389 librust-regex+unicode-perl-dev     	       0       10        0        0       10
43390 librust-regex+unicode-script-dev   	       0       10        0        0       10
43391 librust-regex+unicode-segment-dev  	       0       10        0        0       10
43392 librust-regex-automata-dev         	       0        2        0        0        2
43393 librust-regex-cursor-dev           	       0        1        0        0        1
43394 librust-regex-dev                  	       0       12        0        0       12
43395 librust-regex-lite-dev             	       0        1        0        0        1
43396 librust-regex-syntax+unicode-dev   	       0       10        0        0       10
43397 librust-regex-syntax-dev           	       0       12        0        0       12
43398 librust-regex-test-dev             	       0        1        0        0        1
43399 librust-rend-dev                   	       0        2        0        0        2
43400 librust-rgb-dev                    	       0        1        0        0        1
43401 librust-rkyv-derive-dev            	       0        2        0        0        2
43402 librust-rkyv-dev                   	       0        2        0        0        2
43403 librust-ropey-dev                  	       0        1        0        0        1
43404 librust-rust-ini-dev               	       0        1        0        0        1
43405 librust-rustc-demangle-dev         	       0       10        0        0       10
43406 librust-rustc-hash-dev             	       0        6        0        0        6
43407 librust-rustc-serialize-dev        	       0        1        0        0        1
43408 librust-rustc-std-workspace-core-dev	       0       14        0        0       14
43409 librust-rustc-version-dev          	       0        3        0        0        3
43410 librust-rustix-dev                 	       0        6        0        0        6
43411 librust-rusty-fork+wait-timeout-dev	       0        1        0        0        1
43412 librust-rusty-fork-dev             	       0        1        0        0        1
43413 librust-ruzstd-dev                 	       0        1        1        0        0
43414 librust-ryu-dev                    	       0        3        0        0        3
43415 librust-safe-arch-dev              	       0        1        0        0        1
43416 librust-same-file-dev              	       0        2        0        0        2
43417 librust-scoped-tls-dev             	       0        1        0        0        1
43418 librust-scopeguard-dev             	       0       12        0        0       12
43419 librust-seahash-dev                	       0        2        0        0        2
43420 librust-selectors-dev              	       0        1        0        0        1
43421 librust-semver-0.9-dev             	       0        1        0        0        1
43422 librust-semver-dev                 	       0        3        0        0        3
43423 librust-semver-parser-0.7-dev      	       0        1        0        0        1
43424 librust-serde+serde-derive-dev     	       0       10        0        0       10
43425 librust-serde-derive-dev           	       0       12        0        0       12
43426 librust-serde-dev                  	       0       13        0        0       13
43427 librust-serde-fmt-dev              	       0       12        0        0       12
43428 librust-serde-json-dev             	       0        3        0        0        3
43429 librust-serde-spanned-dev          	       0        1        0        0        1
43430 librust-serde-test-dev             	       0        2        0        0        2
43431 librust-servo-arc-dev              	       0        1        0        0        1
43432 librust-sha1-asm-dev               	       0        2        0        0        2
43433 librust-sha1-dev                   	       0        2        0        0        2
43434 librust-sha2-asm-dev               	       0        2        0        0        2
43435 librust-sha2-dev                   	       0        2        0        0        2
43436 librust-shared-library-dev         	       0        1        0        0        1
43437 librust-shlex-dev                  	       0        6        0        0        6
43438 librust-signal-hook-registry-dev   	       0        7        0        0        7
43439 librust-simba-dev                  	       0        1        0        0        1
43440 librust-simd-adler32-dev           	       0        1        0        0        1
43441 librust-simd-helpers-dev           	       0        1        0        0        1
43442 librust-simdutf8-dev               	       0        2        0        0        2
43443 librust-siphasher-dev              	       0        2        0        0        2
43444 librust-slab-dev                   	       0        5        0        0        5
43445 librust-slog-dev                   	       0        2        0        0        2
43446 librust-smallvec-dev               	       0       13        0        0       13
43447 librust-smawk-dev                  	       0        5        0        0        5
43448 librust-smol-dev                   	       0        1        0        0        1
43449 librust-smol-str-dev               	       0        1        0        0        1
43450 librust-socket2-dev                	       0        7        0        0        7
43451 librust-spin-dev                   	       0       12        0        0       12
43452 librust-stable-deref-trait-dev     	       0       12        0        0       12
43453 librust-static-assertions-dev      	       0        2        0        0        2
43454 librust-str-indices-dev            	       0        1        0        0        1
43455 librust-string-cache-codegen-dev   	       0        1        0        0        1
43456 librust-string-cache-dev           	       0        1        0        0        1
43457 librust-stringprep-dev             	       0        1        0        0        1
43458 librust-strsim-dev                 	       0        6        0        0        6
43459 librust-subtle+default-dev         	       0        1        0        0        1
43460 librust-subtle-dev                 	       0        2        0        0        2
43461 librust-sval+serde1-lib-dev        	       0       10        0        0       10
43462 librust-sval-buffer-dev            	       0        2        0        0        2
43463 librust-sval-derive-dev            	       0        2        0        0        2
43464 librust-sval-dev                   	       0       12        0        0       12
43465 librust-sval-dynamic-dev           	       0        2        0        0        2
43466 librust-sval-fmt-dev               	       0        2        0        0        2
43467 librust-sval-ref-dev               	       0        2        0        0        2
43468 librust-sval-serde-dev             	       0        2        0        0        2
43469 librust-syn-1-dev                  	       0        1        0        0        1
43470 librust-syn-dev                    	       0       13        0        0       13
43471 librust-syn-mid-dev                	       0        8        0        0        8
43472 librust-system-deps-dev            	       0        5        5        0        0
43473 librust-tap-dev                    	       0        1        0        0        1
43474 librust-target-lexicon-dev         	       0        5        0        0        5
43475 librust-tempfile-dev               	       0        1        0        0        1
43476 librust-tendril-dev                	       0        1        0        0        1
43477 librust-termcolor-dev              	       0       11        0        0       11
43478 librust-terminal-size-dev          	       0        6        0        0        6
43479 librust-textwrap-dev               	       0        5        0        0        5
43480 librust-thin-vec-dev               	       0        1        0        0        1
43481 librust-thiserror-1-dev            	       0        1        0        0        1
43482 librust-thiserror-dev              	       0        4        0        0        4
43483 librust-thiserror-impl-1-dev       	       0        1        0        0        1
43484 librust-thiserror-impl-dev         	       0        4        0        0        4
43485 librust-thread-id-dev              	       0        6        0        0        6
43486 librust-tiff-dev                   	       0        1        0        0        1
43487 librust-time-dev                   	       0        1        0        0        1
43488 librust-time-macros-dev            	       0        1        0        0        1
43489 librust-tiny-keccak-dev            	       0       12        0        0       12
43490 librust-tinytemplate-dev           	       0        1        0        0        1
43491 librust-tinyvec+tinyvec-macros-dev 	       0        2        0        0        2
43492 librust-tinyvec-dev                	       0        2        0        0        2
43493 librust-tinyvec-macros-dev         	       0        2        0        0        2
43494 librust-tokio-dev                  	       0        7        0        0        7
43495 librust-tokio-macros-dev           	       0        7        0        0        7
43496 librust-tokio-openssl-dev          	       0        1        0        0        1
43497 librust-tokio-postgres-dev         	       0        1        0        0        1
43498 librust-tokio-util-dev             	       0        1        0        0        1
43499 librust-toml-datetime-dev          	       0        1        0        0        1
43500 librust-toml-dev                   	       0        5        0        0        5
43501 librust-toml-edit-dev              	       0        1        0        0        1
43502 librust-tracing-attributes-dev     	       0        7        0        0        7
43503 librust-tracing-core-dev           	       0        7        0        0        7
43504 librust-tracing-dev                	       0        7        0        0        7
43505 librust-traitobject-dev            	       0        1        0        0        1
43506 librust-trim-in-place-dev          	       0        1        0        0        1
43507 librust-triomphe-dev               	       0        1        0        0        1
43508 librust-ttf-parser-dev             	       0        1        0        0        1
43509 librust-twox-hash-dev              	       0        1        0        0        1
43510 librust-typed-arena-dev            	       0        1        0        0        1
43511 librust-typemap-dev                	       0        1        0        0        1
43512 librust-typenum-dev                	       0        2        0        0        2
43513 librust-ucd-trie-dev               	       0        1        0        0        1
43514 librust-unarray-dev                	       0        1        0        0        1
43515 librust-unicase-dev                	       0        6        0        0        6
43516 librust-unicode-bidi-dev           	       0        2        0        0        2
43517 librust-unicode-ident-dev          	       0       13        0        0       13
43518 librust-unicode-linebreak-dev      	       0        5        0        0        5
43519 librust-unicode-normalization-dev  	       0        2        0        0        2
43520 librust-unicode-segmentation-dev   	       0        9        0        0        9
43521 librust-unicode-width-0.1-dev      	       0        1        0        0        1
43522 librust-unicode-width-dev          	       0        6        0        0        6
43523 librust-uniquote-dev               	       0        5        0        0        5
43524 librust-unsafe-any-dev             	       0        1        0        0        1
43525 librust-unsize-dev                 	       0        1        0        0        1
43526 librust-url-dev                    	       0        1        0        0        1
43527 librust-utf-8-dev                  	       0        1        0        0        1
43528 librust-utf8parse-dev              	       0        2        0        0        2
43529 librust-uuid-dev                   	       0        2        0        0        2
43530 librust-valuable-derive-dev        	       0        7        0        0        7
43531 librust-valuable-dev               	       0        7        0        0        7
43532 librust-value-bag-dev              	       0       12        0        0       12
43533 librust-value-bag-serde1-dev       	       0        2        0        0        2
43534 librust-value-bag-sval2-dev        	       0        2        0        0        2
43535 librust-vcpkg-dev                  	       0        4        0        0        4
43536 librust-version-check-dev          	       0       12        0        0       12
43537 librust-version-compare-dev        	       0        5        0        0        5
43538 librust-wait-timeout-dev           	       0        1        1        0        0
43539 librust-walkdir-dev                	       0        2        0        0        2
43540 librust-wasm-bindgen+default-dev   	       0        1        0        0        1
43541 librust-wasm-bindgen+spans-dev     	       0        1        0        0        1
43542 librust-wasm-bindgen-backend-dev   	       0        2        0        0        2
43543 librust-wasm-bindgen-dev           	       0        2        0        0        2
43544 librust-wasm-bindgen-macro+spans-dev	       0        1        0        0        1
43545 librust-wasm-bindgen-macro-dev     	       0        2        0        0        2
43546 librust-wasm-bindgen-macro-support+spans-dev	       0        1        0        0        1
43547 librust-wasm-bindgen-macro-support-dev	       0        2        0        0        2
43548 librust-wasm-bindgen-shared-dev    	       0        2        0        0        2
43549 librust-wayland-client+scoped-tls-dev	       0        1        0        0        1
43550 librust-wayland-client+use-system-lib-dev	       0        1        0        0        1
43551 librust-wayland-client-dev         	       0        1        0        0        1
43552 librust-wayland-commons-dev        	       0        1        0        0        1
43553 librust-wayland-egl-dev            	       0        1        0        0        1
43554 librust-wayland-scanner-dev        	       0        1        0        0        1
43555 librust-wayland-sys-dev            	       0        1        0        0        1
43556 librust-weezl-dev                  	       0        1        1        0        0
43557 librust-which-dev                  	       0        6        0        0        6
43558 librust-wide-dev                   	       0        1        0        0        1
43559 librust-winapi-dev                 	       0       14        0        0       14
43560 librust-winapi-i686-pc-windows-gnu-dev	       0       14        0        0       14
43561 librust-winapi-util-dev            	       0       11        0        0       11
43562 librust-winapi-x86-64-pc-windows-gnu-dev	       0       14        0        0       14
43563 librust-winnow-dev                 	       0        1        0        0        1
43564 librust-wyz-dev                    	       0        1        0        0        1
43565 librust-x11-dev                    	       0        4        0        0        4
43566 librust-xcb+xfixes-dev             	       0        1        0        0        1
43567 librust-xcb-dev                    	       0        1        0        0        1
43568 librust-xdg-dev                    	       0        1        0        0        1
43569 librust-xdg-home-dev               	       0        1        0        0        1
43570 librust-xml-rs-dev                 	       0        2        0        0        2
43571 librust-xml5ever-dev               	       0        1        0        0        1
43572 librust-xmlparser-dev              	       0        1        0        0        1
43573 librust-xmltree+indexmap-dev       	       0        1        0        0        1
43574 librust-xmltree-dev                	       0        1        0        0        1
43575 librust-xmlwriter-dev              	       0        1        0        0        1
43576 librust-xor-name-dev               	       0        1        0        0        1
43577 librust-xshell-macros-dev          	       0        1        0        0        1
43578 librust-xterm-query-dev            	       0        1        0        0        1
43579 librust-yaml-dev                   	       0        1        0        0        1
43580 librust-yaml-rust-dev              	       0        5        0        0        5
43581 librust-yansi-dev                  	       0        1        0        0        1
43582 librust-yansi-term-dev             	       0        1        0        0        1
43583 librust-yeslogic-fontconfig-sys-dev	       0        2        0        0        2
43584 librust-zerocopy-derive-dev        	       0        2        0        0        2
43585 librust-zerocopy-dev               	       0        2        0        0        2
43586 librust-zeroize-derive-dev         	       0        2        0        0        2
43587 librust-zeroize-dev                	       0        2        0        0        2
43588 librust-zstd-dev                   	       0        1        0        0        1
43589 librust-zstd-safe-dev              	       0        1        0        0        1
43590 librust-zstd-sys-dev               	       0        1        1        0        0
43591 librust-zune-core-dev              	       0        1        0        0        1
43592 librust-zune-inflate-dev           	       0        1        0        0        1
43593 librust-zune-jpeg-dev              	       0        1        0        0        1
43594 librviz5d                          	       0        1        0        0        1
43595 librviz6d                          	       0        1        0        0        1
43596 librviz7d                          	       0        1        0        0        1
43597 librw-dev                          	       0        9        9        0        0
43598 librw0                             	       0       10        0        0       10
43599 librxp0                            	       0        1        0        0        1
43600 librxtx-java                       	       0       96       95        1        0
43601 librygel-core-1.0-0                	       0        1        0        0        1
43602 librygel-core-2.0-1                	       0        1        0        0        1
43603 librygel-core-2.4-2                	       0        4        0        0        4
43604 librygel-core-2.6-2                	       0      104        0        0      104
43605 librygel-db-2.6-2                  	       0      104        0        0      104
43606 librygel-renderer-1.0-0            	       0        1        0        0        1
43607 librygel-renderer-2.4-2            	       0        3        0        0        3
43608 librygel-renderer-2.6-2            	       0       97        0        0       97
43609 librygel-renderer-gst-2.4-2        	       0        2        0        0        2
43610 librygel-renderer-gst-2.6-2        	       0       17        0        0       17
43611 librygel-ruih-2.0-1                	       0        2        0        0        2
43612 librygel-ruih-2.8-0                	       0        2        0        0        2
43613 librygel-server-1.0-0              	       0        1        0        0        1
43614 librygel-server-2.4-2              	       0        3        0        0        3
43615 librygel-server-2.6-2              	       0       97        0        0       97
43616 libryzom-clientsheets0             	       0        1        0        0        1
43617 libryzom-gameshare0                	       0        1        0        0        1
43618 libs3-4                            	       0        1        0        0        1
43619 libs3d2                            	       0        4        0        0        4
43620 libs3dw2                           	       0        3        0        0        3
43621 libs6-2.10                         	       0        3        0        0        3
43622 libs6-2.11                         	       0        7        0        0        7
43623 libs6-2.13                         	       0        1        0        0        1
43624 libsaaj-java                       	       0        4        0        0        4
43625 libsaaj-ri-java                    	       0        3        0        0        3
43626 libsaamf3                          	       0        2        0        0        2
43627 libsac-java                        	       0      739        0        0      739
43628 libsackpt3                         	       0        2        0        0        2
43629 libsaclm3                          	       0        2        0        0        2
43630 libsaevt3                          	       0        2        0        0        2
43631 libsafe-iop-dev                    	       0        1        1        0        0
43632 libsafe-iop0                       	       0        1        0        0        1
43633 libsaga                            	       0        1        0        0        1
43634 libsaga-api-2.3.1                  	       0        1        0        0        1
43635 libsaga-api8                       	       0        7        0        0        7
43636 libsaga-dev                        	       0        1        1        0        0
43637 libsaga-gdi-2.3.1                  	       0        1        0        0        1
43638 libsaga-gdi8                       	       0        7        0        0        7
43639 libsage-dev                        	       0        1        1        0        0
43640 libsage2                           	       0        2        0        0        2
43641 libsail-common0t64                 	       0        2        0        0        2
43642 libsalck3                          	       0        2        0        0        2
43643 libsam-dev                         	       0        2        2        0        0
43644 libsam-java                        	       0        1        0        0        1
43645 libsam4                            	       0        2        0        0        2
43646 libsambox-java                     	       0       65        0        0       65
43647 libsaml-doc                        	       0        1        0        0        1
43648 libsaml12                          	       0        1        0        0        1
43649 libsampleicc-dev                   	       0        1        1        0        0
43650 libsampleicc2                      	       0        1        0        0        1
43651 libsamsg4                          	       0        2        0        0        2
43652 libsane-dev                        	       0       25       24        1        0
43653 libsane-dsseries                   	       0        1        0        0        1
43654 libsane-extras                     	       0      128        0        0      128
43655 libsane-extras-common              	       0      132        0        0      132
43656 libsane-extras-dev                 	       0        5        0        0        5
43657 libsane-perl                       	       0        2        0        0        2
43658 libsanlock-client1                 	       0       32        1        0       31
43659 libsapdbc-java                     	       0        1        0        0        1
43660 libsasl2-modules-ldap              	       0        4        1        0        3
43661 libsasl2-modules-otp               	       0        2        0        0        2
43662 libsass0                           	       0        1        0        0        1
43663 libsatmr3                          	       0        2        0        0        2
43664 libsavitar0                        	       0       22        0        0       22
43665 libsavitar5t64                     	       0        2        0        0        2
43666 libsaxon-java-doc                  	       0        2        0        0        2
43667 libsaxonb-java                     	       0        2        2        0        0
43668 libsaxonhe-java                    	       0      370        0        0      370
43669 libsbc-dev                         	       0       13       13        0        0
43670 libsbjson-dev                      	       0        1        1        0        0
43671 libsbjson2.3                       	       0        1        0        0        1
43672 libsbml5                           	       0        1        0        0        1
43673 libsbml5-dev                       	       0        1        1        0        0
43674 libsbsms-dev                       	       0        2        2        0        0
43675 libsbt-launcher-interface-java     	       0        1        0        0        1
43676 libsbt-serialization-java          	       0        1        0        0        1
43677 libsbuf6                           	       0        3        0        0        3
43678 libsc-data                         	       0        3        0        0        3
43679 libsc7v5                           	       0        3        0        0        3
43680 libscala-pickling-java             	       0        1        0        0        1
43681 libscalapack-mpi-dev               	       0        4        0        0        4
43682 libscalapack-mpich2.2              	       0        1        0        0        1
43683 libscalapack-openmpi-dev           	       0        5        5        0        0
43684 libscalapack-openmpi1              	       0        1        0        0        1
43685 libscalapack-openmpi2.1            	       0        3        0        0        3
43686 libscalapack-openmpi2.2            	       0       60        0        0       60
43687 libscalar-does-perl                	       0        5        5        0        0
43688 libscalar-readonly-perl            	       0        1        0        0        1
43689 libscalar-string-perl              	       0        3        0        0        3
43690 libscalar-util-numeric-perl        	       0        1        0        0        1
43691 libscamperfile0                    	       0        2        0        0        2
43692 libscamperfile0t64                 	       0        1        0        0        1
43693 libscca-utils                      	       0        1        1        0        0
43694 libscca1                           	       0       15        0        0       15
43695 libschedule-at-perl                	       0        2        2        0        0
43696 libschroedinger-1.0-0              	       0       97        1        0       96
43697 libschroedinger-dev                	       0        6        6        0        0
43698 libschroedinger-doc                	       0        1        0        0        1
43699 libscim-dev                        	       0        1        1        0        0
43700 libscim8c2a                        	       0        1        0        0        1
43701 libsciplot1                        	       0        1        0        0        1
43702 libscope-upper-perl                	       0        6        0        0        6
43703 libscotch-5.1                      	       0        1        1        0        0
43704 libscotch-6.0                      	       0        2        0        0        2
43705 libscotch-6.1                      	       0        4        0        0        4
43706 libscotch-7.0                      	       0       62        0        0       62
43707 libscotch-dev                      	       0        5        5        0        0
43708 libscotchmetis-dev                 	       0        1        1        0        0
43709 libscotchparmetis-dev              	       0        1        1        0        0
43710 libscrollkeeper0                   	       0        1        0        0        1
43711 libscrypt-dev                      	       0        4        4        0        0
43712 libscrypt0                         	       0        4        0        0        4
43713 libscscp1                          	       0        1        0        0        1
43714 libscscp1-dev                      	       0        1        1        0        0
43715 libscsynth1                        	       0        9        0        0        9
43716 libscythestat-dev                  	       0        1        1        0        0
43717 libsdbus-c++0                      	       0       10        0        0       10
43718 libsdbus-c++1                      	       0        2        0        0        2
43719 libsdbus-c++2                      	       0        2        0        0        2
43720 libsdl-console                     	       0        9        0        0        9
43721 libsdl-console-dev                 	       0        6        6        0        0
43722 libsdl-gfx1.2-4                    	       0        3        0        0        3
43723 libsdl-gfx1.2-5                    	       0      130        0        0      130
43724 libsdl-gfx1.2-dev                  	       0       16       16        0        0
43725 libsdl-gfx1.2-doc                  	       0        5        0        0        5
43726 libsdl-gst                         	       0        2        2        0        0
43727 libsdl-image-gst                   	       0        2        0        0        2
43728 libsdl-kitchensink-dev             	       0        2        2        0        0
43729 libsdl-kitchensink1                	       0        5        0        0        5
43730 libsdl-mixer-gst                   	       0        2        0        0        2
43731 libsdl-net1.2                      	       0      257        0        1      256
43732 libsdl-net1.2-dev                  	       0       13       13        0        0
43733 libsdl-ocaml                       	       0        3        3        0        0
43734 libsdl-ocaml-dev                   	       0        3        3        0        0
43735 libsdl-pango-dev                   	       0        7        7        0        0
43736 libsdl-pango1                      	       0      132        0        0      132
43737 libsdl-perl                        	       0       90        0        0       90
43738 libsdl-sge                         	       0       16        0        0       16
43739 libsdl-sge-dev                     	       0        4        4        0        0
43740 libsdl-sound-gst                   	       0        1        0        0        1
43741 libsdl-sound1.2                    	       0      215        0        1      214
43742 libsdl-sound1.2-dev                	       0        9        9        0        0
43743 libsdl-stretch-0-3                 	       0        1        0        0        1
43744 libsdl-stretch-dev                 	       0        1        1        0        0
43745 libsdl-ttf-gst                     	       0        1        0        0        1
43746 libsdl-ttf2.0-dev                  	       0       24       24        0        0
43747 libsdl1.2-compat                   	       0        7        0        0        7
43748 libsdl1.2-compat-dbgsym            	       0        1        1        0        0
43749 libsdl1.2-compat-dev               	       0        2        2        0        0
43750 libsdl1.2-compat-shim              	       0        4        0        0        4
43751 libsdl1.2-compat-tests             	       0        1        0        0        1
43752 libsdl2-2.0-0-dbgsym               	       0        1        1        0        0
43753 libsdl2-build-deps-depends         	       0        1        0        0        1
43754 libsdl2-dev-dbgsym                 	       0        1        1        0        0
43755 libsdl2-doc                        	       0       16        0        0       16
43756 libsdl2-gfx-1.0-0                  	       0       89        0        0       89
43757 libsdl2-gfx-dev                    	       0       29       29        0        0
43758 libsdl2-gfx-doc                    	       0       12        0        0       12
43759 libsdl2-image-2.0-0-dbgsym         	       0        1        1        0        0
43760 libsdl2-image-dev                  	       0       69       68        1        0
43761 libsdl2-image-tests                	       0        4        0        0        4
43762 libsdl2-mixer-2.0-0-dbgsym         	       0        1        1        0        0
43763 libsdl2-mixer-dev                  	       0       55       54        1        0
43764 libsdl2-net-2.0-0                  	       0      152        2        0      150
43765 libsdl2-net-dev                    	       0       29       29        0        0
43766 libsdl2-pango4                     	       0        1        0        0        1
43767 libsdl2-tests                      	       0        5        0        0        5
43768 libsdl2-ttf-dev                    	       0       58       56        2        0
43769 libsdl3-0                          	       0        1        0        0        1
43770 libsdl3-image0                     	       0        1        0        0        1
43771 libsdl3-ttf0                       	       0        1        0        0        1
43772 libsdo-api-java                    	       0        3        0        0        3
43773 libsdp0                            	       0        2        0        0        2
43774 libsdsl-dev                        	       0        1        0        0        1
43775 libsdsl3                           	       0        2        0        0        2
43776 libseafile0                        	       0        4        0        0        4
43777 libseafile0t64                     	       0        1        0        0        1
43778 libsearch-elasticsearch-perl       	       0        2        2        0        0
43779 libsearch-gin-perl                 	       0        1        1        0        0
43780 libsearch-queryparser-perl         	       0        1        1        0        0
43781 libsearpc-dev                      	       0        1        1        0        0
43782 libsearpc1                         	       0        6        0        0        6
43783 libsearpc1t64                      	       0        2        0        0        2
43784 libseat-dev                        	       0        4        4        0        0
43785 libseccomp-dev                     	       0       22       21        1        0
43786 libsecondstring-java               	       0        2        0        0        2
43787 libsecp256k1-1                     	       0       27        0        0       27
43788 libsecp256k1-2                     	       0        1        0        0        1
43789 libsecp256k1-dev                   	       0        6        6        0        0
43790 libsecret-1-dev                    	       0       44       44        0        0
43791 libsecret-common                   	       0     3500        1        1     3498
43792 libsedlex-ocaml                    	       0        1        1        0        0
43793 libsedlex-ocaml-dev                	       0        1        1        0        0
43794 libseed-gtk3-0                     	       0        2        2        0        0
43795 libsejda-commons-java              	       0       54        0        0       54
43796 libsejda-eventstudio-java          	       0       64        0        0       64
43797 libsejda-injector-java             	       0       64        0        0       64
43798 libsejda-io-java                   	       0       66        0        0       66
43799 libsejda-java                      	       0       66        0        0       66
43800 libselenium-remote-driver-perl     	       0        1        1        0        0
43801 libsemanage-common                 	       0     4178        0        0     4178
43802 libsemanage1                       	       0     1129        0        0     1129
43803 libsemanage1-dev                   	       0        1        1        0        0
43804 libsemver-clojure                  	       0        1        0        0        1
43805 libsemver-java                     	       0       84        0        0       84
43806 libsensor-msgs-dev                 	       0        1        1        0        0
43807 libsensors-applet-plugin0          	       0       26        0        0       26
43808 libsensors-config                  	       0     3763        0        0     3763
43809 libsensors-dev                     	       0       40       40        0        0
43810 libsensors3                        	       0        4        0        0        4
43811 libsentencepiece0                  	       0        1        0        0        1
43812 libsequel-ruby1.9.1                	       0        1        0        0        1
43813 libsequence-library-java           	       0       13        0        0       13
43814 libserd-dev                        	       0       22       21        1        0
43815 libsereal-decoder-perl             	       0      662        2        0      660
43816 libsereal-encoder-perl             	       0      663        2        0      661
43817 libsereal-perl                     	       0       62       61        1        0
43818 libserf-0-0                        	       0        6        0        0        6
43819 libserf-dev                        	       0        1        1        0        0
43820 libserf1                           	       0        1        0        0        1
43821 libserializer-java                 	       0      732        0        0      732
43822 libserializer-java-openoffice.org  	       0        1        0        0        1
43823 libserialport-dev                  	       0        5        5        0        0
43824 libserver-starter-perl             	       0        6        6        0        0
43825 libservice-wrapper-java            	       0        8        0        0        8
43826 libservlet-api-java                	       0      807        0        0      807
43827 libservlet-api-java-doc            	       0        7        0        0        7
43828 libservlet2.3-java                 	       0        2        0        0        2
43829 libservlet2.4-java                 	       0        1        0        0        1
43830 libservlet2.5-java                 	       0       29        0        0       29
43831 libservlet3.0-java                 	       0       10        0        0       10
43832 libservlet3.1-java                 	       0      804        0        0      804
43833 libsession-token-perl              	       0        1        0        0        1
43834 libset-infinite-perl               	       0       11       11        0        0
43835 libset-object-perl                 	       0       24        0        0       24
43836 libsetools-tcl                     	       0        2        0        0        2
43837 libsexp-processor-ruby1.9.1        	       0        1        0        0        1
43838 libsexplib0-ocaml                  	       0        6        6        0        0
43839 libsexplib0-ocaml-dev              	       0        5        5        0        0
43840 libsexy2                           	       0        4        0        0        4
43841 libsfark-dev                       	       0        1        1        0        0
43842 libsfark0                          	       0       10        0        0       10
43843 libsfcgal-dev                      	       0        3        3        0        0
43844 libsfcgal2                         	       0        2        0        0        2
43845 libsfcutil0                        	       0        1        0        0        1
43846 libsfdo0                           	       0        1        0        0        1
43847 libsfml-audio2                     	       0        1        0        0        1
43848 libsfml-audio2.4                   	       0        2        0        0        2
43849 libsfml-audio2.5                   	       0       64        1        0       63
43850 libsfml-audio2.6                   	       0        8        0        0        8
43851 libsfml-dev                        	       0       24        0        0       24
43852 libsfml-doc                        	       0        2        2        0        0
43853 libsfml-graphics2                  	       0        1        0        0        1
43854 libsfml-graphics2.4                	       0        2        0        0        2
43855 libsfml-graphics2.5                	       0       67        2        0       65
43856 libsfml-graphics2.6                	       0        8        0        0        8
43857 libsfml-network2                   	       0        1        0        0        1
43858 libsfml-network2.4                 	       0        1        0        0        1
43859 libsfml-network2.5                 	       0       37        0        0       37
43860 libsfml-network2.6                 	       0        5        0        0        5
43861 libsfml-system2                    	       0        1        0        0        1
43862 libsfml-system2.4                  	       0        2        0        0        2
43863 libsfml-system2.5                  	       0       79        2        0       77
43864 libsfml-system2.6                  	       0        9        0        0        9
43865 libsfml-window2                    	       0        1        0        0        1
43866 libsfml-window2.4                  	       0        2        0        0        2
43867 libsfml-window2.5                  	       0       67        2        0       65
43868 libsfml-window2.6                  	       0        8        0        0        8
43869 libsframe1                         	       0      249        1        0      248
43870 libsgml-parser-opensp-perl         	       0        2        0        0        2
43871 libsgutils1-0                      	       0        1        0        0        1
43872 libsgutils2-1.48                   	       0       27        0        0       27
43873 libsgutils2-2                      	       0      204        0        0      204
43874 libsgutils2-dev                    	       0        2        2        0        0
43875 libshaderc-dev                     	       0        5        5        0        0
43876 libshaderc1                        	       0       42        1        0       41
43877 libshairplay-dev                   	       0        2        2        0        0
43878 libshairport-dev                   	       0        2        2        0        0
43879 libshairport1                      	       0        1        0        0        1
43880 libshairport2                      	       0        4        0        0        4
43881 libshape-msgs-dev                  	       0        1        1        0        0
43882 libshark-dev                       	       0        1        1        0        0
43883 libshark0                          	       0        1        0        0        1
43884 libsharp0                          	       0        6        0        0        6
43885 libshell-posix-select-perl         	       0        3        3        0        0
43886 libshell-utils-clojure             	       0        1        0        0        1
43887 libshhmsg1                         	       0        4        0        0        4
43888 libshhopt1                         	       0        4        0        0        4
43889 libshiboken-dev                    	       0        1        1        0        0
43890 libshiboken-py3-1.2v5              	       0        2        0        0        2
43891 libshiboken1.2v5                   	       0       10        0        0       10
43892 libshiboken2-5.11                  	       0       10        0        0       10
43893 libshiboken2-dev                   	       0        5        5        0        0
43894 libshiboken6-py3-6.8               	       0        3        0        3        0
43895 libshine-dev                       	       0       19       19        0        0
43896 libshine-ocaml                     	       0        1        1        0        0
43897 libshine-ocaml-dev                 	       0        1        1        0        0
43898 libshishi-dev                      	       0        3        3        0        0
43899 libshishi0                         	       0        4        0        0        4
43900 libshogun-dev                      	       0        1        1        0        0
43901 libshogun16                        	       0        1        0        0        1
43902 libshout-idjc-dev                  	       0        2        2        0        0
43903 libshout-idjc3                     	       0        8        0        0        8
43904 libshout-tools                     	       0        2        2        0        0
43905 libshout3-dev                      	       0       17        2        0       15
43906 libshp-dev                         	       0       11       11        0        0
43907 libshp1                            	       0        2        0        0        2
43908 libshp2                            	       0      188        0        0      188
43909 libshp4                            	       0       25        0        0       25
43910 libshumate-1.0-1                   	       0      114        0        0      114
43911 libshumate-common                  	       0      114        0        0      114
43912 libshumate-dev                     	       0        1        1        0        0
43913 libsidplay1                        	       0       13        0        0       13
43914 libsidplay1-dev                    	       0        1        1        0        0
43915 libsidplay1v5                      	       0     2877        0        0     2877
43916 libsidplayfp                       	       0        1        0        0        1
43917 libsidplayfp-dev                   	       0        2        2        0        0
43918 libsidplayfp-doc                   	       0        1        0        0        1
43919 libsidplayfp4                      	       0       31        0        0       31
43920 libsidutils-dev                    	       0        3        3        0        0
43921 libsieve2-1                        	       0        1        0        0        1
43922 libsigc++-1.2-5c2                  	       0        1        0        0        1
43923 libsigc++-2.0-dev                  	       0       85       85        0        0
43924 libsigc++-2.0-doc                  	       0        5        0        0        5
43925 libsigc++-3.0-dev                  	       0       11       11        0        0
43926 libsigc++0c2                       	       0        1        0        0        1
43927 libsight                           	       0        1        1        0        0
43928 libsignal-protocol-c-dev           	       0        5        5        0        0
43929 libsignal-protocol-c2              	       0        4        0        0        4
43930 libsignatures-java                 	       0        2        0        0        2
43931 libsignon-extension1               	       0       30        0        0       30
43932 libsignon-glib-dev                 	       0        2        2        0        0
43933 libsignon-glib1                    	       0        2        0        0        2
43934 libsignon-glib2                    	       0       12        6        0        6
43935 libsignon-plugins-common1          	       0      767        0        0      767
43936 libsignon-plugins-doc              	       0        1        0        0        1
43937 libsignon-qt6-dev                  	       0        1        1        0        0
43938 libsignon-qt6-doc                  	       0        1        0        0        1
43939 libsigrokcxx4                      	       0       22        0        0       22
43940 libsigrokcxx4t64                   	       0        3        0        0        3
43941 libsigrokdecode4                   	       0       25        0        0       25
43942 libsigscan1                        	       0       14        0        0       14
43943 libsigsegv-dev                     	       0        2        2        0        0
43944 libsigsegv0                        	       0        4        0        0        4
43945 libsilc-1.1-2                      	       0        2        0        0        2
43946 libsilc-1.1-2-dbg                  	       0        1        1        0        0
43947 libsilc-dev                        	       0        1        1        0        0
43948 libsilcclient-1.1-3                	       0        2        0        0        2
43949 libsilcclient-1.1-3-dbg            	       0        1        1        0        0
43950 libsilk1                           	       0        1        0        0        1
43951 libsilly                           	       0        4        0        0        4
43952 libsilly-dev                       	       0        2        2        0        0
43953 libsilo-bin                        	       0        1        1        0        0
43954 libsilo-dev                        	       0        2        2        0        0
43955 libsiloh5-0                        	       0        2        0        0        2
43956 libsiloh5-0t64                     	       0        1        0        0        1
43957 libsimage-dev                      	       0        2        2        0        0
43958 libsimage20                        	       0        2        0        0        2
43959 libsimavr-dev                      	       0        3        3        0        0
43960 libsimavr-examples                 	       0        2        0        0        2
43961 libsimavr2                         	       0        9        0        0        9
43962 libsimavrparts1                    	       0        4        0        0        4
43963 libsimbody-dev                     	       0        1        1        0        0
43964 libsimbody3.7                      	       0        1        0        0        1
43965 libsimde-dev                       	       0       10       10        0        0
43966 libsimdjson14                      	       0        3        0        0        3
43967 libsimdjson19                      	       0        1        0        0        1
43968 libsimgearcore3.0.0                	       0        2        0        0        2
43969 libsimple-http-java                	       0       47        0        0       47
43970 libsimple-validation-java          	       0        4        0        0        4
43971 libsimpleini1t64                   	       0        4        0        0        4
43972 libsinatra-ruby1.9.1               	       0        1        0        0        1
43973 libsingular4-dev                   	       0        9        9        0        0
43974 libsingular4-dev-common            	       0        9        9        0        0
43975 libsingular4m1                     	       0        1        0        0        1
43976 libsingular4m2n1                   	       0        1        0        0        1
43977 libsingular4m3n0                   	       0       12        0        0       12
43978 libsingular4m4n1                   	       0        2        0        0        2
43979 libsis-base-java                   	       0        3        0        0        3
43980 libsis-base-jni                    	       0        3        3        0        0
43981 libsis-jhdf5-java                  	       0        3        0        0        3
43982 libsis-jhdf5-jni                   	       0        3        3        0        0
43983 libsiscone-dev                     	       0        1        1        0        0
43984 libsiscone-spherical-dev           	       0        1        1        0        0
43985 libsiscone-spherical0v5            	       0        1        0        0        1
43986 libsiscone0v5                      	       0        1        0        0        1
43987 libsisimai-perl                    	       0        2        2        0        0
43988 libsisu-guice-java                 	       0      134        0        0      134
43989 libsisu-inject-java                	       0      461        0        0      461
43990 libsisu-ioc-java                   	       0      133        0        0      133
43991 libsisu-maven-plugin-java          	       0        1        0        0        1
43992 libsisu-plexus-java                	       0      461        0        0      461
43993 libsixel-dev                       	       0       15       15        0        0
43994 libsixel-examples                  	       0        1        0        0        1
43995 libskarnet2.10                     	       0        4        0        0        4
43996 libskarnet2.12                     	       0        1        0        0        1
43997 libskarnet2.13                     	       0        7        3        0        4
43998 libskarnet2.14t64                  	       0        2        0        0        2
43999 libskarnet2.7                      	       0        3        0        0        3
44000 libskinlf-java                     	       0       16        0        0       16
44001 libskk-common                      	       0        2        0        0        2
44002 libskk0                            	       0        2        0        0        2
44003 libskstream-0.3-4                  	       0        1        0        0        1
44004 libskstream-0.3-7                  	       0        1        0        0        1
44005 libsl0-heimdal                     	       0       21        0        0       21
44006 libsl0t64-heimdal                  	       0        1        0        0        1
44007 libslang1                          	       0        1        0        0        1
44008 libslang2-modules                  	       0       40        0        0       40
44009 libslang2-pic                      	       0        2        1        0        1
44010 libsleef-dev                       	       0        1        1        0        0
44011 libsleef3                          	       0       15        0        0       15
44012 libslepc-real3.18                  	       0        2        0        0        2
44013 libslepc-real3.18-dev              	       0        1        0        0        1
44014 libslf4j-java                      	       0      589        0        0      589
44015 libslicot-dev                      	       0        1        0        0        1
44016 libslicot0                         	       0        9        0        0        9
44017 libslingshot-clojure               	       0        1        0        0        1
44018 libsliplu1                         	       0       30        0        0       30
44019 libslirp-dev                       	       0       10        9        1        0
44020 libslirp-helper                    	       0        1        0        1        0
44021 libslopy7.6                        	       0       30        0        0       30
44022 libslp-dev                         	       0        3        3        0        0
44023 libslurm-dev                       	       0        1        1        0        0
44024 libslurm36                         	       0        3        0        0        3
44025 libslurm38                         	       0       10        0        0       10
44026 libslurm39                         	       0        1        0        0        1
44027 libslv2-9                          	       0       21        0        0       21
44028 libslvs1                           	       0        3        0        0        3
44029 libslvs1-dev                       	       0        1        1        0        0
44030 libsm-doc                          	       0        7        0        0        7
44031 libsmali-java                      	       0       28       21        0        7
44032 libsmallfry-dev                    	       0        1        1        0        0
44033 libsmallfry0                       	       0        1        0        0        1
44034 libsmartcols1-dbgsym               	       0        1        1        0        0
44035 libsmbclient-dev                   	       0       26       25        1        0
44036 libsmbios-bin                      	       0        2        2        0        0
44037 libsmbios-dev                      	       0        1        0        1        0
44038 libsmbios-doc                      	       0        5        0        0        5
44039 libsmbios2                         	       0        3        0        0        3
44040 libsmc-dev                         	       0        1        1        0        0
44041 libsmdev1                          	       0       14        0        0       14
44042 libsmf-dev                         	       0        1        1        0        0
44043 libsmf0                            	       0       45        0        0       45
44044 libsmf0t64                         	       0        2        0        0        2
44045 libsmi2-common                     	       0        1        0        0        1
44046 libsmi2-dev                        	       0        2        2        0        0
44047 libsmi2ldbl                        	       0      346        1        0      345
44048 libsmi2t64                         	       0       25        0        0       25
44049 libsmithwaterman0                  	       0        1        0        0        1
44050 libsml1                            	       0        1        0        0        1
44051 libsmlnj-smlnj                     	       0        2        0        0        2
44052 libsmltk0                          	       0        2        0        0        2
44053 libsmltk0t64                       	       0        1        0        0        1
44054 libsmokebase3                      	       0        3        0        0        3
44055 libsmokebase3v5                    	       0        8        0        0        8
44056 libsmokekdecore4-3                 	       0        3        0        0        3
44057 libsmokekdeui4-3                   	       0        3        0        0        3
44058 libsmokekfile3                     	       0        3        0        0        3
44059 libsmokekhtml3                     	       0        3        0        0        3
44060 libsmokekio3                       	       0        3        0        0        3
44061 libsmokeknewstuff2-3               	       0        3        0        0        3
44062 libsmokeknewstuff3-3               	       0        3        0        0        3
44063 libsmokekparts3                    	       0        3        0        0        3
44064 libsmokektexteditor3               	       0        3        0        0        3
44065 libsmokekutils3                    	       0        3        0        0        3
44066 libsmokeokular3                    	       0        1        0        0        1
44067 libsmokephonon3                    	       0        2        0        0        2
44068 libsmokeplasma3                    	       0        3        0        0        3
44069 libsmokeqtcore4-3                  	       0        8        0        0        8
44070 libsmokeqtdbus4-3                  	       0        6        0        0        6
44071 libsmokeqtgui4-3                   	       0        8        0        0        8
44072 libsmokeqtnetwork4-3               	       0        8        0        0        8
44073 libsmokeqtopengl4-3                	       0        6        0        0        6
44074 libsmokeqtscript4-3                	       0        3        0        0        3
44075 libsmokeqtsql4-3                   	       0        6        0        0        6
44076 libsmokeqtsvg4-3                   	       0        6        0        0        6
44077 libsmokeqttest4-3                  	       0        3        0        0        3
44078 libsmokeqtuitools4-3               	       0        3        0        0        3
44079 libsmokeqtwebkit4-3                	       0        3        0        0        3
44080 libsmokeqtxml4-3                   	       0        6        0        0        6
44081 libsmokesolid3                     	       0        3        0        0        3
44082 libsmoketqt1-trinity               	       0        3        0        0        3
44083 libsmpeg-dev                       	       0        6        6        0        0
44084 libsmpeg0                          	       0       51        0        0       51
44085 libsmpeg0t64                       	       0        4        0        0        4
44086 libsmraw1                          	       0       14        0        0       14
44087 libsms-send-perl                   	       0        2        2        0        0
44088 libsnacc-dev                       	       0        3        3        0        0
44089 libsnacc0c2                        	       0        4        0        0        4
44090 libsnack-alsa                      	       0        8        8        0        0
44091 libsnack-oss                       	       0       16       16        0        0
44092 libsnack2                          	       0        1        1        0        0
44093 libsnapd-glib-dev                  	       0        3        3        0        0
44094 libsnapd-glib1                     	       0        5        0        0        5
44095 libsnapd-qt-2-1                    	       0        9        1        0        8
44096 libsnapd-qt-dev                    	       0        3        3        0        0
44097 libsnapd-qt1                       	       0        2        0        0        2
44098 libsnapper5                        	       0        1        0        0        1
44099 libsnapper6                        	       0       14        0        0       14
44100 libsnapper7t64                     	       0        3        0        0        3
44101 libsnappy-dev                      	       0       24       23        1        0
44102 libsnappy-java                     	       0      377        0        0      377
44103 libsnappy-jni                      	       0      379        0        0      379
44104 libsnappy1                         	       0        3        0        0        3
44105 libsndifsdl2-dev                   	       0        1        1        0        0
44106 libsndio6.0                        	       0        1        0        0        1
44107 libsndio6.1                        	       0      293        0        0      293
44108 libsndobj-dev                      	       0        1        1        0        0
44109 libsndobj2v5                       	       0        4        0        0        4
44110 libsnl0                            	       0        5        0        0        5
44111 libsnl0t64                         	       0        1        0        0        1
44112 libsnmp-base                       	       0     3204        0        0     3204
44113 libsnmp-info-perl                  	       0        1        1        0        0
44114 libsnmp-mib-compiler-perl          	       0        2        2        0        0
44115 libsnmp-perl                       	       0       33        2        0       31
44116 libsnmp35                          	       0        1        0        0        1
44117 libsnmp9                           	       0        1        0        0        1
44118 libsnmpkit2c2a                     	       0        3        0        0        3
44119 libsnp-sites1                      	       0        1        0        0        1
44120 libsoap-wsdl-perl                  	       0       11       11        0        0
44121 libsoapysdr-dev                    	       0        4        4        0        0
44122 libsoapysdr0.6                     	       0        1        0        0        1
44123 libsoapysdr0.7                     	       0        6        0        0        6
44124 libsoci-core4.0                    	       0       29        3        0       26
44125 libsoci-sqlite3-4.0                	       0       29        3        0       26
44126 libsocialweb-client2               	       0        9        0        0        9
44127 libsocialweb-common                	       0        9        0        0        9
44128 libsocialweb-service               	       0        6        6        0        0
44129 libsocialweb0                      	       0        7        0        0        7
44130 libsocket++-dev                    	       0        1        1        0        0
44131 libsocket++1                       	       0      482        0        0      482
44132 libsocket-getaddrinfo-perl         	       0       20       20        0        0
44133 libsocket-wrapper                  	       0        1        1        0        0
44134 libsocketcan2                      	       0        6        0        0        6
44135 libsocks4                          	       0        3        3        0        0
44136 libsodium13                        	       0       12        0        0       12
44137 libsodium18                        	       0       99        0        0       99
44138 libsofa1                           	       0        1        0        0        1
44139 libsofia-sip-ua-glib3t64           	       0        1        1        0        0
44140 libsofia-sip-ua0t64                	       0        1        1        0        0
44141 libsofthsm2                        	       0        7        7        0        0
44142 libsofthsm2-dev                    	       0        1        1        0        0
44143 libsoftware-copyright-perl         	       0        1        0        1        0
44144 libsoftware-licensemoreutils-perl  	       0       22       21        1        0
44145 libsoil-dev                        	       0        4        4        0        0
44146 libsoil1                           	       0       12        0        0       12
44147 libsolv-tools                      	       0        4        4        0        0
44148 libsolv1                           	       0        6        0        0        6
44149 libsolvext1                        	       0        6        0        0        6
44150 libsonic-dev                       	       0        1        1        0        0
44151 libsonivox3                        	       0       26        0        0       26
44152 libsoprano-dev                     	       0        1        1        0        0
44153 libsopt-dev                        	       0        2        2        0        0
44154 libsopt3.0                         	       0        3        0        0        3
44155 libsoqt4-20                        	       0        6        0        0        6
44156 libsoqt520                         	       0      107        0        0      107
44157 libsoqt520t64                      	       0       15        0        0       15
44158 libsord-dev                        	       0       22       21        1        0
44159 libsort-key-perl                   	       0      168        0        0      168
44160 libsort-maker-perl                 	       0        1        1        0        0
44161 libsoundio-dev                     	       0        3        3        0        0
44162 libsoundio1                        	       0        9        0        0        9
44163 libsoundio2                        	       0       47        0        0       47
44164 libsoundtouch-dev                  	       0       13       13        0        0
44165 libsoundtouch0                     	       0       25        0        0       25
44166 libsoundtouch1c2                   	       0        1        0        0        1
44167 libsoup-3.0-dev                    	       0       25       24        1        0
44168 libsoup-3.0-doc                    	       0        2        0        0        2
44169 libsoup-3.0-tests                  	       0        1        0        0        1
44170 libsoup-gnome-2.4-1                	       0       23        0        0       23
44171 libsoup-gnome2.4-dev               	       0        3        3        0        0
44172 libsoup2.4-common                  	       0     2364        0        0     2364
44173 libsoup2.4-dev                     	       0       64       62        2        0
44174 libsoup2.4-doc                     	       0        4        0        0        4
44175 libsource-highlight-common         	       0      602        0        0      602
44176 libsource-highlight3               	       0        1        0        0        1
44177 libsource-highlight4               	       0        1        0        0        1
44178 libsource-highlight4t64            	       0       67        0        0       67
44179 libsox-dev                         	       0        6        6        0        0
44180 libsox-fmt-all                     	       0       64        0        0       64
44181 libsox-fmt-opus                    	       0       10        0        0       10
44182 libsox1b                           	       0        2        0        0        2
44183 libsox2                            	       0       71        0        0       71
44184 libsoxr-dev                        	       0       26       26        0        0
44185 libsoxr-lsr0                       	       0       26        0        0       26
44186 libsp1c2                           	       0       15        0        0       15
44187 libspa-0.2-jack                    	       0       17        0        0       17
44188 libspa-bluetooth                   	       0        3        0        0        3
44189 libspa-ffmpeg                      	       0        5        0        0        5
44190 libspa-lib-0.1-dev                 	       0        1        1        0        0
44191 libspandsp-dev                     	       0       15       15        0        0
44192 libspandsp-doc                     	       0        1        0        0        1
44193 libspandsp2t64                     	       0      211        1        0      210
44194 libsparsehash-dev                  	       0        2        2        0        0
44195 libsparskit-dev                    	       0        1        1        0        0
44196 libsparskit2.0                     	       0        1        0        0        1
44197 libspatialaudio-dev                	       0        5        5        0        0
44198 libspatialaudio0                   	       0     1407        1        0     1406
44199 libspatialaudio0t64                	       0      132        0        0      132
44200 libspatialindex-c4v5               	       0        1        0        0        1
44201 libspatialindex-c5                 	       0        1        0        0        1
44202 libspatialindex-c6                 	       0       33        0        0       33
44203 libspatialindex-c7                 	       0        4        0        0        4
44204 libspatialindex-c8                 	       0        5        0        0        5
44205 libspatialindex-dev                	       0       40       39        1        0
44206 libspatialindex3                   	       0        1        0        0        1
44207 libspatialindex4v5                 	       0        4        0        0        4
44208 libspatialindex5                   	       0        6        0        0        6
44209 libspatialindex7                   	       0        7        0        0        7
44210 libspatialindex8                   	       0        6        0        0        6
44211 libspatialite5                     	       0        1        0        0        1
44212 libspatialite8                     	       0        8        1        0        7
44213 libspatialite8t64                  	       0       62        0        0       62
44214 libspctag1                         	       0        1        0        0        1
44215 libspdlog1.15                      	       0       32        3        0       29
44216 libspdylay-dev                     	       0        1        1        0        0
44217 libspdylay7                        	       0        1        0        0        1
44218 libspec-alpha-clojure              	       0       18        0        0       18
44219 libspecio-library-path-tiny-perl   	       0        1        1        0        0
44220 libspectre-dev                     	       0       27       27        0        0
44221 libspectrum8                       	       0       15        0        0       15
44222 libspeechd-dev                     	       0       14       13        1        0
44223 libspeex-ocaml                     	       0        1        0        0        1
44224 libspeex-ocaml-dev                 	       0        1        1        0        0
44225 libspeexdsp-dev                    	       0       56       56        0        0
44226 libspelling-1-2                    	       0        7        0        0        7
44227 libspelling-common                 	       0        7        0        0        7
44228 libspex2                           	       0        1        0        0        1
44229 libspex3                           	       0        3        0        0        3
44230 libsphere-dev                      	       0        3        3        0        0
44231 libsphere0d                        	       0        3        0        0        3
44232 libsphinx-dev                      	       0        1        1        0        0
44233 libsphinx-search-perl              	       0        1        1        0        0
44234 libsphinx0                         	       0        1        0        0        1
44235 libsphinx2g0                       	       0        1        0        0        1
44236 libsphinxbase-dev                  	       0        6        6        0        0
44237 libsphinxbase-doc                  	       0        1        0        0        1
44238 libspice-client-glib-2.0-dev       	       0        6        6        0        0
44239 libspice-client-gtk-2.0-4          	       0        1        0        0        1
44240 libspice-client-gtk-3.0-4          	       0       11        0        0       11
44241 libspice-client-gtk-3.0-dev        	       0        2        2        0        0
44242 libspice-protocol-dev              	       0       15       15        0        0
44243 libspice-server-dev                	       0        9        9        0        0
44244 libspiffy-perl                     	       0       46       46        0        0
44245 libspin-java                       	       0       25        0        0       25
44246 libspiro-dev                       	       0        3        3        0        0
44247 libspiro0                          	       0       17        0        0       17
44248 libspiro1                          	       0      113        0        0      113
44249 libspiro1-dbgsym                   	       0        1        1        0        0
44250 libspirv-cross-c-shared-dev        	       0       14       14        0        0
44251 libspirv-cross-c-shared0           	       0       14        0        0       14
44252 libsplashy1                        	       0        2        0        0        2
44253 libspnav-dev                       	       0       13       13        0        0
44254 libspng-dev                        	       0        4        4        0        0
44255 libspng-doc                        	       0        4        0        0        4
44256 libspng0                           	       0        6        1        0        5
44257 libspoa1.1.3                       	       0        1        0        0        1
44258 libspoa7.0.0                       	       0        1        0        0        1
44259 libspock-java                      	       0        1        0        0        1
44260 libspooles-dev                     	       0        1        1        0        0
44261 libspooles2.2                      	       0      103        0        0      103
44262 libspooles2.2t64                   	       0        4        0        0        4
44263 libspqr1.3.1                       	       0        1        0        0        1
44264 libspqr2                           	       0       86        0        0       86
44265 libspqr3                           	       0        1        0        0        1
44266 libspqr4                           	       0        9        0        0        9
44267 libspread-sheet-widget             	       0        9        0        0        9
44268 libspread-sheet-widget0            	       0        1        0        0        1
44269 libspreadsheet-parsexlsx-perl      	       0        2        2        0        0
44270 libspreadsheet-read-perl           	       0        2        2        0        0
44271 libspreadsheet-readsxc-perl        	       0        2        2        0        0
44272 libspreadsheet-ruby1.9.1           	       0        1        0        0        1
44273 libspreadsheet-wright-perl         	       0        1        1        0        0
44274 libspring-aop-java                 	       0       13        0        0       13
44275 libspring-beans-java               	       0       18        0        0       18
44276 libspring-context-java             	       0       13        0        0       13
44277 libspring-core-java                	       0       19        0        0       19
44278 libspring-expression-java          	       0       13        0        0       13
44279 libspring-instrument-java          	       0       11        0        0       11
44280 libspring-jdbc-java                	       0        7        0        0        7
44281 libspring-oxm-java                 	       0        2        0        0        2
44282 libspring-transaction-java         	       0        7        0        0        7
44283 libspring-web-java                 	       0        2        0        0        2
44284 libsprng2                          	       0        3        0        0        3
44285 libsql-abstract-classic-perl       	       0        4        4        0        0
44286 libsql-abstract-limit-perl         	       0       24       24        0        0
44287 libsql-abstract-pg-perl            	       0        2        2        0        0
44288 libsql-splitstatement-perl         	       0        1        1        0        0
44289 libsql-statement-perl              	       0       15       15        0        0
44290 libsql-tokenizer-perl              	       0        1        1        0        0
44291 libsqlcipher-dev                   	       0        6        5        1        0
44292 libsqlcipher1                      	       0       13        0        0       13
44293 libsqlite-tcl                      	       0        2        2        0        0
44294 libsqlite0                         	       0       57        0        0       57
44295 libsqlite0-dev                     	       0        9        9        0        0
44296 libsqlite3-mod-impexp              	       0        2        0        0        2
44297 libsqlite3-mod-spatialite          	       0       50        0        0       50
44298 libsqlite3-ruby1.9.1               	       0        1        0        0        1
44299 libsqliteodbc                      	       0        9        0        0        9
44300 libsqljet-java                     	       0        8        0        0        8
44301 libsquashfs-dev                    	       0        2        2        0        0
44302 libsquashfs1                       	       0       27        0        0       27
44303 libsquashfuse-dev                  	       0        2        1        1        0
44304 libsquid-dev                       	       0        1        1        0        0
44305 libsquid1                          	       0        1        0        0        1
44306 libsquirrel3-0                     	       0        2        0        0        2
44307 libsquish-dev                      	       0        4        4        0        0
44308 libsquish0                         	       0      124        0        0      124
44309 libsquizz                          	       0        1        0        0        1
44310 libsratom-dev                      	       0       22       21        1        0
44311 libsrecord0                        	       0       12        0        0       12
44312 libsrecord0t64                     	       0        3        0        0        3
44313 libsrt-gnutls-dev                  	       0        7        6        1        0
44314 libsrt-openssl-dev                 	       0        9        9        0        0
44315 libsrt1                            	       0        1        0        0        1
44316 libsrt1-gnutls                     	       0        1        0        0        1
44317 libsrtp0                           	       0       70        1        0       69
44318 libsrtp0-dev                       	       0       10       10        0        0
44319 libsrtp2-dev                       	       0       18       18        0        0
44320 libsrtp2-docs                      	       0        1        0        0        1
44321 libsru-perl                        	       0        2        2        0        0
44322 libss2                             	       0     4147        0        0     4147
44323 libss2t64                          	       0       23        0        0       23
44324 libss7-2.0                         	       0        2        2        0        0
44325 libssh-doc                         	       0        2        2        0        0
44326 libssh-gcrypt-dev                  	       0        7        7        0        0
44327 libssh2-php                        	       0        1        0        0        1
44328 libssl-doc                         	       0      183        9        0      174
44329 libssl-utils-clojure               	       0        1        0        0        1
44330 libssl0.9.7                        	       0        1        1        0        0
44331 libssl1.0-dev                      	       0        2        2        0        0
44332 libssl1.0.0-dbg                    	       0        1        1        0        0
44333 libssl1.1-dbgsym                   	       0        1        1        0        0
44334 libssm-dev                         	       0        1        1        0        0
44335 libssm2                            	       0        1        0        0        1
44336 libsss-nss-idmap0                  	       0       27        0        0       27
44337 libsss-sudo                        	       0       21        1        0       20
44338 libsstp-api-0                      	       0       22        0        0       22
44339 libssw-java                        	       0        1        1        0        0
44340 libssw0                            	       0        1        0        0        1
44341 libstaden-read14                   	       0        1        0        0        1
44342 libstarlink-ast-dev                	       0        2        2        0        0
44343 libstarlink-ast-err0               	       0        1        0        0        1
44344 libstarlink-ast-err9               	       0       13        0        0       13
44345 libstarlink-ast-grf3d9             	       0        2        0        0        2
44346 libstarlink-ast0                   	       0        1        0        0        1
44347 libstarlink-ast9                   	       0       13        0        0       13
44348 libstarlink-pal-dev                	       0        2        2        0        0
44349 libstarlink-pal-doc                	       0        2        0        0        2
44350 libstarlink-pal0                   	       0       14        0        0       14
44351 libstaroffice-doc                  	       0        1        0        0        1
44352 libstaroffice-tools                	       0        1        1        0        0
44353 libstarpu-1.2-0                    	       0        1        0        0        1
44354 libstartup-notification0-dev       	       0       45       45        0        0
44355 libstat-lsmode-perl                	       0        1        1        0        0
44356 libstatgrab10t64                   	       0       16        2        0       14
44357 libstatgrab9                       	       0        2        0        0        2
44358 libstatistics-basic-perl           	       0        3        3        0        0
44359 libstatistics-descriptive-perl     	       0        9        9        0        0
44360 libstax-ex-java                    	       0      333        0        0      333
44361 libstax-java                       	       0        8        0        0        8
44362 libstax-java-doc                   	       0        1        0        0        1
44363 libstax2-api-java                  	       0       20        0        0       20
44364 libstb-build-deps                  	       0        1        0        0        1
44365 libstb-dev                         	       0        9        8        1        0
44366 libstb0                            	       0      147        0        0      147
44367 libstb0-dbgsym                     	       0        1        1        0        0
44368 libstb0t64                         	       0       14        0        0       14
44369 libstd-msgs-dev                    	       0        3        3        0        0
44370 libstd-rust-1.34                   	       0        1        0        0        1
44371 libstd-rust-1.41                   	       0        5        0        0        5
44372 libstd-rust-1.48                   	       0       11        0        0       11
44373 libstd-rust-1.60                   	       0        1        0        0        1
44374 libstd-rust-1.63                   	       0       70        0        0       70
44375 libstd-rust-1.66                   	       0        1        0        0        1
44376 libstd-rust-1.70                   	       0        4        0        0        4
44377 libstd-rust-1.82                   	       0        3        0        0        3
44378 libstd-rust-1.83                   	       0        3        0        0        3
44379 libstd-rust-1.84                   	       0        4        0        0        4
44380 libstd-rust-1.85                   	       0        5        0        0        5
44381 libstd-rust-dev                    	       0      102        0        0      102
44382 libstd-rust-dev-wasm32             	       0        3        3        0        0
44383 libstd-rust-dev-windows            	       0        1        0        0        1
44384 libstd-rust-mozilla-1.59           	       0        1        0        0        1
44385 libstd-rust-mozilla-1.63           	       0        2        0        0        2
44386 libstd-rust-mozilla-dev            	       0        3        0        0        3
44387 libstd-rust-web-1.78               	       0        1        0        0        1
44388 libstd-rust-web-dev                	       0        1        0        0        1
44389 libstd-srvs-dev                    	       0        1        1        0        0
44390 libstdc++-10-dev-arm64-cross       	       0        6        6        0        0
44391 libstdc++-10-dev-armel-cross       	       0        1        1        0        0
44392 libstdc++-10-dev-armhf-cross       	       0        1        1        0        0
44393 libstdc++-10-dev-i386-cross        	       0        2        2        0        0
44394 libstdc++-10-dev-ppc64-cross       	       0        1        1        0        0
44395 libstdc++-10-dev-riscv64-cross     	       0        1        1        0        0
44396 libstdc++-10-doc                   	       0        7        0        0        7
44397 libstdc++-11-dev                   	       0       47       47        0        0
44398 libstdc++-11-dev-armel-cross       	       0        1        1        0        0
44399 libstdc++-11-dev-armhf-cross       	       0        1        1        0        0
44400 libstdc++-12-dev-armel-cross       	       0        2        2        0        0
44401 libstdc++-12-dev-armhf-cross       	       0        5        5        0        0
44402 libstdc++-12-dev-mips-cross        	       0        2        2        0        0
44403 libstdc++-12-dev-mipsel-cross      	       0        2        2        0        0
44404 libstdc++-12-dev-riscv64-cross     	       0        1        1        0        0
44405 libstdc++-12-dev-x32-cross         	       0        1        1        0        0
44406 libstdc++-12-doc                   	       0        5        0        0        5
44407 libstdc++-13-dev-arm64-cross       	       0        1        1        0        0
44408 libstdc++-13-doc                   	       0        1        0        0        1
44409 libstdc++-14-dev-arm64-cross       	       0        3        3        0        0
44410 libstdc++-14-dev-armhf-cross       	       0        4        4        0        0
44411 libstdc++-14-doc                   	       0        2        0        0        2
44412 libstdc++-15-dev                   	       0        1        1        0        0
44413 libstdc++-4.8-dev                  	       0        6        6        0        0
44414 libstdc++-5-dev                    	       0        2        2        0        0
44415 libstdc++-6-doc                    	       0        2        0        0        2
44416 libstdc++-7-dev                    	       0        6        5        0        1
44417 libstdc++-8-dev-arm64-cross        	       0        2        2        0        0
44418 libstdc++-8-dev-armel-cross        	       0        3        3        0        0
44419 libstdc++-8-dev-armhf-cross        	       0        2        2        0        0
44420 libstdc++-8-dev-i386-cross         	       0        2        2        0        0
44421 libstdc++-8-dev-mips-cross         	       0        1        1        0        0
44422 libstdc++-8-dev-mips64el-cross     	       0        1        1        0        0
44423 libstdc++-8-dev-mipsel-cross       	       0        1        1        0        0
44424 libstdc++-9-dev                    	       0       12       12        0        0
44425 libstdc++-9-doc                    	       0        1        0        0        1
44426 libstdc++-arm-none-eabi-dev        	       0       33       33        0        0
44427 libstdc++-arm-none-eabi-newlib     	       0       47       14        0       33
44428 libstdc++-arm-none-eabi-picolibc   	       0        1        0        0        1
44429 libstdc++2.10                      	       0        1        0        0        1
44430 libstdc++5                         	       0       56        0        0       56
44431 libstdc++5-3.3-dev                 	       0        1        1        0        0
44432 libstdc++6-10-dbg                  	       0        1        0        0        1
44433 libstdc++6-4.4-dev                 	       0        6        6        0        0
44434 libstdc++6-4.6-dev                 	       0        1        1        0        0
44435 libstdc++6-4.7-dev                 	       0        4        4        0        0
44436 libstdc++6-6-dbg                   	       0        1        1        0        0
44437 libstdc++6-arm64-cross             	       0       37        0        0       37
44438 libstdc++6-armel-cross             	       0       23        0        0       23
44439 libstdc++6-armhf-cross             	       0       31        0        0       31
44440 libstdc++6-i386-cross              	       0       14        0        0       14
44441 libstdc++6-mips-cross              	       0        3        0        0        3
44442 libstdc++6-mips64el-cross          	       0        1        0        0        1
44443 libstdc++6-mipsel-cross            	       0        3        0        0        3
44444 libstdc++6-powerpc-cross           	       0        5        0        0        5
44445 libstdc++6-ppc64-cross             	       0        6        0        0        6
44446 libstdc++6-ppc64el-cross           	       0        1        0        0        1
44447 libstdc++6-riscv64-cross           	       0        4        0        0        4
44448 libstdc++6-s390x-cross             	       0        4        0        0        4
44449 libstdc++6-sparc64-cross           	       0        3        0        0        3
44450 libstdc++6-x32-cross               	       0        6        0        0        6
44451 libstdcompat-ocaml                 	       0        9        9        0        0
44452 libstderesi0                       	       0        1        0        0        1
44453 libstdlib-ocaml                    	       0       11        1        0       10
44454 libstdlib-ocaml-dev                	       0       11       11        0        0
44455 libstellarsolver2                  	       0       19        0        0       19
44456 libstemmer-dev                     	       0        3        3        0        0
44457 libstereo-msgs-dev                 	       0        1        1        0        0
44458 libstfl-dev                        	       0        2        2        0        0
44459 libstfl-ruby                       	       0        4        0        0        4
44460 libstfl-ruby1.9.1                  	       0        3        0        0        3
44461 libstilview-dev                    	       0        1        1        0        0
44462 libstilview0                       	       0        1        0        0        1
44463 libstk-4.5.0                       	       0        6        0        0        6
44464 libstk-4.6.1                       	       0       15        0        0       15
44465 libstk-4.6.2                       	       0       62        0        0       62
44466 libstk-5.0.0                       	       0        6        0        0        6
44467 libstk-dev                         	       0        2        2        0        0
44468 libstk0-dev                        	       0        1        0        0        1
44469 libstk0c2a                         	       0        1        0        0        1
44470 libstlink-dev                      	       0        1        1        0        0
44471 libstlink1                         	       0       16        0        0       16
44472 libstlport4.6c2                    	       0        1        0        0        1
44473 libstlport4.6ldbl                  	       0        1        0        0        1
44474 libstoken-dev                      	       0        5        5        0        0
44475 libstoken1t64                      	       0       56        4        0       52
44476 libstomp-ruby1.9.1                 	       0        1        0        0        1
44477 libstonith1                        	       0        9        0        0        9
44478 libstonith1-dev                    	       0        1        1        0        0
44479 libstonithd2                       	       0        4        0        0        4
44480 libstonithd26                      	       0        2        2        0        0
44481 libstorj0                          	       0        1        0        0        1
44482 libstorj0t64                       	       0        1        0        0        1
44483 libstorm9                          	       0        2        0        0        2
44484 libstreamanalyzer0v5               	       0        1        1        0        0
44485 libstreambuffer-java               	       0      333        0        0      333
44486 libstreams0v5                      	       0        2        0        0        2
44487 libstring-crc-cksum-perl           	       0        1        1        0        0
44488 libstring-diff-perl                	       0        1        1        0        0
44489 libstring-elide-parts-perl         	       0        1        1        0        0
44490 libstring-errf-perl                	       0        1        1        0        0
44491 libstring-expand-perl              	       0        2        2        0        0
44492 libstring-flogger-perl             	       0        1        1        0        0
44493 libstring-format-perl              	       0       28       28        0        0
44494 libstring-formatter-perl           	       0        7        7        0        0
44495 libstring-print-perl               	       0        1        1        0        0
44496 libstring-random-perl              	       0        4        4        0        0
44497 libstring-similarity-perl          	       0        8        0        0        8
44498 libstring-template-maven-plugin-java	       0        1        0        0        1
44499 libstring-tokenizer-perl           	       0        3        3        0        0
44500 libstring-trim-more-perl           	       0        1        1        0        0
44501 libstring-truncate-perl            	       0        1        1        0        0
44502 libstringtemplate-java             	       0       66        0        0       66
44503 libstringtemplate4-java            	       0       50        0        0       50
44504 libstroke0-dev                     	       0        2        2        0        0
44505 libstrophe-dev                     	       0        4        4        0        0
44506 libstruct-diff-perl                	       0        1        1        0        0
44507 libstruct-dumb-perl                	       0       65       64        1        0
44508 libstutter-pcre                    	       0        1        1        0        0
44509 libstutter0                        	       0        1        1        0        0
44510 libstxxl-dev                       	       0        1        1        0        0
44511 libstxxl1v5                        	       0        1        0        0        1
44512 libstyx2                           	       0        1        0        0        1
44513 libsub-delete-perl                 	       0        1        1        0        0
44514 libsub-exporter-globexporter-perl  	       0        1        1        0        0
44515 libsub-info-perl                   	       0        2        2        0        0
44516 libsub-prototype-perl              	       0        2        0        0        2
44517 libsubid-dev                       	       0        1        1        0        0
44518 libsubid5                          	       0       20        0        0       20
44519 libsubtitleeditor0                 	       0       24        0        0       24
44520 libsubtitles-perl                  	       0        4        4        0        0
44521 libsubunit-perl                    	       0        5        5        0        0
44522 libsubunit0                        	       0       35        0        0       35
44523 libsugarext-data                   	       0      292        0        0      292
44524 libsugarext0                       	       0      299        0        0      299
44525 libsuil-dev                        	       0        8        8        0        0
44526 libsuitesparse-3.1.0               	       0        2        0        0        2
44527 libsuitesparse-dev                 	       0       34       31        3        0
44528 libsuitesparse-mongoose3           	       0        3        0        0        3
44529 libsuitesparseconfig4              	       0       75        0        0       75
44530 libsuitesparseconfig4.4.6          	       0        1        0        0        1
44531 libsuitesparseconfig7              	       0      220        1        0      219
44532 libsuma1                           	       0        1        0        0        1
44533 libsundials-core7                  	       0        1        0        0        1
44534 libsundials-ida4                   	       0       19        0        0       19
44535 libsundials-ida6                   	       0       56        0        0       56
44536 libsundials-ida7                   	       0        1        0        0        1
44537 libsundials-nvecparallel-petsc4    	       0        1        0        0        1
44538 libsundials-nvecparallel-petsc6    	       0       57        0        0       57
44539 libsundials-nvecserial4            	       0       19        0        0       19
44540 libsundials-sunlinsol2             	       0       19        0        0       19
44541 libsundials-sunlinsol3             	       0       57        0        0       57
44542 libsundials-sunmatrix2             	       0       19        0        0       19
44543 libsundials-sunmatrix4             	       0       57        0        0       57
44544 libsundials-sunmatrix5             	       0        1        0        0        1
44545 libsunflow-java                    	       0       36        0        0       36
44546 libsuper-csv-java                  	       0        4        0        0        4
44547 libsuper-perl                      	       0        6        6        0        0
44548 libsuperlu-dev                     	       0       45       45        0        0
44549 libsuperlu-dist-dev                	       0        1        1        0        0
44550 libsuperlu-dist6                   	       0        2        0        0        2
44551 libsuperlu-dist7                   	       0        3        0        0        3
44552 libsuperlu-dist8                   	       0       59        0        0       59
44553 libsuperlu-doc                     	       0        6        0        0        6
44554 libsuperlu3                        	       0        1        0        0        1
44555 libsuperlu4                        	       0        1        0        0        1
44556 libsuperlu6                        	       0       35        1        0       34
44557 libsuperlu7                        	       0        3        0        0        3
44558 libsurefire-java                   	       0        5        0        0        5
44559 libsv1                             	       0        1        0        0        1
44560 libsvg-graph-perl                  	       0        4        4        0        0
44561 libsvg-graph-ruby1.9.1             	       0        2        0        0        2
44562 libsvg-perl                        	       0       44       44        0        0
44563 libsvga1                           	       0       18        0        0       18
44564 libsvga1-dev                       	       0        3        3        0        0
44565 libsvgpp-dev                       	       0        1        0        0        1
44566 libsvgpp-doc                       	       0        1        0        0        1
44567 libsvgsalamander-java              	       0       27        0        0       27
44568 libsvm-dev                         	       0        6        6        0        0
44569 libsvm3                            	       0       33        0        0       33
44570 libsvmloc-dev                      	       0        1        0        0        1
44571 libsvmloc0                         	       0        1        0        0        1
44572 libsvn-class-perl                  	       0        1        1        0        0
44573 libsvn-dev                         	       0        5        4        1        0
44574 libsvn-java                        	       0       10        0        0       10
44575 libsvn-perl                        	       0      112        0        0      112
44576 libsvnclientadapter-java           	       0        3        0        0        3
44577 libsvncpp3                         	       0       12        0        0       12
44578 libsvnkit-java                     	       0        8        0        0        8
44579 libsvnqt4-trinity                  	       0        1        0        0        1
44580 libsvtav1-0                        	       0        5        2        0        3
44581 libsvtav1-dev                      	       0        6        5        1        0
44582 libsvtav1dec-dev                   	       0        1        0        0        1
44583 libsvtav1dec0                      	       0        4        0        0        4
44584 libsvtav1enc-dev                   	       0       11        7        0        4
44585 libsvtav1enc0                      	       0        7        1        0        6
44586 libsvthevcenc1                     	       0       42        0        0       42
44587 libswagger-core-java               	       0        1        0        0        1
44588 libswagger2-perl                   	       0        1        1        0        0
44589 libswami1                          	       0        7        0        0        7
44590 libswamigui1                       	       0        7        0        0        7
44591 libswe-dev                         	       0        1        1        0        0
44592 libswe0                            	       0        1        0        0        1
44593 libswe2.0                          	       0        1        0        0        1
44594 libsweble-common-java              	       0        2        0        0        2
44595 libsweble-wikitext-java            	       0        2        0        0        2
44596 libswfdec0.3                       	       0        1        0        0        1
44597 libswfdec0.3-dev                   	       0        1        1        0        0
44598 libswing-layout-java               	       0       47        0        0       47
44599 libswing-layout-java-doc           	       0        7        0        0        7
44600 libswingx-java                     	       0       50        0        0       50
44601 libswingx-java-doc                 	       0        1        0        0        1
44602 libswingx1-java                    	       0        2        0        0        2
44603 libswish-api-common-perl           	       0        4        4        0        0
44604 libswiss-perl                      	       0        1        1        0        0
44605 libsword-1.8.1                     	       0        1        0        0        1
44606 libsword-common                    	       0       29        0        0       29
44607 libsword-utils                     	       0        2        2        0        0
44608 libsword1.9.0                      	       0       25        0        0       25
44609 libsword1.9.0t64                   	       0        1        0        0        1
44610 libsword11                         	       0        1        0        0        1
44611 libsword11v5                       	       0        1        0        0        1
44612 libswresample-ffmpeg1              	       0        4        0        0        4
44613 libswresample0                     	       0        8        0        0        8
44614 libswresample1                     	       0       12        0        0       12
44615 libswresample2                     	       0      341        1        0      340
44616 libswresample3-dbgsym              	       0        1        1        0        0
44617 libswresample5-dbgsym              	       0        1        1        0        0
44618 libswscale-dev                     	       0      163      155        8        0
44619 libswscale-ffmpeg3                 	       0        5        0        0        5
44620 libswscale0                        	       0        7        3        0        4
44621 libswscale2                        	       0       24        0        0       24
44622 libswscale4                        	       0      140        0        0      140
44623 libswscale5-dbgsym                 	       0        1        1        0        0
44624 libswscale8-dbgsym                 	       0        1        1        0        0
44625 libswt-cairo-gtk-3-jni             	       0        4        4        0        0
44626 libswt-glx-gtk-3-jni               	       0        3        3        0        0
44627 libswt-gnome-gtk-3-jni             	       0        4        4        0        0
44628 libswt-gtk-3-java                  	       0        6        6        0        0
44629 libswt-gtk-3-java-gcj              	       0        1        1        0        0
44630 libswt-gtk-3-jni                   	       0        7        7        0        0
44631 libswt-gtk2-4-jni                  	       0        1        1        0        0
44632 libswt-webkit-gtk-3-jni            	       0        3        3        0        0
44633 libswt-webkit-gtk-4-jni            	       0       13       13        0        0
44634 libswtcalendar-java                	       0        4        0        0        4
44635 libsx0                             	       0        2        0        0        2
44636 libsylfilter0                      	       0       39        0        0       39
44637 libsylph1                          	       0       38        0        0       38
44638 libsylph1t64                       	       0        2        0        0        2
44639 libsymbol-get-perl                 	       0        1        1        0        0
44640 libsymbol-global-name-perl         	       0        1        1        0        0
44641 libsymmetrica-dev                  	       0        9        9        0        0
44642 libsymmetrica2                     	       0       10        0        0       10
44643 libsymmetrica2-dev                 	       0        9        0        0        9
44644 libsymspg1                         	       0       48        0        0       48
44645 libsymspg2                         	       0        6        0        0        6
44646 libsyn123-0                        	       0      213        0        0      213
44647 libsyn123-0t64                     	       0       29        0        0       29
44648 libsynce0                          	       0        2        0        0        2
44649 libsyncevo-dbus0                   	       0        1        0        0        1
44650 libsyncevolution0                  	       0        1        0        0        1
44651 libsynctex-dev                     	       0        1        1        0        0
44652 libsynctex1                        	       0       36        0        0       36
44653 libsyndication4                    	       0        9        0        0        9
44654 libsynfig-dev                      	       0        1        1        0        0
44655 libsynfig0a                        	       0       10        0        0       10
44656 libsyntax-highlight-engine-kate-perl	       0        2        2        0        0
44657 libsyntax-highlight-perl-perl      	       0        1        1        0        0
44658 libsyntax-keyword-junction-perl    	       0        3        3        0        0
44659 libsyntax-keyword-try-perl         	       0      520        0        0      520
44660 libsyntax-perl                     	       0        3        3        0        0
44661 libsynthesis0t64                   	       0        1        0        0        1
44662 libsynthesis0v5                    	       0        2        0        0        2
44663 libsys-cpuaffinity-perl            	       0      194        0        0      194
44664 libsys-cpuload-perl                	       0        1        0        0        1
44665 libsys-filesystem-perl             	       0        1        1        0        0
44666 libsys-hostip-perl                 	       0        1        1        0        0
44667 libsys-statistics-linux-perl       	       0        1        1        0        0
44668 libsys-virt-perl                   	       0      100        0        0      100
44669 libsysadm-install-perl             	       0        4        4        0        0
44670 libsysprof-4                       	       0       26        0        0       26
44671 libsysprof-4-dev                   	       0       20       20        0        0
44672 libsysprof-6-6                     	       0        1        0        0        1
44673 libsysprof-6-modules               	       0        1        0        0        1
44674 libsysprof-ui-4                    	       0        1        0        0        1
44675 libsysprof-ui-5                    	       0       25        0        0       25
44676 libsysstat-qt5-0-dev               	       0        1        0        1        0
44677 libsysstat-qt6-1                   	       0        8        1        0        7
44678 libsysstat-qt6-1-dev               	       0        1        1        0        0
44679 libsystem-command-perl             	       0        5        5        0        0
44680 libsystemback                      	       0        4        4        0        0
44681 libsystemback-dbg                  	       0        1        1        0        0
44682 libsystemc                         	       0        9        0        0        9
44683 libsystemc-dev                     	       0        9        9        0        0
44684 libsystemc-doc                     	       0        1        1        0        0
44685 libsystemd-daemon0                 	       0        5        0        0        5
44686 libsystemd-dev                     	       0        6        6        0        0
44687 libsystemd-id128-0                 	       0        4        0        0        4
44688 libsystemd-journal0                	       0        4        0        0        4
44689 libsystemd-login0                  	       0       18        0        0       18
44690 libsystemd-shared                  	       0       17        1        0       16
44691 libsystemu-ruby1.9.1               	       0        1        0        0        1
44692 libt1-5                            	       0       13        0        0       13
44693 libt1-dev                          	       0        1        1        0        0
44694 libt1-doc                          	       0        2        0        0        2
44695 libt3config-dev                    	       0        1        1        0        0
44696 libt3config0                       	       0        6        0        0        6
44697 libt3highlight-dev                 	       0        1        1        0        0
44698 libt3highlight2                    	       0        6        0        0        6
44699 libt3key1                          	       0        4        0        0        4
44700 libt3widget2                       	       0        3        0        0        3
44701 libt3widget2t64                    	       0        1        0        0        1
44702 libt3window0                       	       0        4        0        0        4
44703 libt4k-common0                     	       0       28        0        0       28
44704 libt4k-common0t64                  	       0        7        0        0        7
44705 libtabixpp0                        	       0        1        0        0        1
44706 libtablelayout-java                	       0       15        0        0       15
44707 libtachyon-dev-common              	       0        1        1        0        0
44708 libtachyon-mt-0                    	       0       11        0        0       11
44709 libtachyon-openmpi-0               	       0        1        0        0        1
44710 libtachyon-openmpi-0-dev           	       0        1        0        0        1
44711 libtag-c-dev                       	       0        5        5        0        0
44712 libtag-dev                         	       0        5        5        0        0
44713 libtag-doc                         	       0        1        0        0        1
44714 libtag-extras1                     	       0       21        0        0       21
44715 libtag1-dev                        	       0       43       39        0        4
44716 libtag1c2a                         	       0       20        0        0       20
44717 libtag1v5                          	       0     3319        0        0     3319
44718 libtagc0-dev                       	       0        4        3        0        1
44719 libtaglib-cil-dev                  	       0        3        0        0        3
44720 libtaglib-ocaml                    	       0        3        2        0        1
44721 libtaglib-ocaml-dev                	       0        3        3        0        0
44722 libtaglib2.0-cil                   	       0        1        0        0        1
44723 libtaglib2.1-cil                   	       0       12        0        0       12
44724 libtaglibs-standard-impl-java      	       0       16        0        0       16
44725 libtaglibs-standard-jstlel-java    	       0        8        0        0        8
44726 libtaglibs-standard-spec-java      	       0       16        0        0       16
44727 libtagsoup-java                    	       0      100        0        0      100
44728 libtagsoup-java-doc                	       0        1        0        0        1
44729 libtaint-runtime-perl              	       0        1        0        0        1
44730 libtaint-util-perl                 	       0        4        0        0        4
44731 libtalloc-dev                      	       0       14       12        2        0
44732 libtalloc1                         	       0        1        0        0        1
44733 libtamuanova-0.2                   	       0        3        0        0        3
44734 libtango9                          	       0        2        0        0        2
44735 libtaoframework-openal-cil-dev     	       0        1        1        0        0
44736 libtaoframework-openal1.1-cil      	       0        2        2        0        0
44737 libtaoframework-opengl3.0-cil      	       0        4        4        0        0
44738 libtaoframework-sdl-cil-dev        	       0        1        1        0        0
44739 libtaoframework-sdl1.2-cil         	       0        5        5        0        0
44740 libtap-formatter-junit-perl        	       0        2        2        0        0
44741 libtap-harness-archive-perl        	       0        1        1        0        0
44742 libtap-parser-sourcehandler-pgtap-perl	       0        1        1        0        0
44743 libtar                             	       0        1        0        0        1
44744 libtar0                            	       0       25        0        0       25
44745 libtar0t64                         	       0        1        0        0        1
44746 libtasn1-3                         	       0       81        0        0       81
44747 libtasn1-3-bin                     	       0        7        0        0        7
44748 libtasn1-3-dev                     	       0        3        1        0        2
44749 libtasn1-doc                       	       0      202        0        0      202
44750 libtatsu0                          	       0        7        0        0        7
44751 libtbb-doc                         	       0        3        3        0        0
44752 libtbb2                            	       0      218        1        0      217
44753 libtbbbind-2-5                     	       0      543        0        0      543
44754 libtcc-dev                         	       0        4        4        0        0
44755 libtcd-dev                         	       0        1        1        0        0
44756 libtcd0                            	       0        2        0        0        2
44757 libtcl-perl                        	       0        1        0        0        1
44758 libtcl8.4                          	       0        3        0        0        3
44759 libtcl9.0                          	       0        3        0        0        3
44760 libtclap-dev                       	       0        2        2        0        0
44761 libtclcl1                          	       0        4        0        0        4
44762 libtclcl1-dev                      	       0        2        2        0        0
44763 libtcltk-ruby1.9.1                 	       0        2        2        0        0
44764 libtcmalloc-minimal4t64            	       0        8        0        0        8
44765 libtcod-dev                        	       0        1        1        0        0
44766 libtcod0                           	       0        1        0        0        1
44767 libtcod1                           	       0        1        0        0        1
44768 libtcpcrypt-dev                    	       0        1        1        0        0
44769 libtcpcrypt0                       	       0        1        0        0        1
44770 libtcplay                          	       0        1        0        0        1
44771 libtcplay-dev                      	       0        1        1        0        0
44772 libtdebluez-trinity                	       0        2        0        0        2
44773 libtdeedu3-trinity                 	       0       24        0        0       24
44774 libtdegames1-trinity               	       0       25        0        0       25
44775 libtdeldap-trinity                 	       0        2        0        0        2
44776 libtdeobex-trinity                 	       0        2        0        0        2
44777 libteam-utils                      	       0       10        9        1        0
44778 libteam5                           	       0       10        0        0       10
44779 libteckit-dev                      	       0        1        1        0        0
44780 libteckit0                         	       0      610        0        0      610
44781 libtecla1                          	       0       43        0        0       43
44782 libtecla1t64                       	       0        9        0        0        9
44783 libteem-dev                        	       0        1        1        0        0
44784 libteem2                           	       0        5        0        0        5
44785 libtelepathy-farstream-dev         	       0        1        1        0        0
44786 libtelepathy-farstream3            	       0       13        0        0       13
44787 libtelepathy-glib-dev              	       0        3        3        0        0
44788 libtelepathy-glib-doc              	       0        1        1        0        0
44789 libtelepathy-glib0t64              	       0       12        1        0       11
44790 libtelepathy-logger-qt5            	       0       11        0        0       11
44791 libtelepathy-logger2               	       0        5        0        0        5
44792 libtelepathy-qt5-0                 	       0       13        6        0        7
44793 libtelepathy-qt5-dev               	       0        1        1        0        0
44794 libtelepathy-qt5-farstream0        	       0        7        0        0        7
44795 libtelnet-dev                      	       0        2        2        0        0
44796 libtelnet2                         	       0        5        0        0        5
44797 libtemplate-autofilter-perl        	       0        1        1        0        0
44798 libtemplate-glib-1.0-0             	       0        3        0        0        3
44799 libtemplate-glib-common            	       0        3        0        0        3
44800 libtemplate-multilingual-perl      	       0        1        1        0        0
44801 libtemplate-perl-doc               	       0        2        0        0        2
44802 libtemplate-plugin-datetime-format-perl	       0        1        1        0        0
44803 libtemplate-plugin-dbi-perl        	       0        2        2        0        0
44804 libtemplate-plugin-gd-perl         	       0        6        6        0        0
44805 libtemplate-plugin-gettext-perl    	       0        3        3        0        0
44806 libtemplate-plugin-htmltotext-perl 	       0        2        2        0        0
44807 libtemplate-plugin-json-escape-perl	       0        2        2        0        0
44808 libtemplate-plugin-posix-perl      	       0        1        1        0        0
44809 libtemplate-plugin-stash-perl      	       0        2        2        0        0
44810 libtemplate-plugin-xml-perl        	       0        4        4        0        0
44811 libtemplate-timer-perl             	       0        1        1        0        0
44812 libtemplates-parser11.10.1         	       0        1        0        0        1
44813 libtemplates-parser14-dev          	       0        1        1        0        0
44814 libtemplates-parser18              	       0        1        0        0        1
44815 libtemplates-parser20              	       0        1        0        0        1
44816 libtensorpipe0                     	       0       14        0        0       14
44817 libtepl-4-0                        	       0        1        0        0        1
44818 libtepl-6-1                        	       0        3        0        0        3
44819 libtepl-6-4                        	       0       27        0        0       27
44820 libtercpp0v5                       	       0        1        0        0        1
44821 libterm-clui-perl                  	       0        1        1        0        0
44822 libterm-encoding-perl              	       0        1        1        0        0
44823 libterm-extendedcolor-perl         	       0        1        1        0        0
44824 libterm-filter-perl                	       0        1        1        0        0
44825 libterm-progressbar-quiet-perl     	       0        1        1        0        0
44826 libterm-progressbar-simple-perl    	       0        1        1        0        0
44827 libterm-prompt-perl                	       0        1        1        0        0
44828 libterm-readline-ttytter-perl      	       0        1        1        0        0
44829 libterm-shell-perl                 	       0        4        4        0        0
44830 libterm-shellui-perl               	       0       10       10        0        0
44831 libterm-size-perl                  	       0       30        1        0       29
44832 libterm-slang-perl                 	       0        1        0        0        1
44833 libterm-table-perl                 	       0       11       11        0        0
44834 libterm-termkey-perl               	       0        4        0        0        4
44835 libtermbox-dev                     	       0        2        2        0        0
44836 libtermbox1                        	       0        2        0        0        2
44837 libtermkey-dev                     	       0        3        3        0        0
44838 libtermpaint0a                     	       0        1        0        0        1
44839 libterralib-dev                    	       0        2        2        0        0
44840 libterralib3                       	       0        5        0        0        5
44841 libtess2-tulip-5.4                 	       0        2        0        0        2
44842 libtesseract-data                  	       0       21        0        0       21
44843 libtesseract-dev                   	       0       25       23        2        0
44844 libtesseract3                      	       0       23        0        0       23
44845 libtest-abortable-perl             	       0        1        1        0        0
44846 libtest-api-perl                   	       0        1        1        0        0
44847 libtest-assertions-perl            	       0        3        3        0        0
44848 libtest-async-http-perl            	       0        1        1        0        0
44849 libtest-autoloader-perl            	       0        1        1        0        0
44850 libtest-base-perl                  	       0        2        2        0        0
44851 libtest-bdd-cucumber-perl          	       0        1        1        0        0
44852 libtest-bits-perl                  	       0        1        1        0        0
44853 libtest-block-perl                 	       0        2        2        0        0
44854 libtest-carp-perl                  	       0        1        1        0        0
44855 libtest-check-clojure              	       0        1        0        0        1
44856 libtest-checkdeps-perl             	       0        1        1        0        0
44857 libtest-checkmanifest-perl         	       0        1        1        0        0
44858 libtest-chuck-clojure              	       0        1        0        0        1
44859 libtest-class-most-perl            	       0        2        2        0        0
44860 libtest-class-perl                 	       0        2        2        0        0
44861 libtest-classapi-perl              	       0        2        2        0        0
44862 libtest-cleannamespaces-perl       	       0        1        1        0        0
44863 libtest-cmd-perl                   	       0        1        1        0        0
44864 libtest-command-perl               	       0        2        2        0        0
44865 libtest-command-simple-perl        	       0        1        1        0        0
44866 libtest-compile-perl               	       0        1        1        0        0
44867 libtest-consistentversion-perl     	       0        1        1        0        0
44868 libtest-cpan-meta-json-perl        	       0        1        1        0        0
44869 libtest-cpan-meta-perl             	       0        2        2        0        0
44870 libtest-cpan-meta-yaml-perl        	       0        2        2        0        0
44871 libtest-cukes-perl                 	       0        1        1        0        0
44872 libtest-data-perl                  	       0        1        1        0        0
44873 libtest-database-perl              	       0        1        1        0        0
44874 libtest-databaserow-perl           	       0        1        1        0        0
44875 libtest-dbic-expectedqueries-perl  	       0        1        1        0        0
44876 libtest-dbix-class-perl            	       0        2        2        0        0
44877 libtest-debian-perl                	       0        1        1        0        0
44878 libtest-deep-fuzzy-perl            	       0        2        2        0        0
44879 libtest-deep-json-perl             	       0        1        1        0        0
44880 libtest-deep-type-perl             	       0        1        1        0        0
44881 libtest-deep-unorderedpairs-perl   	       0        1        1        0        0
44882 libtest-dependencies-perl          	       0        1        1        0        0
44883 libtest-diaginc-perl               	       0        1        1        0        0
44884 libtest-differences-perl           	       0        8        8        0        0
44885 libtest-dir-perl                   	       0        1        1        0        0
44886 libtest-distmanifest-perl          	       0        1        1        0        0
44887 libtest-distribution-perl          	       0        1        1        0        0
44888 libtest-effects-perl               	       0        1        1        0        0
44889 libtest-email-perl                 	       0        1        1        0        0
44890 libtest-eol-perl                   	       0        1        1        0        0
44891 libtest-exception-lessclever-perl  	       0        1        1        0        0
44892 libtest-exit-perl                  	       0        1        1        0        0
44893 libtest-expander-perl              	       0        1        1        0        0
44894 libtest-expect-perl                	       0        1        1        0        0
44895 libtest-expectandcheck-perl        	       0        1        1        0        0
44896 libtest-exports-perl               	       0        1        1        0        0
44897 libtest-failwarnings-perl          	       0        1        1        0        0
44898 libtest-fake-httpd-perl            	       0        1        1        0        0
44899 libtest-file-contents-perl         	       0        1        1        0        0
44900 libtest-file-perl                  	       0        2        2        0        0
44901 libtest-filename-perl              	       0        2        2        0        0
44902 libtest-files-perl                 	       0        2        2        0        0
44903 libtest-fitesque-perl              	       0        1        1        0        0
44904 libtest-fitesque-rdf-perl          	       0        1        0        1        0
44905 libtest-fixme-perl                 	       0        1        0        1        0
44906 libtest-fork-perl                  	       0        1        1        0        0
44907 libtest-future-io-impl-perl        	       0        1        1        0        0
44908 libtest-generative-clojure         	       0        1        0        0        1
44909 libtest-harness-perl               	       0        2        2        0        0
44910 libtest-hasversion-perl            	       0        1        1        0        0
44911 libtest-hexdifferences-perl        	       0        1        1        0        0
44912 libtest-hexstring-perl             	       0        1        1        0        0
44913 libtest-html-content-perl          	       0        1        1        0        0
44914 libtest-html-w3c-perl              	       0        1        1        0        0
44915 libtest-http-localserver-perl      	       0        1        1        0        0
44916 libtest-http-server-simple-perl    	       0        1        1        0        0
44917 libtest-http-server-simple-stashwarnings-perl	       0        1        1        0        0
44918 libtest-identity-perl              	       0        1        1        0        0
44919 libtest-if-perl                    	       0        1        1        0        0
44920 libtest-image-gd-perl              	       0        1        1        0        0
44921 libtest-indistdir-perl             	       0        1        1        0        0
44922 libtest-inline-perl                	       0        2        2        0        0
44923 libtest-inter-perl                 	       0        1        1        0        0
44924 libtest-is-perl                    	       0        1        1        0        0
44925 libtest-json-perl                  	       0        1        1        0        0
44926 libtest-json-schema-acceptance-perl	       0        1        1        0        0
44927 libtest-kwalitee-perl              	       0        1        1        0        0
44928 libtest-leaktrace-perl             	       0        3        0        0        3
44929 libtest-lectrotest-perl            	       0        1        1        0        0
44930 libtest-lib-perl                   	       0        1        1        0        0
44931 libtest-log-dispatch-perl          	       0        2        2        0        0
44932 libtest-log-log4perl-perl          	       0        1        1        0        0
44933 libtest-log4perl-perl              	       0        1        1        0        0
44934 libtest-longstring-perl            	       0        6        6        0        0
44935 libtest-lwp-useragent-perl         	       0        1        1        0        0
44936 libtest-manifest-perl              	       0        1        1        0        0
44937 libtest-memory-cycle-perl          	       0        2        2        0        0
44938 libtest-memorygrowth-perl          	       0        1        1        0        0
44939 libtest-metrics-any-perl           	       0       31       31        0        0
44940 libtest-minimumversion-perl        	       0        1        1        0        0
44941 libtest-mock-cmd-perl              	       0        1        1        0        0
44942 libtest-mock-guard-perl            	       0        2        2        0        0
44943 libtest-mock-lwp-perl              	       0        1        1        0        0
44944 libtest-mock-redis-perl            	       0        1        1        0        0
44945 libtest-mock-time-perl             	       0        1        1        0        0
44946 libtest-mockdatetime-perl          	       0        1        1        0        0
44947 libtest-mockdbi-perl               	       0        1        1        0        0
44948 libtest-mockfile-perl              	       0        1        1        0        0
44949 libtest-mockmodule-perl            	       0        4        4        0        0
44950 libtest-mockrandom-perl            	       0        1        1        0        0
44951 libtest-mocktime-datecalc-perl     	       0        2        2        0        0
44952 libtest-mocktime-hires-perl        	       0        1        1        0        0
44953 libtest-mocktime-perl              	       0        3        3        0        0
44954 libtest-modern-perl                	       0        1        1        0        0
44955 libtest-module-used-perl           	       0        1        1        0        0
44956 libtest-mojibake-perl              	       0        1        1        0        0
44957 libtest-moose-more-perl            	       0        1        1        0        0
44958 libtest-more-utf8-perl             	       0        2        2        0        0
44959 libtest-most-perl                  	       0        7        7        0        0
44960 libtest-name-fromline-perl         	       0        1        1        0        0
44961 libtest-needs-perl                 	       0        3        3        0        0
44962 libtest-needsdisplay-perl          	       0        1        1        0        0
44963 libtest-net-ldap-perl              	       0        1        1        0        0
44964 libtest-nicedump-perl              	       0        1        1        0        0
44965 libtest-nobreakpoints-perl         	       0        1        1        0        0
44966 libtest-notabs-perl                	       0        1        1        0        0
44967 libtest-nowarnings-perl            	       0       10       10        0        0
44968 libtest-number-delta-perl          	       0        2        2        0        0
44969 libtest-object-perl                	       0        1        1        0        0
44970 libtest-perl-critic-perl           	       0        2        2        0        0
44971 libtest-perl-critic-progressive-perl	       0        1        1        0        0
44972 libtest-pod-content-perl           	       0        2        2        0        0
44973 libtest-pod-coverage-perl          	       0        4        4        0        0
44974 libtest-pod-no404s-perl            	       0        2        2        0        0
44975 libtest-poe-client-tcp-perl        	       0        1        1        0        0
44976 libtest-poe-server-tcp-perl        	       0        1        1        0        0
44977 libtest-portability-files-perl     	       0        1        1        0        0
44978 libtest-postgresql-perl            	       0        1        1        0        0
44979 libtest-prereq-perl                	       0        1        1        0        0
44980 libtest-randomresult-perl          	       0        1        1        0        0
44981 libtest-rdf-doap-version-perl      	       0        1        1        0        0
44982 libtest-rdf-perl                   	       0        1        1        0        0
44983 libtest-redisserver-perl           	       0        1        1        0        0
44984 libtest-refcount-perl              	       0       61       60        1        0
44985 libtest-regexp-pattern-perl        	       0        1        1        0        0
44986 libtest-regexp-perl                	       0        1        1        0        0
44987 libtest-regression-perl            	       0        1        1        0        0
44988 libtest-reporter-perl              	       0        1        1        0        0
44989 libtest-requires-git-perl          	       0        1        1        0        0
44990 libtest-requires-perl              	       0       12       12        0        0
44991 libtest-requiresinternet-perl      	       0        5        5        0        0
44992 libtest-roo-perl                   	       0        1        1        0        0
44993 libtest-routine-perl               	       0        1        1        0        0
44994 libtest-script-perl                	       0        1        1        0        0
44995 libtest-script-run-perl            	       0        1        1        0        0
44996 libtest-sharedobject-perl          	       0        1        1        0        0
44997 libtest-signature-perl             	       0        1        1        0        0
44998 libtest-simple-perl                	       0        5        5        0        0
44999 libtest-skip-unlessexistsexecutable-perl	       0        1        1        0        0
45000 libtest-snapshot-perl              	       0        1        1        0        0
45001 libtest-spec-perl                  	       0        1        1        0        0
45002 libtest-spelling-perl              	       0        2        2        0        0
45003 libtest-strict-perl                	       0        3        3        0        0
45004 libtest-subcalls-perl              	       0        1        1        0        0
45005 libtest-synopsis-expectation-perl  	       0        1        1        0        0
45006 libtest-synopsis-perl              	       0        2        2        0        0
45007 libtest-sys-info-perl              	       0        1        1        0        0
45008 libtest-tabledriven-perl           	       0        1        1        0        0
45009 libtest-tabs-perl                  	       0        1        1        0        0
45010 libtest-taint-perl                 	       0        4        0        0        4
45011 libtest-tempdir-perl               	       0        1        1        0        0
45012 libtest-tempdir-tiny-perl          	       0        1        1        0        0
45013 libtest-time-perl                  	       0        1        1        0        0
45014 libtest-timer-perl                 	       0        1        1        0        0
45015 libtest-trap-perl                  	       0        1        1        0        0
45016 libtest-unit-perl                  	       0        1        1        0        0
45017 libtest-unixsock-perl              	       0        1        1        0        0
45018 libtest-use-ok-perl                	       0        1        1        0        0
45019 libtest-useallmodules-perl         	       0        1        1        0        0
45020 libtest-utf8-perl                  	       0        2        2        0        0
45021 libtest-valgrind-perl              	       0        1        1        0        0
45022 libtest-version-perl               	       0        1        1        0        0
45023 libtest-warn-perl                  	       0       20       20        0        0
45024 libtest-warnings-perl              	       0        3        3        0        0
45025 libtest-weaken-perl                	       0        1        1        0        0
45026 libtest-without-module-perl        	       0        1        1        0        0
45027 libtest-www-declare-perl           	       0        1        1        0        0
45028 libtest-www-mechanize-catalyst-perl	       0        2        2        0        0
45029 libtest-www-mechanize-cgiapp-perl  	       0        1        1        0        0
45030 libtest-www-mechanize-mojo-perl    	       0        1        1        0        0
45031 libtest-www-mechanize-perl         	       0        5        5        0        0
45032 libtest-www-mechanize-psgi-perl    	       0        1        1        0        0
45033 libtest-www-selenium-perl          	       0        1        1        0        0
45034 libtest-xml-perl                   	       0        1        1        0        0
45035 libtest-xml-simple-perl            	       0        1        1        0        0
45036 libtest-xpath-perl                 	       0        1        1        0        0
45037 libtest-yaml-meta-perl             	       0        1        1        0        0
45038 libtest-yaml-perl                  	       0        2        2        0        0
45039 libtest-yaml-valid-perl            	       0        4        4        0        0
45040 libtest2-harness-perl              	       0        1        1        0        0
45041 libtest2-plugin-ioevents-perl      	       0        1        1        0        0
45042 libtest2-plugin-memusage-perl      	       0        1        1        0        0
45043 libtest2-plugin-nowarnings-perl    	       0        1        1        0        0
45044 libtest2-plugin-uuid-perl          	       0        1        1        0        0
45045 libtest2-suite-perl                	       0       12       12        0        0
45046 libtest2-tools-command-perl        	       0        1        1        0        0
45047 libtest2-tools-explain-perl        	       0        1        1        0        0
45048 libtestng7-java                    	       0        1        0        0        1
45049 libtestu01-0                       	       0        1        0        0        1
45050 libtet1.5                          	       0        4        0        0        4
45051 libtevent-dev                      	       0        8        6        2        0
45052 libtexlua-dev                      	       0        3        2        1        0
45053 libtexlua52                        	       0       56        0        0       56
45054 libtexlua53                        	       0      139        0        0      139
45055 libtexlua53-5                      	       0      492        1        0      491
45056 libtexluajit-dev                   	       0        2        2        0        0
45057 libtexluajit2                      	       0      556        0        0      556
45058 libtext-aligner-perl               	       0       64       64        0        0
45059 libtext-ansi-util-perl             	       0        1        1        0        0
45060 libtext-aspell-perl                	       0        2        0        0        2
45061 libtext-bibtex-perl                	       0      116        0        0      116
45062 libtext-bidi-perl                  	       0        7        0        0        7
45063 libtext-context-eitherside-perl    	       0        1        1        0        0
45064 libtext-context-perl               	       0        1        1        0        0
45065 libtext-csv-encoded-perl           	       0        2        2        0        0
45066 libtext-csv-unicode-perl           	       0        1        1        0        0
45067 libtext-csv-xs-perl                	       0      305        3        0      302
45068 libtext-diff-formattedhtml-perl    	       0        1        1        0        0
45069 libtext-engine-0.1-0               	       0        5        0        0        5
45070 libtext-findindent-perl            	       0        1        1        0        0
45071 libtext-flow-perl                  	       0        1        1        0        0
45072 libtext-format-perl                	       0       22       22        0        0
45073 libtext-hogan-perl                 	       0       14       14        0        0
45074 libtext-hogan-perl-build-deps      	       0        1        0        0        1
45075 libtext-hunspell-perl              	       0        2        0        0        2
45076 libtext-kakasi-perl                	       0        1        0        0        1
45077 libtext-levenshteinxs-perl         	       0      622        0        0      622
45078 libtext-lorem-perl                 	       0        3        3        0        0
45079 libtext-markdown-discount-perl     	       0      624        0        0      624
45080 libtext-microtemplate-perl         	       0        5        5        0        0
45081 libtext-multimarkdown-perl         	       0        2        2        0        0
45082 libtext-password-pronounceable-perl	       0        1        1        0        0
45083 libtext-patch-perl                 	       0        1        1        0        0
45084 libtext-pdf-perl                   	       0        3        3        0        0
45085 libtext-qrcode-perl                	       0        1        0        0        1
45086 libtext-quoted-perl                	       0        1        1        0        0
45087 libtext-simpletable-autowidth-perl 	       0        1        1        0        0
45088 libtext-soundex-perl               	       0      106        0        0      106
45089 libtext-table-perl                 	       0       64       64        0        0
45090 libtext-textile-perl               	       0        2        2        0        0
45091 libtext-trim-perl                  	       0       36       36        0        0
45092 libtext-trim-perl-build-deps       	       0        1        0        0        1
45093 libtext-unaccent-perl              	       0       25        0        0       25
45094 libtext-vcard-perl                 	       0        2        2        0        0
45095 libtext-wikiformat-perl            	       0        1        1        0        0
45096 libtext-wrapper-perl               	       0       10       10        0        0
45097 libtextcat-data                    	       0        1        0        0        1
45098 libtextcat-data-utf8               	       0        3        0        0        3
45099 libtextcat0                        	       0        1        0        0        1
45100 libtexttools-doc                   	       0        1        0        0        1
45101 libtexttools11                     	       0        1        0        0        1
45102 libtexttools11-dev                 	       0        1        0        0        1
45103 libtextwrap1                       	       0       11        0        0       11
45104 libtf-conversions-dev              	       0        1        1        0        0
45105 libtf-conversions0d                	       0        1        0        0        1
45106 libtf-dev                          	       0        1        1        0        0
45107 libtf1d                            	       0        2        0        0        2
45108 libtf2-2d                          	       0        2        0        0        2
45109 libtf2-bullet-dev                  	       0        1        1        0        0
45110 libtf2-dev                         	       0        2        2        0        0
45111 libtf2-eigen-dev                   	       0        1        1        0        0
45112 libtf2-geometry-msgs-dev           	       0        2        2        0        0
45113 libtf2-kdl-dev                     	       0        1        1        0        0
45114 libtf2-msgs-dev                    	       0        2        2        0        0
45115 libtf2-ros-dev                     	       0        2        2        0        0
45116 libtf2-ros1d                       	       0        2        0        0        2
45117 libtf2-sensor-msgs-dev             	       0        1        1        0        0
45118 libtgl-0.0.0.20160623-0            	       0        7        0        0        7
45119 libtgl-0.0.0.20160623-0t64         	       0        1        0        0        1
45120 libtgowt-dev                       	       0        2        2        0        0
45121 libtgvoip-dev                      	       0        2        2        0        0
45122 libtgvoip1.0                       	       0        1        0        0        1
45123 libthai-data                       	       0     3959        0        0     3959
45124 libthai-doc                        	       0        2        0        0        2
45125 libtheora-doc                      	       0        3        1        1        1
45126 libtheora-ocaml                    	       0        1        1        0        0
45127 libtheora-ocaml-dev                	       0        1        1        0        0
45128 libtheschwartz-perl                	       0        2        2        0        0
45129 libthmap-dev                       	       0        1        1        0        0
45130 libthmap1                          	       0        1        0        0        1
45131 libthread-pool-dev                 	       0        1        0        0        1
45132 libthreadar-dev                    	       0        1        1        0        0
45133 libthreadar1000                    	       0        7        0        0        7
45134 libthreeten-extra-java             	       0        2        0        0        2
45135 libthrift-0.13.0                   	       0       12        0        0       12
45136 libthrift-0.17.0                   	       0       34        2        0       32
45137 libthrift-0.19.0t64                	       0        8        0        0        8
45138 libthrift-java                     	       0        2        0        0        2
45139 libthrust-dev                      	       0       24       23        1        0
45140 libthumbnailator-java              	       0       41        0        0       41
45141 libthunar-vfs-1-common             	       0        3        0        0        3
45142 libthunarx-2-0                     	       0       42        0        0       42
45143 libthunarx-3-0-dbgsym              	       0        1        1        0        0
45144 libthunarx-3-dev                   	       0        2        2        0        0
45145 libticables2-6                     	       0        1        1        0        0
45146 libticables2-7                     	       0        4        4        0        0
45147 libticalcs2-12                     	       0        4        0        0        4
45148 libticalcs2-13                     	       0       20        0        0       20
45149 libticcutils5                      	       0        1        0        0        1
45150 libticcutils8                      	       0        5        0        0        5
45151 libticonv8                         	       0        5        0        0        5
45152 libticonv9                         	       0       20        0        0       20
45153 libtie-array-sorted-perl           	       0        2        2        0        0
45154 libtie-handle-offset-perl          	       0        4        4        0        0
45155 libtiff-doc                        	       0        4        0        0        4
45156 libtiff-opengl                     	       0       16       16        0        0
45157 libtiff4                           	       0       33        0        0       33
45158 libtiff4-dev                       	       0        2        2        0        0
45159 libtiff5-dev                       	       0       95       27        0       68
45160 libtiffxx0c2                       	       0        5        0        0        5
45161 libtiffxx5                         	       0      126        0        0      126
45162 libtiffxx6                         	       0      491        0        0      491
45163 libtifiles2-10                     	       0        5        0        0        5
45164 libtifiles2-11                     	       0       20        0        0       20
45165 libtigris-clojure                  	       0        1        0        0        1
45166 libtiled1                          	       0        7        0        0        7
45167 libtimbl4                          	       0        4        0        0        4
45168 libtimblserver4                    	       0        2        0        0        2
45169 libtime-fake-perl                  	       0        2        2        0        0
45170 libtime-format-perl                	       0        1        1        0        0
45171 libtime-modules-perl               	       0        4        0        0        4
45172 libtime-moment-perl                	       0      620        0        0      620
45173 libtimezonemap-data                	       0      269        0        0      269
45174 libtimezonemap1                    	       0      268        0        0      268
45175 libtimingframework-java            	       0        2        0        0        2
45176 libtinfo-dev                       	       0      316       44        0      272
45177 libtingea0                         	       0        2        0        0        2
45178 libtins4.0                         	       0        3        0        0        3
45179 libtins4.5                         	       0        1        0        0        1
45180 libtinyexr1d                       	       0        1        0        0        1
45181 libtinyframe-dev                   	       0        1        1        0        0
45182 libtinyframe0t64                   	       0        1        0        0        1
45183 libtinygltf1d                      	       0        1        0        0        1
45184 libtinygltf5d                      	       0        1        0        0        1
45185 libtinysparql-3.0-0                	       0       10        0        0       10
45186 libtinyxml-dev                     	       0       24       24        0        0
45187 libtinyxml-doc                     	       0        1        0        0        1
45188 libtinyxml2-11                     	       0        2        0        1        1
45189 libtinyxml2-2                      	       0        5        0        0        5
45190 libtinyxml2-4                      	       0       12        0        0       12
45191 libtinyxml2-6a                     	       0       19        0        0       19
45192 libtinyxml2-8                      	       0       64        6        0       58
45193 libtinyxml2-dev                    	       0       12       12        0        0
45194 libtinyxml2.6.2                    	       0        8        0        0        8
45195 libtinyxml2.6.2v5-dbg              	       0        1        1        0        0
45196 libtirpc-common                    	       0     3797        0        0     3797
45197 libtirpc1                          	       0      108        0        0      108
45198 libtitanium-json-ld-java           	       0        2        0        0        2
45199 libtk-codetext-perl                	       0        1        1        0        0
45200 libtk-filedialog-perl              	       0        1        1        0        0
45201 libtk-gbarr-perl                   	       0        1        1        0        0
45202 libtk-img                          	       0       76        0        0       76
45203 libtk-img-dev                      	       0        3        2        1        0
45204 libtk-img-doc                      	       0        6        0        0        6
45205 libtk-objeditor-perl               	       0        1        1        0        0
45206 libtk-objscanner-perl              	       0        1        1        0        0
45207 libtk-splashscreen-perl            	       0        1        1        0        0
45208 libtk-tablematrix-perl             	       0        6        0        0        6
45209 libtk8.4                           	       0        1        0        0        1
45210 libtk9.0                           	       0        3        0        0        3
45211 libtkrzw1                          	       0        1        0        0        1
45212 libtlog0                           	       0        1        0        0        1
45213 libtls-dev                         	       0        4        4        0        0
45214 libtls26                           	       0        7        0        0        7
45215 libtls28t64                        	       0        2        0        0        2
45216 libtlsh0                           	       0        3        0        0        3
45217 libtmglib-dev                      	       0        4        0        0        4
45218 libtmglib3                         	       0       15        0        0       15
45219 libtmglib64-3                      	       0        1        0        0        1
45220 libtmglib64-dev                    	       0        1        0        0        1
45221 libtnt-dev                         	       0        1        1        0        0
45222 libtntdb-dev                       	       0        1        1        0        0
45223 libtntdb4                          	       0        1        1        0        0
45224 libtntnet-dev                      	       0        3        3        0        0
45225 libtntnet10                        	       0        1        0        0        1
45226 libtntnet12                        	       0        1        0        0        1
45227 libtntnet12v5                      	       0        3        0        0        3
45228 libtogl-dev                        	       0        3        3        0        0
45229 libtogl1                           	       0        2        0        0        2
45230 libtogl2                           	       0       11       11        0        0
45231 libtokyocabinet-dev                	       0        9        9        0        0
45232 libtokyocabinet8                   	       0       15        0        0       15
45233 libtokyotyrant-dev                 	       0        2        2        0        0
45234 libtokyotyrant3                    	       0        7        1        0        6
45235 libtolua++5.1-dev                  	       0        1        1        0        0
45236 libtolua-dev                       	       0        1        1        0        0
45237 libtomcat10-java                   	       0       14        0        0       14
45238 libtomcat7-java                    	       0        3        0        0        3
45239 libtomcat8-java                    	       0       10        0        0       10
45240 libtomcat9-embed-java              	       0        1        0        0        1
45241 libtomcat9-java                    	       0       39        0        0       39
45242 libtomcrypt-dev                    	       0        6        6        0        0
45243 libtomcrypt0                       	       0       27        0        0       27
45244 libtoml-tiny-perl                  	       0       21       20        1        0
45245 libtomlplusplus-dev                	       0        1        1        0        0
45246 libtommath-doc                     	       0        4        0        0        4
45247 libtommath0                        	       0       13        0        0       13
45248 libtomoyotools3                    	       0       29        2        0       27
45249 libtonezone2.0                     	       0        2        2        0        0
45250 libtool-bin                        	       0      184      181        3        0
45251 libtool-build-deps                 	       0        1        0        0        1
45252 libtool-doc                        	       0       23        0        0       23
45253 libtools-analyzer-clojure          	       0        1        0        0        1
45254 libtools-analyzer-jvm-clojure      	       0        1        0        0        1
45255 libtools-cli-clojure               	       0        1        0        0        1
45256 libtools-logging-clojure           	       0        1        0        0        1
45257 libtools-macro-clojure             	       0        1        0        0        1
45258 libtools-namespace-clojure         	       0        1        0        0        1
45259 libtools-nrepl-clojure             	       0        1        0        0        1
45260 libtools-reader-clojure            	       0        2        0        0        2
45261 libtoon-dev                        	       0        1        1        0        0
45262 libtoontag-dev                     	       0        1        1        0        0
45263 libtoontag0t64                     	       0        1        0        0        1
45264 libtopcom0                         	       0       10        0        0       10
45265 libtopcom0t64                      	       0        2        0        0        2
45266 libtopic-tools-dev                 	       0        1        1        0        0
45267 libtopic-tools2d                   	       0        1        0        0        1
45268 libtopmenu-client-gtk2-0           	       0        3        0        0        3
45269 libtopmenu-client-gtk3-0           	       0        3        0        0        3
45270 libtopmenu-server-gtk2-0           	       0        3        0        0        3
45271 libtopmenu-server-gtk3-0           	       0        3        0        0        3
45272 libtorch-dev                       	       0       13       12        1        0
45273 libtorch-luat                      	       0        1        0        0        1
45274 libtorch-test                      	       0       11       10        1        0
45275 libtorch-th                        	       0        1        0        0        1
45276 libtorch-thnn                      	       0        1        0        0        1
45277 libtorch-thnn-dev                  	       0        1        1        0        0
45278 libtorch1.13                       	       0       10        0        0       10
45279 libtorch1.7                        	       0        3        0        0        3
45280 libtorch2.6                        	       0        1        0        0        1
45281 libtorque2                         	       0        4        0        0        4
45282 libtorrent-build-deps              	       0        1        0        0        1
45283 libtorrent-dev                     	       0        4        4        0        0
45284 libtorrent-rasterbar-build-deps    	       0        1        0        0        1
45285 libtorrent-rasterbar-dbg           	       0        1        1        0        0
45286 libtorrent-rasterbar-dev           	       0        4        4        0        0
45287 libtorrent-rasterbar-doc           	       0        1        0        0        1
45288 libtorrent-rasterbar6              	       0        1        0        0        1
45289 libtorrent-rasterbar7              	       0        8        0        0        8
45290 libtorrent-rasterbar9              	       0       28        0        0       28
45291 libtorrent14                       	       0        1        0        0        1
45292 libtorrent19                       	       0        4        0        0        4
45293 libtorrent20                       	       0        8        0        0        8
45294 libtorrent21-dbgsym                	       0        1        1        0        0
45295 libtorrent21t64                    	       0       13        0        0       13
45296 libtorsocks                        	       0        6        0        6        0
45297 libtotem-pg-dev                    	       0        1        1        0        0
45298 libtotem-pg5                       	       0        6        0        0        6
45299 libtotem-plparser-common           	       0      742        0        0      742
45300 libtotem-plparser-dev              	       0        2        2        0        0
45301 libtpl0                            	       0        1        0        0        1
45302 libtpm-unseal1                     	       0        8        0        0        8
45303 libtpm-unseal1t64                  	       0        1        0        0        1
45304 libtpm2-pkcs11-tools               	       0        1        1        0        0
45305 libtqca                            	       0       26        0        0       26
45306 libtqsllib1                        	       0        1        0        0        1
45307 libtqt-perl                        	       0        1        1        0        0
45308 libtqt3-apps-dev                   	       0        3        0        0        3
45309 libtqt3-compat-headers             	       0        2        2        0        0
45310 libtqt3-headers                    	       0        3        3        0        0
45311 libtqt3-i18n                       	       0        2        0        0        2
45312 libtqt3-integration-trinity        	       0       35       31        4        0
45313 libtqt3-mt-data                    	       0       36        0        0       36
45314 libtqt3-mt-dev                     	       0        3        3        0        0
45315 libtqt3-mt-mysql                   	       0        1        0        0        1
45316 libtqt3-mt-odbc                    	       0        1        0        0        1
45317 libtqt3-mt-psql                    	       0        1        0        0        1
45318 libtqtinterface-dbg                	       0        2        2        0        0
45319 libtqtinterface-dev                	       0        3        3        0        0
45320 libtqtinterface-doc                	       0        1        0        0        1
45321 libtrace-tools                     	       0        1        1        0        0
45322 libtrace3                          	       0        1        0        0        1
45323 libtracecmd1                       	       0        3        0        0        3
45324 libtraceevent-dev                  	       0       12       12        0        0
45325 libtraceevent-doc                  	       0        1        0        0        1
45326 libtraceevent1-plugin              	       0        5        0        0        5
45327 libtracefs-dev                     	       0       12       12        0        0
45328 libtracefs1                        	       0       18        0        0       18
45329 libtracker-client-0.8-0            	       0        3        3        0        0
45330 libtracker-control-1.0-0           	       0        9        0        0        9
45331 libtracker-control-1.0-dev         	       0        1        1        0        0
45332 libtracker-control-2.0-0           	       0       58        0        0       58
45333 libtracker-control-doc             	       0        1        0        0        1
45334 libtracker-doc                     	       0        1        0        0        1
45335 libtracker-extract-0.14-0          	       0        4        0        0        4
45336 libtracker-miner-0.14-0            	       0        5        0        0        5
45337 libtracker-miner-0.14-dev          	       0        1        1        0        0
45338 libtracker-miner-1.0-0             	       0        8        0        0        8
45339 libtracker-miner-1.0-dev           	       0        3        3        0        0
45340 libtracker-miner-doc               	       0        1        0        0        1
45341 libtracker-sparql-0.12-0           	       0        1        1        0        0
45342 libtracker-sparql-0.14-0           	       0        9        9        0        0
45343 libtracker-sparql-0.14-dev         	       0        1        1        0        0
45344 libtracker-sparql-1.0-0            	       0       26        0        0       26
45345 libtracker-sparql-1.0-dev          	       0        3        3        0        0
45346 libtracker-sparql-3.0-dev          	       0        2        2        0        0
45347 libtracker-sparql-doc              	       0        1        0        0        1
45348 libtrackerclient0                  	       0        1        0        0        1
45349 libtrajectory-msgs-dev             	       0        1        1        0        0
45350 libtrampoline1                     	       0        2        0        0        2
45351 libtrang-java                      	       0        4        0        0        4
45352 libtranscript1                     	       0        4        0        0        4
45353 libtransitioner2                   	       0        4        0        0        4
45354 libtrapperkeeper-authorization-clojure	       0        1        0        0        1
45355 libtrapperkeeper-clojure           	       0        1        0        0        1
45356 libtrapperkeeper-comidi-metrics-clojure	       0        1        0        0        1
45357 libtrapperkeeper-filesystem-watcher-clojure	       0        1        0        0        1
45358 libtrapperkeeper-metrics-clojure   	       0        1        0        0        1
45359 libtrapperkeeper-scheduler-clojure 	       0        1        0        0        1
45360 libtrapperkeeper-status-clojure    	       0        1        0        0        1
45361 libtrapperkeeper-webserver-jetty9-clojure	       0        1        0        0        1
45362 libtravel-routing-de-hafas-perl    	       0        1        1        0        0
45363 libtravel-routing-de-vrr-perl      	       0        1        1        0        0
45364 libtravel-status-de-deutschebahn-perl	       0        1        1        0        0
45365 libtravel-status-de-iris-perl      	       0        1        1        0        0
45366 libtre-dev                         	       0        1        1        0        0
45367 libtree                            	       0        8        8        0        0
45368 libtree-dagnode-perl               	       0        4        4        0        0
45369 libtree-r-perl                     	       0        1        1        0        0
45370 libtree-sitter0.22                 	       0        5        0        0        5
45371 libtree-xpathengine-perl           	       0        1        1        0        0
45372 libtreelayout-java                 	       0       12        0        0       12
45373 libtrident-java                    	       0        4        0        0        4
45374 libtrilead-putty-extension-java    	       0        1        0        0        1
45375 libtrilead-ssh2-java               	       0        8        0        0        8
45376 libtrilinos-amesos-13.2            	       0       60        0        0       60
45377 libtrilinos-aztecoo-13.2           	       0       60        0        0       60
45378 libtrilinos-aztecoo-dev            	       0        2        2        0        0
45379 libtrilinos-epetra-13.2            	       0       60        0        0       60
45380 libtrilinos-epetraext-13.2         	       0       60        0        0       60
45381 libtrilinos-galeri-13.2            	       0       60        0        0       60
45382 libtrilinos-ifpack-13.2            	       0       60        0        0       60
45383 libtrilinos-kokkos-13.2            	       0       61        0        0       61
45384 libtrilinos-kokkos-dev             	       0        1        1        0        0
45385 libtrilinos-ml-13.2                	       0       60        0        0       60
45386 libtrilinos-ml-dev                 	       0        2        2        0        0
45387 libtrilinos-teuchos-13.2           	       0       60        0        0       60
45388 libtrilinos-trilinosss-13.2        	       0       61        0        0       61
45389 libtrilinos-trilinosss-dev         	       0        2        2        0        0
45390 libtrilinos-triutils-13.2          	       0       60        0        0       60
45391 libtrilinos-zoltan-13.2            	       0       60        0        0       60
45392 libtrilinos-zoltan-dev             	       0        2        2        0        0
45393 libtrio-dev                        	       0        1        1        0        0
45394 libtrio2                           	       0       37        0        0       37
45395 libtritonus-java                   	       0        2        0        0        2
45396 libtritonus-jni                    	       0        2        2        0        0
45397 libtrompeloeil-cpp-dev             	       0        1        0        0        1
45398 libtrove-intellij-java             	       0        2        0        0        2
45399 libtry-tiny-byclass-perl           	       0        2        2        0        0
45400 libtrycatch-perl                   	       0        1        0        0        1
45401 libts-0.0-0                        	       0       16        0        0       16
45402 libts-bin                          	       0        1        1        0        0
45403 libts-dev                          	       0        3        3        0        0
45404 libts0                             	       0      452        0        0      452
45405 libts0t64                          	       0      164        0        0      164
45406 libtsan0                           	       0     1231        0        0     1231
45407 libtsan0-arm64-cross               	       0       15        0        0       15
45408 libtsan0-ppc64-cross               	       0        2        0        0        2
45409 libtsan2                           	       0     1882        0        0     1882
45410 libtsan2-arm64-cross               	       0       24        0        0       24
45411 libtsan2-ppc64-cross               	       0        4        0        0        4
45412 libtsan2-ppc64el-cross             	       0        1        0        0        1
45413 libtsan2-riscv64-cross             	       0        2        0        0        2
45414 libtse3-0.3.1t64                   	       0        1        0        0        1
45415 libtse3-dev                        	       0        1        1        0        0
45416 libtsk-dev                         	       0        2        2        0        0
45417 libtsk13                           	       0       21        0        0       21
45418 libtsk19                           	       0      143        0        0      143
45419 libtsk19t64                        	       0       13        0        0       13
45420 libtsk3-3                          	       0        1        0        0        1
45421 libtsm4                            	       0        1        0        0        1
45422 libtss0                            	       0        3        0        0        3
45423 libtss2-dev                        	       0        1        1        0        0
45424 libtss2-esys0                      	       0       21        0        0       21
45425 libtss2-fapi1                      	       0        7        7        0        0
45426 libtss2-fapi1t64                   	       0        2        2        0        0
45427 libtss2-mu-4.0.1-0                 	       0        1        0        0        1
45428 libtss2-rc0                        	       0      302        1        0      301
45429 libtss2-rc0t64                     	       0       20        0        0       20
45430 libtss2-tcti-cmd0                  	       0      976        0        0      976
45431 libtss2-tcti-cmd0t64               	       0      117        0        0      117
45432 libtss2-tcti-device0               	       0      976        2        0      974
45433 libtss2-tcti-device0t64            	       0      117        1        0      116
45434 libtss2-tcti-libtpms0              	       0        6        0        0        6
45435 libtss2-tcti-libtpms0t64           	       0       70        0        0       70
45436 libtss2-tcti-mssim0                	       0      976        0        0      976
45437 libtss2-tcti-mssim0t64             	       0      117        0        0      117
45438 libtss2-tcti-pcap0                 	       0        1        0        0        1
45439 libtss2-tcti-spi-helper0           	       0        6        0        0        6
45440 libtss2-tcti-spi-helper0t64        	       0       69        0        0       69
45441 libtss2-tcti-swtpm0                	       0      976        0        0      976
45442 libtss2-tcti-swtpm0t64             	       0      117        0        0      117
45443 libtss2-tctildr0t64                	       0       68        4        0       64
45444 libtss2-udev                       	       0       30       30        0        0
45445 libttf2                            	       0        2        0        0        2
45446 libttfautohint1                    	       0        4        0        0        4
45447 libttfautohint1t64                 	       0        2        0        0        2
45448 libttspico-data                    	       0       10        0        0       10
45449 libttspico-utils                   	       0       10        9        1        0
45450 libttspico0                        	       0        9        0        0        9
45451 libttspico0t64                     	       0        1        0        0        1
45452 libtty1                            	       0        5        0        0        5
45453 libtuiwidgets0a                    	       0        1        0        0        1
45454 libtulip-core-5.4                  	       0        2        0        0        2
45455 libtulip-dev                       	       0        1        1        0        0
45456 libtulip-gui-5.4                   	       0        2        0        0        2
45457 libtulip-ogdf-5.4                  	       0        2        0        0        2
45458 libtulip-ogl-5.4                   	       0        2        0        0        2
45459 libtulip-python-5.4                	       0        2        0        0        2
45460 libtumbler-1-0t64                  	       0      112       10        1      101
45461 libturbojpeg0-dev                  	       0       15       13        2        0
45462 libturbojpeg1                      	       0       21        0        0       21
45463 libturbojpeg1-dev                  	       0        1        1        0        0
45464 libtvcontrol0                      	       0        3        3        0        0
45465 libtwatch-perl                     	       0        3        3        0        0
45466 libtwelvemonkeys-java              	       0       66        0        0       66
45467 libtwitter-ruby1.9.1               	       0        1        0        0        1
45468 libtwolame-dev                     	       0       32       32        0        0
45469 libtxc-dxtn-s2tc                   	       0       94        0        0       94
45470 libtxc-dxtn-s2tc-bin               	       0        1        1        0        0
45471 libtxc-dxtn-s2tc-dev               	       0        1        1        0        0
45472 libtxc-dxtn-s2tc0                  	       0       68        0        0       68
45473 libtxc-dxtn0                       	       0        1        0        0        1
45474 libtxw2-java                       	       0      330        0        0      330
45475 libtypes-uri-perl                  	       0        3        3        0        0
45476 libtypes-uuid-perl                 	       0        3        3        0        0
45477 libtypesafe-config-clojure         	       0        1        0        0        1
45478 libtypesafe-config-java            	       0        2        0        0        2
45479 libtyxml-ocaml                     	       0        1        1        0        0
45480 libtyxml-ocaml-dev                 	       0        1        1        0        0
45481 libtzinfo-ruby1.9.1                	       0        1        0        0        1
45482 libu2f-host-dev                    	       0        1        1        0        0
45483 libu2f-host-doc                    	       0        1        0        0        1
45484 libu2f-host0                       	       0       11        0        0       11
45485 libu2f-server0                     	       0        5        0        0        5
45486 libubertooth-dev                   	       0        1        1        0        0
45487 libubertooth1                      	       0        6        0        0        6
45488 libubi-dev                         	       0        1        1        0        0
45489 libubootenv0.1                     	       0       53        0        0       53
45490 libubox1                           	       0        1        1        0        0
45491 libubsan0                          	       0      423        0        0      423
45492 libubsan0-arm64-cross              	       0        2        0        0        2
45493 libubsan0-armhf-cross              	       0        1        0        0        1
45494 libubsan0-dbg                      	       0        3        3        0        0
45495 libubsan1                          	       0     2501        0        0     2501
45496 libubsan1-arm64-cross              	       0       36        0        0       36
45497 libubsan1-armel-cross              	       0       23        0        0       23
45498 libubsan1-armhf-cross              	       0       30        0        0       30
45499 libubsan1-i386-cross               	       0       13        0        0       13
45500 libubsan1-powerpc-cross            	       0        5        0        0        5
45501 libubsan1-ppc64-cross              	       0        6        0        0        6
45502 libubsan1-ppc64el-cross            	       0        1        0        0        1
45503 libubsan1-riscv64-cross            	       0        2        0        0        2
45504 libubsan1-s390x-cross              	       0        4        0        0        4
45505 libubsan1-sparc64-cross            	       0        3        0        0        3
45506 libubsan1-x32-cross                	       0        6        0        0        6
45507 libubus1                           	       0        1        1        0        0
45508 libucimf0                          	       0        1        0        0        1
45509 libucl-dev                         	       0        3        3        0        0
45510 libucl1                            	       0       15        0        0       15
45511 libucommon-dev                     	       0        5        5        0        0
45512 libucommon6                        	       0        1        0        0        1
45513 libucommon7v5                      	       0        1        0        0        1
45514 libuconv-ruby1.9.1                 	       0        2        0        0        2
45515 libucto3                           	       0        1        0        0        1
45516 libucto5                           	       0        4        0        0        4
45517 libucx-dev                         	       0       11       11        0        0
45518 libucx0                            	       0      325        0        0      325
45519 libucx0-dbgsym                     	       0        1        1        0        0
45520 libudev-compat-dev                 	       0        1        1        0        0
45521 libudev-compat-helpers             	       0        1        1        0        0
45522 libudev-dev                        	       0      390        4        0      386
45523 libudev1-compat                    	       0        1        1        0        0
45524 libudf0                            	       0       35        0        0       35
45525 libudf0t64                         	       0        3        0        0        3
45526 libudfread-dev                     	       0       37       37        0        0
45527 libudis86-0                        	       0        4        0        0        4
45528 libudisks2-0-dbgsym                	       0        1        1        0        0
45529 libudisks2-dev                     	       0        3        3        0        0
45530 libudisks2-qt5-0                   	       0        7        0        0        7
45531 libudns0t64                        	       0        3        0        0        3
45532 libudp-tcl                         	       0        1        1        0        0
45533 libudunits2-0                      	       0       55        0        0       55
45534 libudunits2-data                   	       0       55        0        0       55
45535 libuecc0                           	       0        4        0        0        4
45536 libuemf0                           	       0        2        0        0        2
45537 libuev-dev                         	       0        1        1        0        0
45538 libuev2                            	       0        1        0        0        1
45539 libuev3                            	       0        2        0        0        2
45540 libufo-data                        	       0        1        0        0        1
45541 libufo1                            	       0        1        0        0        1
45542 libuhd-dev                         	       0        1        1        0        0
45543 libuhd003                          	       0        1        0        0        1
45544 libuhd3.13.1                       	       0        2        0        0        2
45545 libuhd3.15.0                       	       0        5        0        0        5
45546 libuhd4.3.0                        	       0       38        2        0       36
45547 libuhd4.7.0                        	       0        8        1        0        7
45548 libuhd4.8.0                        	       0        3        0        0        3
45549 libui-dialog-perl                  	       0        2        2        0        0
45550 libui-utilcpp-dev                  	       0        1        1        0        0
45551 libui-utilcpp9v5                   	       0        2        2        0        0
45552 libui0                             	       0        1        0        0        1
45553 libuid-wrapper                     	       0        2        0        0        2
45554 libuil4                            	       0       37        0        0       37
45555 libuim-data                        	       0       38        0        0       38
45556 libuim-dev                         	       0        1        1        0        0
45557 libukui-common0                    	       0        3        0        0        3
45558 libukui-gsettings0                 	       0        2        0        0        2
45559 libukui-log4qt1                    	       0       11        0        0       11
45560 libukui-menu2                      	       0        1        0        0        1
45561 libukui-panel-applet-4-1           	       0        1        0        0        1
45562 libukui-print0                     	       0        2        0        0        2
45563 libukui-screensaverclient-dev      	       0        1        1        0        0
45564 libukui-screensaverclient0         	       0        1        0        0        1
45565 libukui-touchpadclient-dev         	       0        1        1        0        0
45566 libukui-touchpadclient0            	       0        1        0        0        1
45567 libukui-usersetting-dev            	       0        1        1        0        0
45568 libukui-usersetting0               	       0        1        0        0        1
45569 libukwm-1-0                        	       0        5        0        0        5
45570 libulfius2.7                       	       0        6        0        0        6
45571 libulfius2.7t64                    	       0        1        0        0        1
45572 libumfpack5.4.0                    	       0        7        0        0        7
45573 libumfpack5.6.2                    	       0       25        0        0       25
45574 libumfpack6                        	       0      129        1        0      128
45575 libumlib0                          	       0        5        0        0        5
45576 libumockdev0                       	       0        9        4        0        5
45577 libunac1                           	       0       41        0        0       41
45578 libunarr-dev                       	       0        2        2        0        0
45579 libunbescape-java-doc              	       0        1        0        0        1
45580 libunbound-dev                     	       0        9        9        0        0
45581 libunbound2                        	       0       30        0        0       30
45582 libunbound8-dbgsym                 	       0        1        1        0        0
45583 libungif-bin                       	       0        1        0        0        1
45584 libungif4-dev                      	       0        2        0        0        2
45585 libungif4g                         	       0        3        0        0        3
45586 libunibilium-dev                   	       0        1        1        0        0
45587 libunibreak-dev                    	       0       11       11        0        0
45588 libunibreak-doc                    	       0        1        0        0        1
45589 libunibreak1                       	       0       93        0        0       93
45590 libunicap2                         	       0        7        1        0        6
45591 libunicap2-dev                     	       0        1        1        0        0
45592 libunicode-collate-perl            	       0       14        0        0       14
45593 libunicode-escape-perl             	       0        1        1        0        0
45594 libunicode-map-perl                	       0      367        0        0      367
45595 libunicode-string-perl             	       0      117        0        0      117
45596 libunicode-stringprep-perl         	       0        5        5        0        0
45597 libunicode-utf8-perl               	       0      786        0        0      786
45598 libuniconf4.6                      	       0       27        0        0       27
45599 libuniconf4.6t64                   	       0        1        0        0        1
45600 libunicorn-dev                     	       0        1        1        0        0
45601 libunicorn2t64                     	       0        1        0        0        1
45602 libuninameslist-dev                	       0        1        1        0        0
45603 libuninameslist0                   	       0        4        0        0        4
45604 libuninameslist1                   	       0       37        0        0       37
45605 libuninum5                         	       0        8        0        0        8
45606 libunique-3.0-0                    	       0       27        0        0       27
45607 libunique-dev                      	       0        3        3        0        0
45608 libunirest-java-java               	       0       24        0        0       24
45609 libunistring0                      	       0      501        0        0      501
45610 libunittest++-dev                  	       0       17       16        1        0
45611 libunittest++2                     	       0       17        0        0       17
45612 libunity-java                      	       0        1        0        0        1
45613 libunity-scopes-json-def-desktop   	       0      447        0        0      447
45614 libunivalue-dev                    	       0        2        2        0        0
45615 libunivalue0                       	       0        4        0        0        4
45616 libunivocity-parsers-java          	       0        7        0        0        7
45617 libunix-fcntllock-perl             	       0        1        0        0        1
45618 libunix-mad-syslog-perl            	       0        1        0        0        1
45619 libunixsocket-java                 	       0        4        4        0        0
45620 libunrar-headers                   	       0        1        0        0        1
45621 libunrar5                          	       0        9        0        0        9
45622 libunrar5t64                       	       0        1        0        0        1
45623 libunsafe-fences-java              	       0        2        0        0        2
45624 libunsafe-mock-java                	       0        3        0        0        3
45625 libunshield-dev                    	       0        4        4        0        0
45626 libunshield0                       	       0       43        0        0       43
45627 libunwind-13                       	       0        2        2        0        0
45628 libunwind-14-dev                   	       0        5        5        0        0
45629 libunwind-16-dev                   	       0        3        3        0        0
45630 libunwind7                         	       0        2        0        0        2
45631 libupb-dev                         	       0        1        1        0        0
45632 libupb0                            	       0        1        0        0        1
45633 libupnp-dev                        	       0       21       20        0        1
45634 libupnp-doc                        	       0        2        0        0        2
45635 libupnp10                          	       0        1        0        0        1
45636 libupnp17                          	       0       52        2        0       50
45637 libupnp3                           	       0        1        0        0        1
45638 libupnp6-doc                       	       0        1        0        0        1
45639 libupnpp4                          	       0        1        0        0        1
45640 libupnpp6                          	       0        1        0        0        1
45641 libups-nut-perl                    	       0        1        1        0        0
45642 libupsclient-dev                   	       0        1        1        0        0
45643 libupsclient1                      	       0        3        0        0        3
45644 libupse2                           	       0        2        0        0        2
45645 libuptimed0                        	       0        7        0        0        7
45646 liburcu-dev                        	       0        6        6        0        0
45647 liburcu2                           	       0        2        0        0        2
45648 liburcu4                           	       0        6        0        0        6
45649 liburcu6                           	       0       18        0        0       18
45650 liburdf-dev                        	       0        1        1        0        0
45651 liburdf-parser-plugin-dev          	       0        1        1        0        0
45652 liburdf1d                          	       0        1        0        0        1
45653 liburdfdom-dev                     	       0        1        1        0        0
45654 liburdfdom-headers-dev             	       0        1        1        0        0
45655 liburdfdom-model-state3.0          	       0        1        0        0        1
45656 liburdfdom-model3.0                	       0        1        0        0        1
45657 liburdfdom-sensor3.0               	       0        1        0        0        1
45658 liburdfdom-tools                   	       0        1        1        0        0
45659 liburdfdom-world3.0                	       0        1        0        0        1
45660 liburfkill-glib-dev                	       0        1        1        0        0
45661 liburfkill-glib0                   	       0        4        0        0        4
45662 liburfkill-glib0-dbg               	       0        1        1        0        0
45663 liburi-cpan-perl                   	       0        1        1        0        0
45664 liburi-db-perl                     	       0        2        2        0        0
45665 liburi-escape-xs-perl              	       0       86        0        0       86
45666 liburi-fetch-perl                  	       0       13       13        0        0
45667 liburi-find-delimited-perl         	       0        1        1        0        0
45668 liburi-find-perl                   	       0        4        4        0        0
45669 liburi-find-simple-perl            	       0        1        1        0        0
45670 liburi-fromhash-perl               	       0        3        3        0        0
45671 liburi-namespacemap-perl           	       0        2        2        0        0
45672 liburi-nested-perl                 	       0        2        2        0        0
45673 liburi-normalize-perl              	       0        1        1        0        0
45674 liburi-query-perl                  	       0        1        1        0        0
45675 liburi-template-perl               	       0       13       13        0        0
45676 liburi-ws-perl                     	       0        1        1        0        0
45677 liburing-dev                       	       0        7        7        0        0
45678 liburiparser-dev                   	       0       62       62        0        0
45679 liburl-encode-perl                 	       0        3        3        0        0
45680 liburl-encode-xs-perl              	       0        4        0        0        4
45681 liburweb-cgi0                      	       0        1        0        0        1
45682 liburweb-fastcgi0                  	       0        1        0        0        1
45683 liburweb-http0                     	       0        1        0        0        1
45684 liburweb-static0                   	       0        1        0        0        1
45685 liburweb0                          	       0        1        0        0        1
45686 libusageenvironment2               	       0        1        0        0        1
45687 libusageenvironment3               	       0      233        0        0      233
45688 libusb-1.0-doc                     	       0      199        0        0      199
45689 libusb-java                        	       0        3        0        0        3
45690 libusb-java-doc                    	       0        3        0        0        3
45691 libusb-java-lib                    	       0        3        0        0        3
45692 libusb-libusb-perl                 	       0        1        0        0        1
45693 libusb-ocaml                       	       0        1        1        0        0
45694 libusb-ocaml-dev                   	       0        1        1        0        0
45695 libusb3380-0                       	       0       32        0        0       32
45696 libusb3380-0t64                    	       0        6        0        0        6
45697 libusb3380-dev                     	       0        1        1        0        0
45698 libusbauth-configparser-dev        	       0        1        1        0        0
45699 libusbauth-configparser1           	       0        1        0        0        1
45700 libusbdrdaq                        	       0        1        1        0        0
45701 libusbguard-dev                    	       0        1        0        0        1
45702 libusbguard1                       	       0        6        4        0        2
45703 libusbgx-dev                       	       0        1        1        0        0
45704 libusbgx-doc                       	       0        1        0        0        1
45705 libusbgx2                          	       0        2        0        0        2
45706 libusbmuxd-dev                     	       0       20       20        0        0
45707 libusbmuxd-tools                   	       0       27       27        0        0
45708 libusbmuxd-tools-dbgsym            	       0        1        1        0        0
45709 libusbmuxd1                        	       0        8        0        0        8
45710 libusbmuxd2                        	       0       31        2        0       29
45711 libusbmuxd4                        	       0      243        2        0      241
45712 libusbmuxd6-dbgsym                 	       0        1        1        0        0
45713 libusbprog0v5                      	       0        3        0        0        3
45714 libusbredirhost-dev                	       0        3        3        0        0
45715 libusbredirparser-dev              	       0        7        7        0        0
45716 libusbredirparser0                 	       0        4        0        0        4
45717 libuser                            	       0        7        7        0        0
45718 libuser-perl                       	       0        1        1        0        0
45719 libuser1                           	       0      188        0        0      188
45720 libusplash0                        	       0        1        0        0        1
45721 libusrsctp1                        	       0        1        0        0        1
45722 libusrsctp2                        	       0        4        1        0        3
45723 libustr-1.0-1                      	       0      752        0        0      752
45724 libustr-doc                        	       0        1        0        0        1
45725 libutempter-dev                    	       0        8        8        0        0
45726 libutf8-locale0                    	       0        2        0        0        2
45727 libutf8.h-dev                      	       0        1        1        0        0
45728 libutf8proc-dev                    	       0        3        3        0        0
45729 libutfcpp-dev                      	       0       18       18        0        0
45730 libutfcpp-doc                      	       0        1        0        0        1
45731 libutvideo15                       	       0       12        0        0       12
45732 libutvideo15.0.2                   	       0        1        0        0        1
45733 libuu-dev                          	       0        2        2        0        0
45734 libuu0                             	       0     1018        0        0     1018
45735 libuuid-perl                       	       0      253        1        0      252
45736 libuuid-urandom-perl               	       0        5        5        0        0
45737 libuuid1-dbgsym                    	       0        2        2        0        0
45738 libuuidtools-ruby1.9.1             	       0        1        0        0        1
45739 libuutf-ocaml                      	       0        1        1        0        0
45740 libuutf-ocaml-dev                  	       0        1        1        0        0
45741 libuutf-ocaml-doc                  	       0        1        0        0        1
45742 libuutil1                          	       0        1        0        0        1
45743 libuutil1linux                     	       0        4        0        0        4
45744 libuv0.10                          	       0        1        0        0        1
45745 libuv0.10-dev                      	       0        1        1        0        0
45746 libuvc-dev                         	       0        1        1        0        0
45747 libuvc-doc                         	       0        1        0        0        1
45748 libuvc0                            	       0       48        0        0       48
45749 libuwac0-0                         	       0        6        0        0        6
45750 libuwac0-0t64                      	       0        4        0        0        4
45751 libuwac0-dev                       	       0        1        1        0        0
45752 libv2m0                            	       0        1        0        0        1
45753 libv4l-dev                         	       0       49       48        1        0
45754 libv4l2rds0                        	       0      125        0        0      125
45755 libv4l2rds0t64                     	       0       17        0        0       17
45756 libv8-2.2.24                       	       0        1        0        0        1
45757 libv8-3.14.5                       	       0        5        0        0        5
45758 libva-amdgpu-dev                   	       0        1        1        0        0
45759 libva-amdgpu-drm2                  	       0        3        1        0        2
45760 libva-amdgpu-glx2                  	       0        3        0        0        3
45761 libva-amdgpu-wayland2              	       0        2        0        0        2
45762 libva-amdgpu-x11-2                 	       0        3        1        0        2
45763 libva-dev                          	       0       57       56        1        0
45764 libva-drm1                         	       0      352        1        0      351
45765 libva-egl1                         	       0        5        0        0        5
45766 libva-glx1                         	       0       23        0        0       23
45767 libva-intel-vaapi-driver           	       0        1        0        0        1
45768 libva-tpi1                         	       0        3        0        0        3
45769 libva-wayland1                     	       0       71        0        0       71
45770 libva-x11-1                        	       0      359        1        0      358
45771 libva-x11-2-dbgsym                 	       0        1        1        0        0
45772 libva1                             	       0      379        2        0      377
45773 libva2-amdgpu                      	       0        3        1        0        2
45774 libval14                           	       0        3        0        0        3
45775 libvala-0.34-0                     	       0        3        0        0        3
45776 libvala-0.42-0                     	       0        3        0        0        3
45777 libvala-0.42-dev                   	       0        1        1        0        0
45778 libvala-0.46-0                     	       0        1        0        0        1
45779 libvala-0.46-dev                   	       0        1        1        0        0
45780 libvala-0.48-0                     	       0       12        0        0       12
45781 libvala-0.48-dev                   	       0        3        3        0        0
45782 libvala-0.56-0                     	       0       31        0        0       31
45783 libvala-dev                        	       0        1        1        0        0
45784 libvala0                           	       0        1        0        0        1
45785 libvalacodegen-0.48-0              	       0        9        0        0        9
45786 libvalacodegen-0.56-0              	       0       30        0        0       30
45787 libvaladoc-0.56-0                  	       0        1        0        0        1
45788 libvaladoc-0.56-data               	       0        1        0        0        1
45789 libvalapanel0                      	       0        4        0        0        4
45790 libvalidatable-ruby1.9.1           	       0        1        0        0        1
45791 libvalidate-yubikey-perl           	       0        1        1        0        0
45792 libvamp-hostsdk3                   	       0        3        0        0        3
45793 libvamp-hostsdk3t64                	       0       31        0        0       31
45794 libvamp-sdk2t64                    	       0        2        0        0        2
45795 libvamp-sdk2v5                     	       0       84        0        0       84
45796 libvamsas-client-java              	       0        2        0        0        2
45797 libvarconf-1.0-6                   	       0        1        0        0        1
45798 libvarconf-1.0-8                   	       0        1        0        0        1
45799 libvarnishapi-dev                  	       0        1        1        0        0
45800 libvarnishapi1                     	       0        6        0        0        6
45801 libvarnishapi2                     	       0        9        0        0        9
45802 libvarnishapi3                     	       0        8        0        0        8
45803 libvavr0-java                      	       0        2        0        0        2
45804 libvc-dev                          	       0        2        2        0        0
45805 libvc0                             	       0        6        0        0        6
45806 libvcdinfo-dev                     	       0       10       10        0        0
45807 libvcflib-tools                    	       0        1        1        0        0
45808 libvcflib1                         	       0        1        0        0        1
45809 libvcflib2                         	       0        1        0        0        1
45810 libvde0                            	       0       76        0        0       76
45811 libvdeplug-dev                     	       0        9        8        1        0
45812 libvdpau-doc                       	       0       11        0        0       11
45813 libvdpau1-amdgpu                   	       0        2        1        0        1
45814 libvecmath-java                    	       0       77        0        0       77
45815 libvelocity-tools-java             	       0       10        0        0       10
45816 libventrilo3-0                     	       0        2        0        0        2
45817 libverbiste-0.1-0v5                	       0        3        0        0        3
45818 libversion-perl                    	       0        5        0        0        5
45819 libversioneer-clojure              	       0        1        0        0        1
45820 libverto-libev1t64                 	       0       11        0        0       11
45821 libverto1t64                       	       0       11        0        0       11
45822 libvformat-dev                     	       0        1        1        0        0
45823 libvformat0                        	       0        5        0        0        5
45824 libvhdi-dev                        	       0        2        2        0        0
45825 libvhdi-utils                      	       0        2        2        0        0
45826 libvhdi1                           	       0      158        0        0      158
45827 libvibrant6b                       	       0        3        0        0        3
45828 libvideo-capture-v4l-perl          	       0        2        0        0        2
45829 libvidstab-dev                     	       0       11       11        0        0
45830 libvidstab0.9                      	       0        2        0        0        2
45831 libvidstab1.0                      	       0       11        0        0       11
45832 libviennacl-dev                    	       0        1        1        0        0
45833 libview-dev                        	       0        1        1        0        0
45834 libview2                           	       0        2        0        0        2
45835 libview2-dbg                       	       0        1        1        0        0
45836 libvigraimpex-dev                  	       0        2        2        0        0
45837 libvigraimpex-doc                  	       0        1        0        0        1
45838 libvigraimpex11                    	       0       47        0        0       47
45839 libvigraimpex4                     	       0        2        0        0        2
45840 libvigraimpex6                     	       0       10        0        0       10
45841 libvips-dev                        	       0        4        4        0        0
45842 libvips-doc                        	       0        5        0        0        5
45843 libvips-tools                      	       0        7        7        0        0
45844 libvips42                          	       0       13        1        0       12
45845 libvips42t64                       	       0        3        0        0        3
45846 libvirglrenderer-dev               	       0        4        4        0        0
45847 libvirglrenderer0                  	       0       53        1        0       52
45848 libvirt-bin                        	       0       19        0        0       19
45849 libvirt-clients-qemu               	       0       10       10        0        0
45850 libvirt-common                     	       0       36        0        0       36
45851 libvirt-daemon-config-network      	       0      352        0        0      352
45852 libvirt-daemon-config-nwfilter     	       0      351        0        0      351
45853 libvirt-daemon-driver-storage-iscsi-direct	       0        4        3        0        1
45854 libvirt-daemon-plugin-lockd        	       0       24        0        0       24
45855 libvirt-daemon-system-sysv         	       0      332        0        0      332
45856 libvirt-dbus                       	       0        8        8        0        0
45857 libvirt-doc                        	       0        9        0        0        9
45858 libvirt-glib-1.0-data              	       0      281        0        0      281
45859 libvirt-login-shell                	       0        6        5        1        0
45860 libvirt-ocaml                      	       0        3        3        0        0
45861 libvirt-ocaml-dev                  	       0        1        1        0        0
45862 libvirt-sandbox-1.0-5              	       0        2        0        0        2
45863 libvirt-sanlock                    	       0        3        3        0        0
45864 libvirt-wireshark                  	       0        5        0        0        5
45865 libvirtodbc0                       	       0       14        0        0       14
45866 libvirtualpg-dev                   	       0        1        1        0        0
45867 libvirtualpg0                      	       0        8        0        0        8
45868 libvisa0                           	       0        1        0        0        1
45869 libvisio-0.0-0                     	       0        5        0        0        5
45870 libvisio-dev                       	       0        3        3        0        0
45871 libvistaio14                       	       0        2        0        0        2
45872 libvisual-0.4-0                    	       0     3272        0        0     3272
45873 libvisual-0.4-dev                  	       0        5        5        0        0
45874 libvisual-0.4-plugins              	       0      164        0        0      164
45875 libvisual-projectm                 	       0        1        0        0        1
45876 libvisualization-msgs-dev          	       0        1        1        0        0
45877 libvisualvm-jni                    	       0       16       16        0        0
45878 libvkd3d-dev                       	       0        5        0        0        5
45879 libvkd3d-doc                       	       0        1        0        0        1
45880 libvkd3d-headers                   	       0        6        6        0        0
45881 libvkd3d-shader1                   	       0       66        0        0       66
45882 libvkd3d-utils1                    	       0       14        0        0       14
45883 libvkd3d1                          	       0      167        0        0      167
45884 libvkfft-dev                       	       0        1        1        0        0
45885 libvlc-bin                         	       0     1641        0        0     1641
45886 libvlc-bin-dbgsym                  	       0        1        1        0        0
45887 libvlc5-dbgsym                     	       0        1        1        0        0
45888 libvlccore-dev                     	       0        8        8        0        0
45889 libvlccore5                        	       0        4        0        0        4
45890 libvlccore9-dbgsym                 	       0        1        1        0        0
45891 libvldocking-java                  	       0        6        0        0        6
45892 libvlfeat-dev                      	       0        4        4        0        0
45893 libvlfeat-doc                      	       0        4        3        0        1
45894 libvlfeat1                         	       0        4        0        0        4
45895 libvm-ec2-perl                     	       0        2        2        0        0
45896 libvm-ec2-security-credentialcache-perl	       0        1        1        0        0
45897 libvmaf-dev                        	       0        7        7        0        0
45898 libvmdk-utils                      	       0        1        1        0        0
45899 libvmdk1                           	       0      158        0        0      158
45900 libvncauth0                        	       0        1        0        0        1
45901 libvncclient0                      	       0       26        0        0       26
45902 libvncserver-config                	       0        2        2        0        0
45903 libvncserver-dev                   	       0       23       23        0        0
45904 libvncserver0                      	       0       13        0        0       13
45905 libvnlog-perl                      	       0        1        1        0        0
45906 libvo-aacenc-dev                   	       0        2        2        0        0
45907 libvo-amrwbenc-dev                 	       0       13       13        0        0
45908 libvolk-dev                        	       0        9        7        2        0
45909 libvolk-doc                        	       0        1        0        0        1
45910 libvolk1-bin                       	       0        2        2        0        0
45911 libvolk1-dev                       	       0        2        2        0        0
45912 libvolk1.3                         	       0        2        0        0        2
45913 libvolk1.4                         	       0        5        0        0        5
45914 libvolk2.4                         	       0       11        0        0       11
45915 libvolk2.5                         	       0       36        2        0       34
45916 libvolk3.1t64                      	       0       10        1        0        9
45917 libvolk3.2                         	       0        2        0        0        2
45918 libvolpack1                        	       0       11        0        0       11
45919 libvolume-id0                      	       0        7        0        0        7
45920 libvolume-id1                      	       0        5        0        0        5
45921 libvomsapi1v5                      	       0        4        0        0        4
45922 libvorbis-dbg                      	       0        1        1        0        0
45923 libvorbis-ocaml                    	       0        2        2        0        0
45924 libvorbis-ocaml-dev                	       0        2        2        0        0
45925 libvorbisspi-java                  	       0        1        0        0        1
45926 libvoro++1                         	       0       13        0        0       13
45927 libvotequorum-dev                  	       0        2        2        0        0
45928 libvotequorum8                     	       0        8        0        0        8
45929 libvpb-dev                         	       0        9        9        0        0
45930 libvpb1                            	       0       11       11        0        0
45931 libvpl-dev                         	       0        7        7        0        0
45932 libvpx-doc                         	       0        5        0        0        5
45933 libvpx0                            	       0        9        0        0        9
45934 libvpx1                            	       0      144        2        0      142
45935 libvpx2                            	       0        3        0        0        3
45936 libvpx3                            	       0        2        0        0        2
45937 libvpx4                            	       0      341        1        0      340
45938 libvserver0                        	       0       21        0        0       21
45939 libvshadow1                        	       0       14        0        0       14
45940 libvslvm1                          	       0       14        0        0       14
45941 libvsqlitepp-dev                   	       0        2        0        0        2
45942 libvsqlitepp-doc                   	       0        1        0        0        1
45943 libvsqlitepp3v5                    	       0        4        1        0        3
45944 libvte-2.90-9                      	       0       43        0        0       43
45945 libvte-2.90-common                 	       0       45        0        0       45
45946 libvte-2.91-common                 	       0     2983        1        0     2982
45947 libvte-2.91-dev                    	       0       15       13        2        0
45948 libvte-2.91-doc                    	       0       11        0        0       11
45949 libvte-2.91-gtk4-0                 	       0        5        0        0        5
45950 libvte-2.91-gtk4-doc               	       0        1        0        0        1
45951 libvte-common                      	       0       79        2        0       77
45952 libvte-dev                         	       0       10       10        0        0
45953 libvte-doc                         	       0        3        0        0        3
45954 libvte0.16-cil                     	       0        1        0        0        1
45955 libvte9t64                         	       0        1        0        0        1
45956 libvted-3-dev                      	       0        1        0        0        1
45957 libvterm-bin                       	       0        5        5        0        0
45958 libvterm-dev                       	       0        7        7        0        0
45959 libvtk-dicom-dev                   	       0        1        1        0        0
45960 libvtk6-dev                        	       0        1        1        0        0
45961 libvtk6-java                       	       0        1        1        0        0
45962 libvtk6-jni                        	       0        1        0        0        1
45963 libvtk6.3                          	       0       26        0        0       26
45964 libvtk6.3-qt                       	       0        4        0        0        4
45965 libvtk7.1                          	       0        7        0        0        7
45966 libvtk7.1-qt                       	       0        1        0        0        1
45967 libvtk7.1p                         	       0       27        1        0       26
45968 libvtk7.1p-qt                      	       0        2        0        0        2
45969 libvtk9                            	       0       11        0        0       11
45970 libvtk9-java                       	       0       11       11        0        0
45971 libvtk9-qt                         	       0        3        0        0        3
45972 libvtk9-qt-dev                     	       0        3        3        0        0
45973 libvtk9.1                          	       0      136        0        0      136
45974 libvtk9.1-qt                       	       0       15        0        0       15
45975 libvtk9.1t64                       	       0        3        0        0        3
45976 libvtk9.3                          	       0       22        0        0       22
45977 libvtk9.3-qt                       	       0        1        0        0        1
45978 libvtkdicom0.8                     	       0        3        0        0        3
45979 libvtkgdcm-9.1                     	       0        1        0        0        1
45980 libvtkgdcm-cil                     	       0        1        0        0        1
45981 libvtkgdcm-java                    	       0        1        0        0        1
45982 libvtkgdcm-tools                   	       0        1        1        0        0
45983 libvtkgdcm2.6                      	       0        1        0        0        1
45984 libvtkgdcm2.8a                     	       0        1        0        0        1
45985 libvtkgdcm3.0                      	       0        1        0        0        1
45986 libvulkan-memory-allocator-dev     	       0        1        1        0        0
45987 libvulkan-volk-dev                 	       0        3        3        0        0
45988 libwacom-dev                       	       0       72       70        2        0
45989 libwadseeker2                      	       0        2        0        0        2
45990 libwaei2                           	       0        2        0        0        2
45991 libwaffle-1-0                      	       0        9        0        0        9
45992 libwaffle-dev                      	       0        2        2        0        0
45993 libwagon-file-java                 	       0      126        0        0      126
45994 libwagon-ftp-java                  	       0        7        0        0        7
45995 libwagon-http-java                 	       0      371        0        0      371
45996 libwagon-http-shaded-java          	       0       92        0        0       92
45997 libwagon-java                      	       0        8        0        0        8
45998 libwagon-java-doc                  	       0        2        0        0        2
45999 libwagon-provider-api-java         	       0      458        0        0      458
46000 libwagon2-java                     	       0        2        0        0        2
46001 libwaili1c2                        	       0        5        0        0        5
46002 libwandio1                         	       0        1        0        0        1
46003 libwant-perl                       	       0      205        0        0      205
46004 libwavpack-dev                     	       0       20       20        0        0
46005 libwavpack0                        	       0        1        0        0        1
46006 libwayland-amdgpu-bin              	       0        1        1        0        0
46007 libwayland-amdgpu-cursor0          	       0        1        1        0        0
46008 libwayland-amdgpu-dev              	       0        1        1        0        0
46009 libwayland-amdgpu-egl-backend-dev  	       0        1        1        0        0
46010 libwayland-amdgpu-egl1             	       0        5        2        0        3
46011 libwayland-client++0               	       0       18        0        0       18
46012 libwayland-client-extra++0         	       0        1        0        0        1
46013 libwayland-client-extra++1         	       0        5        0        0        5
46014 libwayland-cursor++0               	       0       18        0        0       18
46015 libwayland-doc                     	       0        5        0        0        5
46016 libwayland-egl++0                  	       0       17        0        0       17
46017 libwayland-egl-backend-dev         	       0       12       10        2        0
46018 libwayland-egl1-mesa               	       0      165        0        0      165
46019 libwayland-server++1               	       0        5        0        0        5
46020 libwbclient-dev                    	       0        5        4        1        0
46021 libwbxml2-0                        	       0        2        0        0        2
46022 libwbxml2-1                        	       0        5        1        0        4
46023 libwbxml2-dev                      	       0        1        1        0        0
46024 libwcs4                            	       0        3        0        0        3
46025 libwcs5                            	       0        6        0        0        6
46026 libwcs6                            	       0        4        0        0        4
46027 libwcs7                            	       0       36        0        0       36
46028 libwcs8                            	       0       10        0        0       10
46029 libwcstools-dev                    	       0        2        2        0        0
46030 libwcstools1                       	       0       14        0        0       14
46031 libwcstools1t64                    	       0        2        0        0        2
46032 libwebcam0                         	       0       77        0        0       77
46033 libwebcam0-dev                     	       0        1        1        0        0
46034 libwebkit-1.0-common               	       0        4        0        0        4
46035 libwebkit1.1-cil                   	       0       10        0        0       10
46036 libwebkit2-sharp-4.0-cil           	       0        1        0        0        1
46037 libwebkit2gtk-4.0-37-dbgsym        	       0        1        1        0        0
46038 libwebkit2gtk-4.0-37-gtk2          	       0        7        0        0        7
46039 libwebkit2gtk-4.0-dev              	       0       42       41        1        0
46040 libwebkit2gtk-4.0-doc              	       0        7        0        0        7
46041 libwebkit2gtk-4.1-dev              	       0        9        9        0        0
46042 libwebkit2gtk-5.0-0                	       0        1        0        0        1
46043 libwebkitgtk-1.0-0                 	       0       47        0        0       47
46044 libwebkitgtk-1.0-common            	       0        6        0        0        6
46045 libwebkitgtk-3.0-0                 	       0       44        0        0       44
46046 libwebkitgtk-3.0-common            	       0       12        0        0       12
46047 libwebkitgtk-3.0-dev               	       0        1        1        0        0
46048 libwebkitgtk-6.0-dev               	       0        5        4        1        0
46049 libwebkitgtk-dev                   	       0        3        3        0        0
46050 libwebkitgtk-doc                   	       0        2        0        0        2
46051 libwebm1                           	       0       22        0        0       22
46052 libwebp2                           	       0       11        0        0       11
46053 libwebp4                           	       0        1        0        0        1
46054 libwebp5                           	       0       84        0        0       84
46055 libwebpdemux1                      	       0       77        0        0       77
46056 libwebpmux1                        	       0       75        0        0       75
46057 libwebpmux2                        	       0      344        1        0      343
46058 libwebrtc-audio-processing-0       	       0       28        0        0       28
46059 libwebrtc-audio-processing-1-3     	       0       84        0        0       84
46060 libwebrtc-audio-processing-dev     	       0        6        6        0        0
46061 libwebservice-ils-perl             	       0        2        2        0        0
46062 libwebservice-validator-html-w3c-perl	       0        1        1        0        0
46063 libwebservice-youtube-perl         	       0        2        2        0        0
46064 libwebsocket-api-java              	       0      787        0        0      787
46065 libwebsocketpp-dev                 	       0       15        0        0       15
46066 libwebsockets-dev                  	       0        8        8        0        0
46067 libwebsockets-evlib-ev             	       0        7        0        0        7
46068 libwebsockets-evlib-glib           	       0        7        0        0        7
46069 libwebsockets-evlib-uv             	       0        7        0        0        7
46070 libwebsockets18                    	       0        1        0        0        1
46071 libwebsockets19                    	       0        3        0        0        3
46072 libwebsockets8                     	       0        6        1        0        5
46073 libweed0                           	       0        6        0        0        6
46074 libwerken.xpath-java               	       0        3        0        0        3
46075 libweston-10-0                     	       0       16        0        0       16
46076 libweston-12-0                     	       0        1        0        0        1
46077 libweston-13-0                     	       0        1        0        0        1
46078 libweston-14-0                     	       0        4        0        0        4
46079 libweston-9-0                      	       0        3        0        0        3
46080 libwf-config1                      	       0        7        0        0        7
46081 libwf-utils0                       	       0        3        0        0        3
46082 libwf-utils0t64                    	       0        4        0        0        4
46083 libwfa2-0                          	       0        1        0        0        1
46084 libwfmath-0.3-4                    	       0        1        0        0        1
46085 libwfmath-1.0-1                    	       0        1        0        0        1
46086 libwfut-0.2-1                      	       0        1        0        0        1
46087 libwgdb-dev                        	       0        1        1        0        0
46088 libwgdb0                           	       0        1        0        0        1
46089 libwget0                           	       0       14        0        0       14
46090 libwget2                           	       0        2        0        0        2
46091 libwget2t64                        	       0        1        0        0        1
46092 libwget3                           	       0        2        0        0        2
46093 libwhereami0                       	       0       18        0        0       18
46094 libwhisker2-perl                   	       0        6        6        0        0
46095 libwikidata-toolkit-java           	       0        2        0        0        2
46096 libwildfly-common-java             	       0        1        0        0        1
46097 libwildmidi-config                 	       0      196        0        0      196
46098 libwildmidi-dev                    	       0        2        2        0        0
46099 libwildmidi0                       	       0        1        0        0        1
46100 libwildmidi1                       	       0       26        0        0       26
46101 libwim-dev                         	       0        4        4        0        0
46102 libwim15                           	       0       35        0        0       35
46103 libwim15t64                        	       0        2        0        0        2
46104 libwin32-exe-perl                  	       0        1        1        0        0
46105 libwind0t64-heimdal                	       0        2        0        0        2
46106 libwine-gecko-1.4                  	       0        1        0        0        1
46107 libwine-gecko-2.21                 	       0        9        0        0        9
46108 libwine-gecko-2.24                 	       0        1        0        0        1
46109 libwings2                          	       0        3        0        0        3
46110 libwinpr-asn1-0.1                  	       0        2        0        0        2
46111 libwinpr-bcrypt0.1                 	       0        2        0        0        2
46112 libwinpr-credentials0.1            	       0        2        0        0        2
46113 libwinpr-credui0.1                 	       0        2        0        0        2
46114 libwinpr-crt0.1                    	       0       61        0        0       61
46115 libwinpr-crypto0.1                 	       0       57        0        0       57
46116 libwinpr-dev                       	       0        2        2        0        0
46117 libwinpr-dsparse0.1                	       0       56        0        0       56
46118 libwinpr-environment0.1            	       0       61        0        0       61
46119 libwinpr-error0.1                  	       0       42        0        0       42
46120 libwinpr-file0.1                   	       0       60        0        0       60
46121 libwinpr-handle0.1                 	       0       61        0        0       61
46122 libwinpr-heap0.1                   	       0       61        0        0       61
46123 libwinpr-input0.1                  	       0       56        0        0       56
46124 libwinpr-interlocked0.1            	       0       61        0        0       61
46125 libwinpr-io0.1                     	       0        2        0        0        2
46126 libwinpr-library0.1                	       0       60        0        0       60
46127 libwinpr-path0.1                   	       0       61        0        0       61
46128 libwinpr-pipe0.1                   	       0        2        0        0        2
46129 libwinpr-pool0.1                   	       0       56        0        0       56
46130 libwinpr-registry0.1               	       0       57        0        0       57
46131 libwinpr-rpc0.1                    	       0       56        0        0       56
46132 libwinpr-sspi0.1                   	       0       57        0        0       57
46133 libwinpr-sspicli0.1                	       0        2        0        0        2
46134 libwinpr-synch0.1                  	       0       61        0        0       61
46135 libwinpr-sysinfo0.1                	       0       61        0        0       61
46136 libwinpr-thread0.1                 	       0       61        0        0       61
46137 libwinpr-timezone0.1               	       0        2        0        0        2
46138 libwinpr-tools3-3                  	       0        3        0        0        3
46139 libwinpr-utils0.1                  	       0       61        0        0       61
46140 libwinpr-winhttp0.1                	       0        2        0        0        2
46141 libwinpr-winsock0.1                	       0        2        0        0        2
46142 libwinpr2-2t64                     	       0       12        0        0       12
46143 libwinpr2-dev                      	       0        3        3        0        0
46144 libwinpr3-dev                      	       0        1        0        1        0
46145 libwirble-ruby1.9.1                	       0        2        0        0        2
46146 libwireplumber-0.4-dev             	       0        1        1        0        0
46147 libwireplumber-0.5-dev             	       0        1        1        0        0
46148 libwireshark-data                  	       0      372        0        0      372
46149 libwireshark-dev                   	       0        3        2        1        0
46150 libwireshark11                     	       0       45        0        0       45
46151 libwireshark14                     	       0       81        0        0       81
46152 libwireshark15                     	       0        3        0        0        3
46153 libwireshark16                     	       0      239        1        0      238
46154 libwireshark17                     	       0        3        0        0        3
46155 libwireshark17t64                  	       0        2        0        0        2
46156 libwireshark18                     	       0       23        0        0       23
46157 libwireshark2                      	       0        2        2        0        0
46158 libwireshark5                      	       0        9        0        0        9
46159 libwireshark8                      	       0        5        0        0        5
46160 libwiretap-dev                     	       0        3        2        1        0
46161 libwiretap11                       	       0       83        0        0       83
46162 libwiretap12                       	       0        3        0        0        3
46163 libwiretap13                       	       0      239        1        0      238
46164 libwiretap14                       	       0        3        0        0        3
46165 libwiretap14t64                    	       0        2        0        0        2
46166 libwiretap15                       	       0       23        0        0       23
46167 libwiretap2                        	       0        2        0        0        2
46168 libwiretap3                        	       0        2        0        0        2
46169 libwiretap4                        	       0       11        0        0       11
46170 libwiretap6                        	       0        5        0        0        5
46171 libwiretap8                        	       0       47        0        0       47
46172 libwlroots-0.18                    	       0       16        1        0       15
46173 libwlroots-dev                     	       0        1        1        0        0
46174 libwlroots-examples                	       0        1        1        0        0
46175 libwlroots11                       	       0        2        0        0        2
46176 libwlroots12t64                    	       0        6        1        0        5
46177 libwlroots6                        	       0        2        1        0        1
46178 libwlroots7                        	       0        1        0        0        1
46179 libwmaker-dev                      	       0        1        1        0        0
46180 libwmaker1                         	       0        1        0        0        1
46181 libwmf-0.2-7                       	       0     1402        1        0     1401
46182 libwmf-0.2-7-gtk                   	       0       42        0        0       42
46183 libwmf-doc                         	       0        2        0        0        2
46184 libwmf0.2-7                        	       0      745        0        0      745
46185 libwmf0.2-7-gtk                    	       0       44        0        0       44
46186 libwnck-3-dev                      	       0       10       10        0        0
46187 libwnck-dev                        	       0        7        7        0        0
46188 libwnck-doc                        	       0        1        0        0        1
46189 libwnck2.20-cil                    	       0        1        0        0        1
46190 libwoff-dev                        	       0        6        6        0        0
46191 libwolfssl-dev                     	       0        4        4        0        0
46192 libwolfssl24                       	       0        1        0        0        1
46193 libwolfssl35                       	       0        3        0        0        3
46194 libwolfssl42t64                    	       0        2        0        0        2
46195 libwoodstox-java                   	       0       20        0        0       20
46196 libwordnet-querydata-perl          	       0        1        1        0        0
46197 libwpa-client-dev                  	       0        2        2        0        0
46198 libwpd-0.9-9                       	       0       15        0        0       15
46199 libwpd-dev                         	       0        5        5        0        0
46200 libwpd-doc                         	       0        2        0        0        2
46201 libwpd-stream8c2a                  	       0        3        0        0        3
46202 libwpd8c2a                         	       0        6        0        0        6
46203 libwpe-1.0-dev                     	       0        3        3        0        0
46204 libwpebackend-fdo-1.0-dev          	       0        1        1        0        0
46205 libwpewebkit-1.0-3                 	       0        1        0        0        1
46206 libwpewebkit-1.0-dev               	       0        1        1        0        0
46207 libwpewebkit-1.1-0                 	       0        3        0        0        3
46208 libwpewebkit-doc                   	       0        1        0        0        1
46209 libwpg-0.1-1                       	       0        5        0        0        5
46210 libwpg-0.2-2                       	       0       13        0        0       13
46211 libwpg-dev                         	       0        4        4        0        0
46212 libwpg-doc                         	       0        1        0        0        1
46213 libwpg-tools                       	       0        1        1        0        0
46214 libwps-0.1-1                       	       0        5        0        0        5
46215 libwps-0.2-2                       	       0       11        0        0       11
46216 libwps-0.3-3                       	       0       25        0        0       25
46217 libwps-dev                         	       0        4        4        0        0
46218 libwps-doc                         	       0        1        0        0        1
46219 libwps-tools                       	       0        2        2        0        0
46220 libwraster3                        	       0        6        0        0        6
46221 libwraster5                        	       0        8        0        0        8
46222 libwreport-dev                     	       0        1        1        0        0
46223 libwreport3                        	       0        2        0        0        2
46224 libwriter2latex-java               	       0       22        0        0       22
46225 libwriter2latex-java-doc           	       0        2        0        0        2
46226 libws-commons-util-java            	       0        3        0        0        3
46227 libwsclean2                        	       0        4        0        0        4
46228 libwscodecs1                       	       0        5        0        0        5
46229 libwscodecs2                       	       0       48        0        0       48
46230 libwsdl4j-java                     	       0        3        0        0        3
46231 libwsdl4j-java-doc                 	       0        1        0        0        1
46232 libwslay-dev                       	       0        1        1        0        0
46233 libwsman-client2                   	       0        1        0        0        1
46234 libwsman-curl-client-transport1    	       0        1        0        0        1
46235 libwsman-server1                   	       0        1        0        0        1
46236 libwsman1                          	       0        1        0        0        1
46237 libwsutil-dev                      	       0        3        2        1        0
46238 libwsutil10                        	       0        1        0        0        1
46239 libwsutil12                        	       0       84        0        0       84
46240 libwsutil13                        	       0        3        0        0        3
46241 libwsutil14                        	       0      239        1        0      238
46242 libwsutil15                        	       0        3        0        0        3
46243 libwsutil15t64                     	       0        2        0        0        2
46244 libwsutil16                        	       0       23        0        0       23
46245 libwsutil2                         	       0        2        0        0        2
46246 libwsutil3                         	       0        2        0        0        2
46247 libwsutil4                         	       0       11        0        0       11
46248 libwsutil7                         	       0        5        0        0        5
46249 libwsutil9                         	       0       48        0        0       48
46250 libwutil3                          	       0        4        0        0        4
46251 libwv-1.2-4                        	       0      118        1        0      117
46252 libwv-1.2-4t64                     	       0       10        0        0       10
46253 libwv-dev                          	       0        2        2        0        0
46254 libwv2-1c2                         	       0        1        0        0        1
46255 libwv2-4                           	       0        5        0        0        5
46256 libwv2-dev                         	       0        1        1        0        0
46257 libwvstreams4.6-base               	       0       27        0        0       27
46258 libwvstreams4.6-extras             	       0       27        0        0       27
46259 libwvstreams4.6t64-base            	       0        1        0        0        1
46260 libwvstreams4.6t64-extras          	       0        1        0        0        1
46261 libwww-csrf-perl                   	       0        3        3        0        0
46262 libwww-curl-perl                   	       0       34        0        0       34
46263 libwww-curl-simple-perl            	       0        2        2        0        0
46264 libwww-dev                         	       0        1        1        0        0
46265 libwww-dict-leo-org-perl           	       0        1        1        0        0
46266 libwww-finger-perl                 	       0        1        1        0        0
46267 libwww-form-urlencoded-xs-perl     	       0       15        2        0       13
46268 libwww-indexparser-perl            	       0        1        1        0        0
46269 libwww-mechanize-autopager-perl    	       0        1        1        0        0
46270 libwww-mechanize-formfiller-perl   	       0        2        2        0        0
46271 libwww-mechanize-gzip-perl         	       0        1        1        0        0
46272 libwww-mechanize-ruby1.9.1         	       0        1        0        0        1
46273 libwww-mechanize-shell-perl        	       0        1        1        0        0
46274 libwww-mechanize-treebuilder-perl  	       0        2        2        0        0
46275 libwww-oauth-perl                  	       0        2        2        0        0
46276 libwww-opensearch-perl             	       0       11       11        0        0
46277 libwww-search-perl                 	       0        1        1        0        0
46278 libwww-shorten-perl                	       0        1        1        0        0
46279 libwww-youtube-download-perl       	       0        3        3        0        0
46280 libwww0                            	       0        1        1        0        0
46281 libwwwbrowser-perl                 	       0        1        1        0        0
46282 libwx-glcanvas-perl                	       0       27       19        0        8
46283 libwx-perl-datawalker-perl         	       0        4        4        0        0
46284 libwx-perl-processstream-perl      	       0        5        5        0        0
46285 libwx-scintilla-perl               	       0        5        0        0        5
46286 libwxbase2.6-0                     	       0        4        0        0        4
46287 libwxbase2.6-dev                   	       0        1        1        0        0
46288 libwxbase2.8-0                     	       0       13        1        0       12
46289 libwxbase2.8-dbg                   	       0        1        0        0        1
46290 libwxbase2.8-dev                   	       0        5        0        0        5
46291 libwxbase3.0-0                     	       0        6        0        0        6
46292 libwxbase3.0-0-unofficial          	       0        2        0        0        2
46293 libwxbase3.0-dbg                   	       0        1        0        0        1
46294 libwxbase3.0-dev                   	       0       67        0        0       67
46295 libwxbase3.1-0-unofficial          	       0        1        0        0        1
46296 libwxbase3.1-0-unofficial3         	       0        1        0        0        1
46297 libwxbase3.1-dev                   	       0        1        1        0        0
46298 libwxbase3.1unofficial3-dev        	       0        1        1        0        0
46299 libwxbase3.2-0                     	       0        1        0        0        1
46300 libwxgtk-gl3.2-1                   	       0      292        7        0      285
46301 libwxgtk-media3.0-0v5              	       0        9        0        0        9
46302 libwxgtk-media3.0-dev              	       0        4        0        0        4
46303 libwxgtk-media3.0-gtk3-0v5         	       0       27        0        0       27
46304 libwxgtk-media3.0-gtk3-dev         	       0       24        0        0       24
46305 libwxgtk-media3.2-1                	       0       44        0        0       44
46306 libwxgtk-media3.2-1t64             	       0        5        0        0        5
46307 libwxgtk-media3.2-dev              	       0       45        0        0       45
46308 libwxgtk-webview3.0-0v5            	       0        3        0        0        3
46309 libwxgtk-webview3.0-dev            	       0        1        0        0        1
46310 libwxgtk-webview3.0-gtk3-dev       	       0        7        0        0        7
46311 libwxgtk-webview3.2-0              	       0        1        0        0        1
46312 libwxgtk-webview3.2-1              	       0       78        1        0       77
46313 libwxgtk-webview3.2-1t64           	       0       10        0        0       10
46314 libwxgtk-webview3.2-dev            	       0       10        0        0       10
46315 libwxgtk2.6-0                      	       0        1        0        0        1
46316 libwxgtk2.8-0                      	       0       12        1        0       11
46317 libwxgtk2.8-dbg                    	       0        1        0        0        1
46318 libwxgtk2.8-dev                    	       0        5        0        0        5
46319 libwxgtk3.0-0                      	       0        5        0        0        5
46320 libwxgtk3.0-0-unofficial           	       0        2        0        0        2
46321 libwxgtk3.0-dbg                    	       0        1        0        0        1
46322 libwxgtk3.0-dev                    	       0        8        0        0        8
46323 libwxgtk3.0-gtk3-dev               	       0       51        0        0       51
46324 libwxgtk3.1-0-unofficial3          	       0        1        0        0        1
46325 libwxgtk3.1unofficial3-dev         	       0        1        1        0        0
46326 libwxgtk3.2-0                      	       0        1        0        0        1
46327 libwxgtk3.2-dev                    	       0       65        0        0       65
46328 libwxsmithlib-dev                  	       0        5        5        0        0
46329 libwxsmithlib0                     	       0       17        1        0       16
46330 libwxsmithlib0t64                  	       0        2        0        0        2
46331 libwxsqlite3-3.0-0                 	       0        9        0        0        9
46332 libwxsqlite3-3.0-dev               	       0        5        1        0        4
46333 libwxsqlite3-3.2-0                 	       0       25        0        0       25
46334 libwxsqlite3-3.2-0t64              	       0        5        0        1        4
46335 libwxsqlite3-3.2-dev               	       0        5        5        0        0
46336 libwxsvg-dev                       	       0        6        6        0        0
46337 libwxsvg-tools                     	       0        7        7        0        0
46338 libwxsvg3                          	       0       15        0        0       15
46339 libx11-data                        	       0     4126        0        0     4126
46340 libx11-doc                         	       0      229        0        0      229
46341 libx11-freedesktop-desktopentry-perl	       0        4        3        1        0
46342 libx11-guitest-perl                	       0        3        0        0        3
46343 libx11-keyboard-perl               	       0        4        4        0        0
46344 libx11-protocol-other-perl         	       0       42       40        2        0
46345 libx11-windowhierarchy-perl        	       0        4        4        0        0
46346 libx11-xcb-perl                    	       0        6        0        0        6
46347 libx264-112                        	       0        3        0        0        3
46348 libx264-118                        	       0        5        0        0        5
46349 libx264-123                        	       0       23        0        0       23
46350 libx264-124                        	       0        6        0        0        6
46351 libx264-125                        	       0        1        0        0        1
46352 libx264-129                        	       0        1        0        0        1
46353 libx264-130                        	       0        2        0        0        2
46354 libx264-132                        	       0        7        0        0        7
46355 libx264-138                        	       0        1        0        0        1
46356 libx264-140                        	       0        1        0        0        1
46357 libx264-146                        	       0       12        0        0       12
46358 libx264-148                        	       0      328        1        0      327
46359 libx264-150                        	       0        7        0        0        7
46360 libx264-152                        	       0        6        0        0        6
46361 libx264-161                        	       0        1        0        0        1
46362 libx264-163                        	       0       10        0        0       10
46363 libx264-54                         	       0        1        0        0        1
46364 libx264-93                         	       0        1        0        0        1
46365 libx264-dev                        	       0       53       51        2        0
46366 libx265-102                        	       0        1        0        0        1
46367 libx265-116                        	       0        7        0        0        7
46368 libx265-130                        	       0        2        0        0        2
46369 libx265-146                        	       0        2        0        0        2
46370 libx265-151                        	       0        1        0        0        1
46371 libx265-160                        	       0        3        0        0        3
46372 libx265-169                        	       0        3        0        0        3
46373 libx265-179                        	       0        2        1        0        1
46374 libx265-212                        	       0        3        2        0        1
46375 libx265-31                         	       0        2        0        0        2
46376 libx265-43                         	       0        1        0        0        1
46377 libx265-51                         	       0       11        0        0       11
46378 libx265-59                         	       0        4        0        0        4
46379 libx265-79                         	       0        5        0        0        5
46380 libx265-87                         	       0        7        0        0        7
46381 libx265-95                         	       0      326        1        0      325
46382 libx265-doc                        	       0        4        0        0        4
46383 libx32asan1                        	       0        5        0        0        5
46384 libx32asan3                        	       0        8        0        0        8
46385 libx32asan5                        	       0       17        0        0       17
46386 libx32asan5-i386-cross             	       0        1        0        0        1
46387 libx32asan6                        	       0       92        0        0       92
46388 libx32asan6-i386-cross             	       0        1        0        0        1
46389 libx32asan8                        	       0      143        0        0      143
46390 libx32asan8-i386-cross             	       0        3        0        0        3
46391 libx32atomic1                      	       0      192        0        0      192
46392 libx32atomic1-dbgsym               	       0        1        1        0        0
46393 libx32atomic1-i386-cross           	       0        4        0        0        4
46394 libx32cilkrts5                     	       0       10        0        0       10
46395 libx32gcc-10-dev                   	       0       86        0        0       86
46396 libx32gcc-11-dev                   	       0        8        0        0        8
46397 libx32gcc-11-dev-i386-cross        	       0        1        0        0        1
46398 libx32gcc-12-dev                   	       0      130        0        0      130
46399 libx32gcc-12-dev-i386-cross        	       0        2        0        0        2
46400 libx32gcc-13-dev                   	       0       18        0        0       18
46401 libx32gcc-14-dev                   	       0       24        0        0       24
46402 libx32gcc-14-dev-i386-cross        	       0        1        0        0        1
46403 libx32gcc-4.7-dev                  	       0        1        0        0        1
46404 libx32gcc-4.9-dev                  	       0        5        0        0        5
46405 libx32gcc-6-dev                    	       0        8        0        0        8
46406 libx32gcc-8-dev                    	       0       10        0        0       10
46407 libx32gcc-8-dev-i386-cross         	       0        1        0        0        1
46408 libx32gcc-9-dev                    	       0        3        0        0        3
46409 libx32gcc-s1                       	       0      174        0        0      174
46410 libx32gcc-s1-dbgsym                	       0        1        1        0        0
46411 libx32gcc-s1-i386-cross            	       0        3        0        0        3
46412 libx32gcc1                         	       0       17        0        0       17
46413 libx32gcc1-i386-cross              	       0        1        0        0        1
46414 libx32gfortran-10-dev              	       0       13        0        0       13
46415 libx32gfortran-11-dev              	       0        2        0        0        2
46416 libx32gfortran-12-dev              	       0       15        0        0       15
46417 libx32gfortran-13-dev              	       0        3        0        0        3
46418 libx32gfortran-14-dev              	       0        5        0        0        5
46419 libx32gfortran-4.9-dev             	       0        1        0        0        1
46420 libx32gfortran-6-dev               	       0        1        0        0        1
46421 libx32gfortran-8-dev               	       0        1        0        0        1
46422 libx32gfortran3                    	       0        1        0        0        1
46423 libx32gfortran5                    	       0       22        0        0       22
46424 libx32gfortran5-dbgsym             	       0        1        1        0        0
46425 libx32go-11-dev-i386-cross         	       0        1        0        0        1
46426 libx32go-13-dev                    	       0        1        0        0        1
46427 libx32go19-i386-cross              	       0        1        0        0        1
46428 libx32go22                         	       0        1        0        0        1
46429 libx32gomp1                        	       0      193        0        0      193
46430 libx32gomp1-dbgsym                 	       0        1        1        0        0
46431 libx32gomp1-i386-cross             	       0        4        0        0        4
46432 libx32gphobos-13-dev               	       0        1        0        0        1
46433 libx32gphobos4                     	       0        1        0        0        1
46434 libx32gphobos4-dbgsym              	       0        1        1        0        0
46435 libx32itm1                         	       0      193        0        0      193
46436 libx32itm1-dbgsym                  	       0        1        1        0        0
46437 libx32itm1-i386-cross              	       0        4        0        0        4
46438 libx32objc-12-dev                  	       0        1        0        0        1
46439 libx32objc-13-dev                  	       0        1        0        0        1
46440 libx32objc-14-dev                  	       0        1        0        0        1
46441 libx32objc-4.9-dev                 	       0        1        0        0        1
46442 libx32objc-6-dev                   	       0        1        0        0        1
46443 libx32objc4                        	       0        4        0        0        4
46444 libx32objc4-dbgsym                 	       0        1        1        0        0
46445 libx32quadmath0                    	       0      192        0        0      192
46446 libx32quadmath0-dbgsym             	       0        1        1        0        0
46447 libx32quadmath0-i386-cross         	       0        4        0        0        4
46448 libx32stdc++-10-dev                	       0       34       34        0        0
46449 libx32stdc++-11-dev                	       0        5        5        0        0
46450 libx32stdc++-12-dev                	       0       70       68        2        0
46451 libx32stdc++-13-dev                	       0        8        8        0        0
46452 libx32stdc++-4.9-dev               	       0        2        2        0        0
46453 libx32stdc++-6-dev                 	       0        2        2        0        0
46454 libx32stdc++-8-dev                 	       0        5        5        0        0
46455 libx32stdc++6                      	       0      189        0        0      189
46456 libx32stdc++6-10-dbg               	       0        1        1        0        0
46457 libx32stdc++6-12-dbg               	       0        1        1        0        0
46458 libx32stdc++6-dbgsym               	       0        1        1        0        0
46459 libx32stdc++6-i386-cross           	       0        4        0        0        4
46460 libx32ubsan0                       	       0       10        0        0       10
46461 libx32ubsan1                       	       0      181        0        0      181
46462 libx32ubsan1-i386-cross            	       0        4        0        0        4
46463 libx86-1                           	       0     1573        0        0     1573
46464 libx86-dev                         	       0        1        1        0        0
46465 libx86emu1                         	       0       19        0        0       19
46466 libx86emu2                         	       0       15        0        0       15
46467 libx86emu3                         	       0      314        0        0      314
46468 libxalan-c-doc                     	       0        1        0        0        1
46469 libxalan-c111                      	       0        4        0        0        4
46470 libxalan-c112                      	       0       10        0        0       10
46471 libxalan2-java                     	       0      212        0        0      212
46472 libxalan2-java-doc                 	       0        8        8        0        0
46473 libxalan2-java-gcj                 	       0        1        1        0        0
46474 libxapian15                        	       0        5        0        0        5
46475 libxapp-dev                        	       0        2        2        0        0
46476 libxar1                            	       0        1        0        0        1
46477 libxatracker-amdgpu-dev            	       0        1        1        0        0
46478 libxatracker-dev                   	       0        3        3        0        0
46479 libxatracker2-amdgpu               	       0        8        0        0        8
46480 libxavs2-dev                       	       0        1        1        0        0
46481 libxaw-doc                         	       0        4        0        0        4
46482 libxaw3dxft6                       	       0       57        0        0       57
46483 libxaw3dxft6t64                    	       0        2        0        0        2
46484 libxaw3dxft8                       	       0        6        0        0        6
46485 libxaw3dxft8-dev                   	       0        5        5        0        0
46486 libxaw6                            	       0        2        0        0        2
46487 libxbae-dev                        	       0        3        3        0        0
46488 libxbae4m                          	       0       26        0        0       26
46489 libxbase2.0-0                      	       0        2        0        0        2
46490 libxbase2.0-bin                    	       0        1        1        0        0
46491 libxbase2.0-dev                    	       0        2        2        0        0
46492 libxbase64-1                       	       0        2        0        0        2
46493 libxbase64-bin                     	       0        1        1        0        0
46494 libxbase64-dev                     	       0        2        2        0        0
46495 libxbase64-doc                     	       0        2        0        0        2
46496 libxbean-java                      	       0        7        0        0        7
46497 libxbean-reflect-java              	       0       67        0        0       67
46498 libxc-dev                          	       0        1        1        0        0
46499 libxc5                             	       0        1        0        0        1
46500 libxc9                             	       0        2        0        0        2
46501 libxcb-atom1                       	       0        6        0        0        6
46502 libxcb-aux0                        	       0        6        0        0        6
46503 libxcb-build-deps-depends          	       0        1        0        0        1
46504 libxcb-composite0-dev              	       0       52       52        0        0
46505 libxcb-cursor-dev                  	       0       43       43        0        0
46506 libxcb-damage0-dev                 	       0       29       29        0        0
46507 libxcb-doc                         	       0       18        0        0       18
46508 libxcb-errors-dev                  	       0        1        1        0        0
46509 libxcb-errors0                     	       0       17        3        0       14
46510 libxcb-event1                      	       0        6        0        0        6
46511 libxcb-ewmh-dev                    	       0       22       22        0        0
46512 libxcb-imdkit-dev                  	       0        6        6        0        0
46513 libxcb-imdkit0                     	       0        2        0        0        2
46514 libxcb-record0-dev                 	       0       12       11        1        0
46515 libxcb-screensaver0-dev            	       0       14       14        0        0
46516 libxcb-sync0                       	       0        1        0        0        1
46517 libxcb-util0-dev                   	       0       36        3        0       33
46518 libxcb-util1-dbgsym                	       0        1        1        0        0
46519 libxcb-xf86dri0                    	       0       48        0        0       48
46520 libxcb-xinerama0-dev               	       0       55       55        0        0
46521 libxcb-xinput-dev                  	       0       24       24        0        0
46522 libxcb-xinput0-dbgsym              	       0        1        1        0        0
46523 libxcb-xrm-dev                     	       0       19       19        0        0
46524 libxcb-xtest0-dev                  	       0       22       22        0        0
46525 libxcb-xvmc0                       	       0        9        0        0        9
46526 libxcb-xvmc0-dev                   	       0        8        8        0        0
46527 libxcb1-dbgsym                     	       0        1        1        0        0
46528 libxcomp-dev                       	       0        1        1        0        0
46529 libxcomp3t64                       	       0        8        1        0        7
46530 libxcompext3                       	       0        2        0        0        2
46531 libxcompshad-dev                   	       0        1        1        0        0
46532 libxcompshad3t64                   	       0        4        1        0        3
46533 libxcrypt-dev                      	       0        1        1        0        0
46534 libxcrypt-source                   	       0        3        3        0        0
46535 libxcrypt1                         	       0        4        0        0        4
46536 libxdelta2                         	       0      189        0        0      189
46537 libxdelta2t64                      	       0       27        0        0       27
46538 libxdf0                            	       0        4        0        0        4
46539 libxdg-basedir-dev                 	       0        4        4        0        0
46540 libxdg-basedir1-dbg                	       0        1        1        0        0
46541 libxdgutilsbasedir1.0.1            	       0      656        0        1      655
46542 libxdgutilsdesktopentry1.0.1       	       0      655        0        1      654
46543 libxdmf2                           	       0        1        0        0        1
46544 libxdo-dev                         	       0        1        1        0        0
46545 libxdo2                            	       0        1        0        0        1
46546 libxdot4                           	       0      173        0        0      173
46547 libxdp-dev                         	       0        2        2        0        0
46548 libxen-4.1                         	       0        6        0        0        6
46549 libxen-4.3                         	       0        1        0        0        1
46550 libxen-4.4                         	       0       21        0        0       21
46551 libxen-4.8                         	       0       35        0        0       35
46552 libxen-dev                         	       0       12       11        1        0
46553 libxenmisc4.11                     	       0       57        1        0       56
46554 libxenmisc4.16                     	       0        5        2        0        3
46555 libxenmisc4.20                     	       0        1        0        0        1
46556 libxerces-c-dev                    	       0       49        0        0       49
46557 libxerces-c-doc                    	       0        5        0        0        5
46558 libxerces-c-samples                	       0        1        1        0        0
46559 libxerces-c2-dev                   	       0        1        1        0        0
46560 libxerces-c28                      	       0        3        0        0        3
46561 libxerces-c3.1                     	       0       38        0        0       38
46562 libxerces-c3.2t64                  	       0       84        0        0       84
46563 libxerces2-java                    	       0      571        0        0      571
46564 libxerces2-java-doc                	       0        5        0        0        5
46565 libxerces2-java-gcj                	       0        2        2        0        0
46566 libxerces27                        	       0        1        0        0        1
46567 libxerial-sqlite-jdbc-java         	       0        2        0        0        2
46568 libxerial-sqlite-jdbc-jni          	       0        2        0        0        2
46569 libxeus-python0                    	       0        1        0        0        1
46570 libxeus6                           	       0        1        0        0        1
46571 libxevie1                          	       0        1        0        0        1
46572 libxext-doc                        	       0       14        0        0       14
46573 libxfce4mcs-client3                	       0        2        0        0        2
46574 libxfce4mcs-manager3               	       0        2        0        0        2
46575 libxfce4menu-0.1-0                 	       0        1        0        0        1
46576 libxfce4panel-2.0-4-dbgsym         	       0        2        2        0        0
46577 libxfce4panel-2.0-dev              	       0       17       16        1        0
46578 libxfce4ui-1-0                     	       0      440        0        0      440
46579 libxfce4ui-1-dev                   	       0        7        7        0        0
46580 libxfce4ui-2-0-dbgsym              	       0        2        2        0        0
46581 libxfce4ui-2-dev                   	       0       22       21        1        0
46582 libxfce4ui-build-deps              	       0        1        0        0        1
46583 libxfce4ui-glade                   	       0        4        0        0        4
46584 libxfce4ui-glade-dbgsym            	       0        1        1        0        0
46585 libxfce4ui-utils-dbgsym            	       0        2        2        0        0
46586 libxfce4util-bin-dbgsym            	       0        1        1        0        0
46587 libxfce4util-build-deps            	       0        1        0        0        1
46588 libxfce4util-common                	       0     2127        1        1     2125
46589 libxfce4util-dev                   	       0       30       29        1        0
46590 libxfce4util4                      	       0        8        0        0        8
46591 libxfce4util6                      	       0       24        0        0       24
46592 libxfce4util7-dbgsym               	       0        1        1        0        0
46593 libxfcegui4-4                      	       0       24        0        0       24
46594 libxfcegui4-dev                    	       0        2        2        0        0
46595 libxfconf-0-3-dbgsym               	       0        1        1        0        0
46596 libxfconf-0-dev                    	       0       27       26        1        0
46597 libxfont1                          	       0      118        0        0      118
46598 libxfont1-dev                      	       0        3        3        0        0
46599 libxfontp1                         	       0        1        0        0        1
46600 libxfreerdp-client1.1              	       0       27        0        0       27
46601 libxgboost0                        	       0        1        0        0        1
46602 libxgks2                           	       0        1        0        0        1
46603 libxgks2-data                      	       0        1        1        0        0
46604 libxine-dev                        	       0        1        1        0        0
46605 libxine1                           	       0        3        0        0        3
46606 libxine1-bin                       	       0        5        5        0        0
46607 libxine1-console                   	       0        4        4        0        0
46608 libxine1-doc                       	       0        1        0        0        1
46609 libxine1-ffmpeg                    	       0        4        4        0        0
46610 libxine1-gnome                     	       0        2        2        0        0
46611 libxine1-misc-plugins              	       0        3        3        0        0
46612 libxine1-plugins                   	       0        1        0        0        1
46613 libxine1-x                         	       0        4        4        0        0
46614 libxine2                           	       0       95        0        0       95
46615 libxine2-all-plugins               	       0        2        0        0        2
46616 libxine2-console                   	       0       16        1        0       15
46617 libxine2-dev                       	       0        3        3        0        0
46618 libxine2-doc                       	       0       40        0        0       40
46619 libxine2-gnome                     	       0        5        1        0        4
46620 libxine2-plugins                   	       0       94        0        0       94
46621 libxine2-xvdr                      	       0        3        0        0        3
46622 libxineliboutput-sxfe              	       0        2        2        0        0
46623 libxisf0                           	       0        1        0        0        1
46624 libxkbcommon-doc                   	       0        2        0        0        2
46625 libxkbcommon-tools                 	       0        3        3        0        0
46626 libxkbcommon-x11-dev               	       0       51       50        1        0
46627 libxkbregistry-dev                 	       0        8        8        0        0
46628 libxkbui1                          	       0        2        0        0        2
46629 libxklavier-dev                    	       0       13       12        1        0
46630 libxklavier10                      	       0        1        0        0        1
46631 libxklavier12                      	       0        2        0        0        2
46632 libxlsxwriter-dev                  	       0        1        1        0        0
46633 libxlsxwriter4                     	       0       11        0        0       11
46634 libxlsxwriter5                     	       0        1        0        0        1
46635 libxmhtml-dev                      	       0        2        2        0        0
46636 libxmhtml1.1                       	       0       24        0        0       24
46637 libxmhtml1.1t64                    	       0        2        0        0        2
46638 libxml++2.6-2                      	       0        1        0        0        1
46639 libxml++2.6-2v5                    	       0      388        1        0      387
46640 libxml++2.6-dbg                    	       0        1        1        0        0
46641 libxml++2.6-dev                    	       0       16       16        0        0
46642 libxml++2.6-doc                    	       0        1        1        0        0
46643 libxml-atom-owl-perl               	       0        3        3        0        0
46644 libxml-atom-perl                   	       0       12       12        0        0
46645 libxml-atom-service-perl           	       0        1        1        0        0
46646 libxml-bare-perl                   	       0        1        0        0        1
46647 libxml-catalog-perl                	       0        6        6        0        0
46648 libxml-checker-perl                	       0        2        2        0        0
46649 libxml-commonns-perl               	       0        7        7        0        0
46650 libxml-commons-external-java       	       0      924        0        0      924
46651 libxml-commons-resolver1.1-java    	       0      732        0        0      732
46652 libxml-commons-resolver1.1-java-doc	       0        5        0        0        5
46653 libxml-compacttree-perl            	       0        1        1        0        0
46654 libxml-compile-perl                	       0        1        1        0        0
46655 libxml-descent-perl                	       0        9        9        0        0
46656 libxml-dom-xpath-perl              	       0        4        4        0        0
46657 libxml-dt-perl                     	       0        2        2        0        0
46658 libxml-dtdparser-perl              	       0        2        2        0        0
46659 libxml-feed-perl                   	       0       12       12        0        0
46660 libxml-feedpp-mediarss-perl        	       0        1        1        0        0
46661 libxml-feedpp-perl                 	       0        2        2        0        0
46662 libxml-filter-buffertext-perl      	       0        7        7        0        0
46663 libxml-generator-perl              	       0        3        3        0        0
46664 libxml-grove-perl                  	       0        3        3        0        0
46665 libxml-handler-trees-perl          	       0        6        6        0        0
46666 libxml-handler-yawriter-perl       	       0        1        1        0        0
46667 libxml-java                        	       0      732        0        0      732
46668 libxml-libxml-lazybuilder-perl     	       0        3        3        0        0
46669 libxml-libxslt-perl                	       0      152        2        0      150
46670 libxml-maven-plugin-java           	       0        1        0        0        1
46671 libxml-mini-perl                   	       0        1        1        0        0
46672 libxml-namespace-perl              	       0        6        6        0        0
46673 libxml-namespacefactory-perl       	       0        7        7        0        0
46674 libxml-opml-perl                   	       0        1        1        0        0
46675 libxml-parser-easytree-perl        	       0        1        1        0        0
46676 libxml-parser-lite-perl            	       0        2        2        0        0
46677 libxml-parser-lite-tree-perl       	       0        3        3        0        0
46678 libxml-rss-feed-perl               	       0        1        1        0        0
46679 libxml-rss-libxml-perl             	       0        3        3        0        0
46680 libxml-rss-perl                    	       0       25       24        1        0
46681 libxml-rss-simplegen-perl          	       0        1        1        0        0
46682 libxml-rsslite-perl                	       0        1        1        0        0
46683 libxml-ruby                        	       0        1        0        0        1
46684 libxml-ruby1.9.1                   	       0        1        0        0        1
46685 libxml-sax-expatxs-perl            	       0       34        0        0       34
46686 libxml-sax-writer-perl             	       0        7        7        0        0
46687 libxml-security-c17v5              	       0        7        0        0        7
46688 libxml-security-c20                	       0        2        0        0        2
46689 libxml-security-java               	       0        4        0        0        4
46690 libxml-semanticdiff-perl           	       0        1        1        0        0
46691 libxml-simpleobject-libxml-perl    	       0       10       10        0        0
46692 libxml-simpleobject-perl           	       0        1        1        0        0
46693 libxml-smart-perl                  	       0        1        1        0        0
46694 libxml-struct-perl                 	       0        1        1        0        0
46695 libxml-structured-perl             	       0        1        1        0        0
46696 libxml-tidy-perl                   	       0        2        2        0        0
46697 libxml-tokeparser-perl             	       0       11       11        0        0
46698 libxml-treebuilder-perl            	       0        6        6        0        0
46699 libxml-treepuller-perl             	       0        1        1        0        0
46700 libxml-writer-simple-perl          	       0        2        2        0        0
46701 libxml-writer-string-perl          	       0        2        2        0        0
46702 libxml1                            	       0        1        0        0        1
46703 libxml2-dbg                        	       0        1        1        0        0
46704 libxml2-doc                        	       0        8        0        0        8
46705 libxml2-utils-dbg                  	       0        1        1        0        0
46706 libxmlada-doc                      	       0        1        0        0        1
46707 libxmlada-dom10-dev                	       0        1        0        0        1
46708 libxmlada-dom12-dev                	       0        1        0        0        1
46709 libxmlada-dom4.5.2015              	       0        2        0        0        2
46710 libxmlada-dom5                     	       0        1        0        0        1
46711 libxmlada-dom7                     	       0        2        0        0        2
46712 libxmlada-dom8                     	       0        7        0        0        7
46713 libxmlada-input-sources4.5.2015    	       0        2        0        0        2
46714 libxmlada-input10-dev              	       0        1        0        0        1
46715 libxmlada-input12-dev              	       0        1        0        0        1
46716 libxmlada-input5                   	       0        1        0        0        1
46717 libxmlada-input7                   	       0        9        0        0        9
46718 libxmlada-sax10-dev                	       0        1        0        0        1
46719 libxmlada-sax12-dev                	       0        1        0        0        1
46720 libxmlada-sax4.5.2015              	       0        2        0        0        2
46721 libxmlada-sax5                     	       0        1        0        0        1
46722 libxmlada-sax7                     	       0        9        0        0        9
46723 libxmlada-schema10-dev             	       0        1        0        0        1
46724 libxmlada-schema12-dev             	       0        1        0        0        1
46725 libxmlada-schema4.5.2015           	       0        2        0        0        2
46726 libxmlada-schema5                  	       0        1        0        0        1
46727 libxmlada-schema7                  	       0        9        0        0        9
46728 libxmlada-unicode10-dev            	       0        1        0        0        1
46729 libxmlada-unicode12-dev            	       0        1        0        0        1
46730 libxmlada-unicode4.5.2015          	       0        2        0        0        2
46731 libxmlada-unicode5                 	       0        1        0        0        1
46732 libxmlada-unicode7                 	       0        9        0        0        9
46733 libxmlb-dev                        	       0       13       13        0        0
46734 libxmlb-utils                      	       0        3        3        0        0
46735 libxmlbeans-java                   	       0      355        0        0      355
46736 libxmlbeans-maven-plugin-java      	       0        1        0        0        1
46737 libxmlbird1                        	       0        7        0        0        7
46738 libxmlbird1t64                     	       0        3        0        0        3
46739 libxmlezout7                       	       0        4        0        0        4
46740 libxmlgraphics-commons-java        	       0      605        0        0      605
46741 libxmlgraphics-commons-java-doc    	       0        8        0        0        8
46742 libxmlm-ocaml-dev                  	       0        1        1        0        0
46743 libxmlplaylist-ocaml-dev           	       0        1        1        0        0
46744 libxmlrpc-c++8-dev                 	       0        1        1        0        0
46745 libxmlrpc-c++8v5                   	       0        2        0        0        2
46746 libxmlrpc-core-c3-dbgsym           	       0        1        1        0        0
46747 libxmlrpc-core-c3-dev              	       0        5        5        0        0
46748 libxmlrpc-core-c3-dev-dbgsym       	       0        1        1        0        0
46749 libxmlrpc-core-c3t64               	       0       16        0        0       16
46750 libxmlrpc-epi-dev                  	       0        1        1        0        0
46751 libxmlrpc-util-dev                 	       0        1        0        0        1
46752 libxmlrpc-util4                    	       0       16        0        0       16
46753 libxmlrpc3-common-java             	       0        1        0        0        1
46754 libxmlrpcpp-dev                    	       0        3        3        0        0
46755 libxmlrpcpp3d                      	       0        4        0        0        4
46756 libxmlsec1-dev                     	       0       12       11        1        0
46757 libxmlsec1-doc                     	       0        3        0        0        3
46758 libxmlsec1-gcrypt                  	       0       25        0        0       25
46759 libxmlsec1-gnutls                  	       0       25        0        0       25
46760 libxmlsec1t64-gcrypt               	       0        2        0        0        2
46761 libxmlsec1t64-gnutls               	       0        2        0        0        2
46762 libxmlsec1t64-openssl              	       0       73        4        0       69
46763 libxmltok1                         	       0        7        0        0        7
46764 libxmltok1-dev                     	       0        3        3        0        0
46765 libxmltooling10                    	       0        1        0        0        1
46766 libxmlunit-java                    	       0       28        0        0       28
46767 libxmmsclient++-dev                	       0        1        1        0        0
46768 libxmmsclient++4v5                 	       0        2        0        0        2
46769 libxmmsclient-dev                  	       0        3        3        0        0
46770 libxmmsclient-glib1                	       0       79        3        0       76
46771 libxmp-dev                         	       0        4        3        1        0
46772 libxmpcore-java                    	       0       72        0        0       72
46773 libxmpi4                           	       0        1        0        0        1
46774 libxmpi4-dev                       	       0        1        1        0        0
46775 libxmu-dev                         	       0      160        0        0      160
46776 libxmuu-dev                        	       0       63        0        0       63
46777 libxmuu1                           	       0     4050        1        0     4049
46778 libxnee0                           	       0       10        0        0       10
46779 libxnnpack-dev                     	       0        1        1        0        0
46780 libxnnpack0                        	       0      103        0        0      103
46781 libxnnpack0.20241108               	       0       48        0        0       48
46782 libxnvctrl-dev                     	       0       14       12        2        0
46783 libxom-java                        	       0      439        0        0      439
46784 libxom-java-doc                    	       0        4        0        0        4
46785 libxp-dev                          	       0        5        0        0        5
46786 libxp6-dbg                         	       0        1        1        0        0
46787 libxpa-dev                         	       0        1        1        0        0
46788 libxpa1                            	       0       16        0        0       16
46789 libxplc0.3.13                      	       0        3        0        0        3
46790 libxplc0.3.13-dev                  	       0        1        1        0        0
46791 libxpp2-java                       	       0      175        0        0      175
46792 libxpp3-java                       	       0      262        0        0      262
46793 libxpresent-build-deps             	       0        1        0        0        1
46794 libxpresent-dev                    	       0       21       21        0        0
46795 libxpresent1-dbgsym                	       0        1        1        0        0
46796 libxprintapputil1                  	       0        3        0        0        3
46797 libxprintutil1                     	       0        3        0        0        3
46798 libxqdbm3t64                       	       0        1        0        0        1
46799 libxrd-parser-perl                 	       0        1        1        0        0
46800 libxrdapputils2                    	       0        1        0        0        1
46801 libxrdcl3                          	       0        2        0        0        2
46802 libxrdcrypto2                      	       0        2        0        0        2
46803 libxrdcryptolite2                  	       0        2        0        0        2
46804 libxrdesktop-0.15-0                	       0        2        0        0        2
46805 libxrdffs3                         	       0        2        0        0        2
46806 libxrdhttputils2                   	       0        1        0        0        1
46807 libxrdposix3                       	       0        2        0        0        2
46808 libxrdserver3                      	       0        1        0        0        1
46809 libxrdssilib2                      	       0        1        0        0        1
46810 libxrdssishmap2                    	       0        1        0        0        1
46811 libxrdutils3                       	       0        2        0        0        2
46812 libxrdxml3                         	       0        2        0        0        2
46813 libxrootd-client-dev               	       0        1        0        0        1
46814 libxrootd-dev                      	       0        1        1        0        0
46815 libxrootd-private-dev              	       0        1        0        0        1
46816 libxrootd-server-dev               	       0        1        0        0        1
46817 libxs-object-magic-perl            	       0        6        0        0        6
46818 libxs-parse-keyword-perl           	       0      590        0        0      590
46819 libxs-parse-sublike-perl           	       0      258        0        0      258
46820 libxsettings-client-dev            	       0        1        1        0        0
46821 libxsettings-client0               	       0       45        0        0       45
46822 libxsettings-dev                   	       0        1        1        0        0
46823 libxsettings0                      	       0        1        0        0        1
46824 libxsimd-dev                       	       0      422        0        0      422
46825 libxsimd-doc                       	       0        1        0        0        1
46826 libxsltc-java                      	       0        9        0        0        9
46827 libxslthl-java                     	       0        5        0        0        5
46828 libxsom-java                       	       0      335        0        0      335
46829 libxstream-java                    	       0       82        0        0       82
46830 libxt-doc                          	       0        8        0        0        8
46831 libxt-java                         	       0        1        0        0        1
46832 libxtables10                       	       0      225        0        0      225
46833 libxtables11                       	       0        1        0        0        1
46834 libxtc-rats-java                   	       0        2        0        0        2
46835 libxtensor-dev                     	       0        3        0        0        3
46836 libxtrap-dev                       	       0        1        1        0        0
46837 libxtrap6                          	       0        1        0        0        1
46838 libxtrx0                           	       0       37        3        0       34
46839 libxtrxdsp0                        	       0       37        3        0       34
46840 libxul-common                      	       0        2        2        0        0
46841 libxul0d                           	       0        1        1        0        0
46842 libxv-dev                          	       0      274      270        4        0
46843 libxvidcore-dev                    	       0       29       29        0        0
46844 libxvmc-dev                        	       0       74       73        1        0
46845 libxwiimote2                       	       0        4        1        0        3
46846 libxxf86misc-dev                   	       0        1        1        0        0
46847 libxxf86misc1                      	       0        1        0        0        1
46848 libxxf86vm1-dbg                    	       0        1        1        0        0
46849 libxxhash0-dbgsym                  	       0        1        1        0        0
46850 libxy3v5                           	       0        3        0        0        3
46851 libxz-java                         	       0      623        0        0      623
46852 liby2-14                           	       0        1        0        0        1
46853 libyahoo2-11                       	       0        1        0        0        1
46854 libyajl-dev                        	       0       39       38        1        0
46855 libyajl-doc                        	       0        1        0        0        1
46856 libyajl1                           	       0        2        0        0        2
46857 libyaml-0-2-dbg                    	       0        1        1        0        0
46858 libyaml-cpp0.3                     	       0        2        0        0        2
46859 libyaml-cpp0.3-dev                 	       0        1        1        0        0
46860 libyaml-cpp0.3v5                   	       0       10        0        0       10
46861 libyaml-cpp0.8                     	       0       46        0        0       46
46862 libyaml-doc                        	       0        4        0        0        4
46863 libyaml-libyaml-perl               	       0      878        2        0      876
46864 libyaml-ruby                       	       0        1        0        0        1
46865 libyaml-shell-perl                 	       0        8        8        0        0
46866 libyaml-snake-java                 	       0      102        0        0      102
46867 libyaml-snake-java-doc             	       0        2        0        0        2
46868 libyaml-syck-perl                  	       0       50        0        0       50
46869 libyanfs-java                      	       0        9        0        0        9
46870 libyang-dev                        	       0        1        1        0        0
46871 libyang-tools                      	       0        1        0        0        1
46872 libyang1                           	       0        2        1        0        1
46873 libyang2-dev                       	       0        1        1        0        0
46874 libyang2-tools                     	       0        2        2        0        0
46875 libyang2t64                        	       0        1        0        0        1
46876 libyara-dev                        	       0        1        1        0        0
46877 libyara10                          	       0        8        0        0        8
46878 libyara3                           	       0       16        0        0       16
46879 libyara4                           	       0       29        0        0       29
46880 libyara9                           	       0       80        0        0       80
46881 libyascreen-dev                    	       0        3        3        0        0
46882 libyascreen0                       	       0       37        0        0       37
46883 libyascreen1                       	       0        1        0        0        1
46884 libyascreen1-dbgsym                	       0        1        1        0        0
46885 libyaz-dev                         	       0        2        2        0        0
46886 libyaz4                            	       0        4        0        0        4
46887 libyaz4-dev                        	       0        1        1        0        0
46888 libyaz5                            	       0       21        2        0       19
46889 libycadgraywolf1                   	       0        6        0        0        6
46890 libyder2.0                         	       0        7        0        0        7
46891 libyder2.0t64                      	       0        1        0        0        1
46892 libyecht-java                      	       0        1        0        0        1
46893 libyelp0                           	       0     1681        0        0     1681
46894 libykclient-dev                    	       0        1        1        0        0
46895 libykhsmauth2                      	       0        3        0        0        3
46896 libykneomgr0                       	       0        1        0        0        1
46897 libykpers-1-dev                    	       0        2        2        0        0
46898 libykpiv-dev                       	       0        1        1        0        0
46899 libykpiv1                          	       0        1        0        0        1
46900 libykpiv2                          	       0       17        0        0       17
46901 libyojson-ocaml                    	       0        2        2        0        0
46902 libyojson-ocaml-dev                	       0        2        2        0        0
46903 libytnef0-dev                      	       0        7        7        0        0
46904 libyubihsm-http2                   	       0        3        0        0        3
46905 libyubihsm-usb2                    	       0        2        0        0        2
46906 libyubikey-dev                     	       0        2        2        0        0
46907 libyuma-base                       	       0        1        0        0        1
46908 libyuma2t64                        	       0        1        0        0        1
46909 libyuv                             	       0        1        0        0        1
46910 libyuv-dev                         	       0        9        9        0        0
46911 libyyjson0                         	       0       25        0        0       25
46912 libz-mingw-w64                     	       0      415        0        0      415
46913 libz3-java                         	       0        1        0        0        1
46914 libz3-jni                          	       0        1        0        0        1
46915 libz80ex-dev                       	       0        2        2        0        0
46916 libz80ex1                          	       0        2        0        0        2
46917 libzadc4                           	       0        2        0        0        2
46918 libzapojit-0.0-0                   	       0       44        0        0       44
46919 libzarchive-dev                    	       0        1        1        0        0
46920 libzarchive0.1                     	       0        5        0        0        5
46921 libzarith-ocaml                    	       0        5        4        0        1
46922 libzarith-ocaml-dev                	       0        2        2        0        0
46923 libzbar-dev                        	       0       12       12        0        0
46924 libzbar0t64                        	       0      203        0        0      203
46925 libzbargtk0                        	       0       15        0        0       15
46926 libzbarqt0                         	       0        5        0        0        5
46927 libzbarqt0t64                      	       0        1        0        0        1
46928 libzc6                             	       0        2        0        0        2
46929 libzck1                            	       0        4        0        0        4
46930 libze-dev                          	       0        1        1        0        0
46931 libze-intel-gpu-dev                	       0        1        1        0        0
46932 libze-intel-gpu1                   	       0        1        0        0        1
46933 libze1                             	       0        2        0        0        2
46934 libzeitgeist-1.0-1                 	       0        1        0        0        1
46935 libzeitgeist-2.0-dev               	       0        2        2        0        0
46936 libzeitgeist-2.0-doc               	       0        1        0        0        1
46937 libzeitgeist0.8-cil                	       0        2        0        0        2
46938 libzen-dev                         	       0        4        4        0        0
46939 libzen0                            	       0        1        0        0        1
46940 libzephyr-dev                      	       0        5        5        0        0
46941 libzeroc-ice-dev                   	       0        4        4        0        0
46942 libzeroc-ice3.6                    	       0        1        0        0        1
46943 libzeus-jscl-java                  	       0        1        0        0        1
46944 libzfp1                            	       0        4        0        0        4
46945 libzfp1t64                         	       0        2        0        0        2
46946 libzfs2linux                       	       0        2        0        0        2
46947 libzfs5-devel                      	       0        2        2        0        0
46948 libzfsbootenv1linux                	       0        4        0        0        4
46949 libzfslinux-dev                    	       0        3        3        0        0
46950 libzia                             	       0        5        0        0        5
46951 libzim-dev                         	       0        1        1        0        0
46952 libzim-git                         	       0        1        1        0        0
46953 libzim6                            	       0        3        0        0        3
46954 libzim8                            	       0       16        0        0       16
46955 libzim9                            	       0        1        0        0        1
46956 libzimg-dev                        	       0       18       18        0        0
46957 libzinnia0                         	       0        6        0        0        6
46958 libzint-dev                        	       0        2        1        1        0
46959 libzint2.11                        	       0        6        0        0        6
46960 libzint2.13                        	       0        2        0        0        2
46961 libzint2.15                        	       0        1        0        0        1
46962 libzip-ocaml                       	       0        3        3        0        0
46963 libzip-ocaml-dev                   	       0        1        1        0        0
46964 libzip2                            	       0       13        0        0       13
46965 libzipios++-dev                    	       0        7        7        0        0
46966 libzipios++-doc                    	       0        1        0        0        1
46967 libzipios++0c2a                    	       0        1        0        0        1
46968 libzita-alsa-pcmi-dev              	       0        4        4        0        0
46969 libzita-alsa-pcmi0                 	       0      145        0        0      145
46970 libzita-alsa-pcmi0t64              	       0       10        0        0       10
46971 libzita-convolver-dev              	       0        2        2        0        0
46972 libzita-convolver3                 	       0        4        0        0        4
46973 libzita-resampler-dev              	       0        6        6        0        0
46974 libzix-dev                         	       0        2        2        0        0
46975 libzlcore-data                     	       0      105        0        0      105
46976 libzlcore-dev                      	       0        4        4        0        0
46977 libzlcore0.10                      	       0        1        0        0        1
46978 libzlcore0.13                      	       0       94        0        0       94
46979 libzlcore0.13t64                   	       0       11        0        0       11
46980 libzltext-data                     	       0      102        0        0      102
46981 libzltext-dev                      	       0        1        1        0        0
46982 libzltext0.10                      	       0        1        0        0        1
46983 libzltext0.13                      	       0       92        0        0       92
46984 libzltext0.13t64                   	       0       10        0        0       10
46985 libzlui-qt4                        	       0        7        7        0        0
46986 libzmf-tools                       	       0        1        1        0        0
46987 libzmq-dev                         	       0        1        1        0        0
46988 libzmq-ffi-perl                    	       0        2        2        0        0
46989 libzmq1                            	       0        2        0        0        2
46990 libzmq3                            	       0       12        0        0       12
46991 libzmq3-dev                        	       0       42       41        1        0
46992 libzn-poly-0.9                     	       0       10        0        0       10
46993 libzn-poly-dev                     	       0        9        9        0        0
46994 libznz-dev                         	       0        3        3        0        0
46995 libznz3                            	       0       18        0        0       18
46996 libzookeeper-java                  	       0        3        0        0        3
46997 libzopfli-dev                      	       0        2        2        0        0
46998 libzopfli1                         	       0       41        0        0       41
46999 libzpool2                          	       0        1        0        0        1
47000 libzpool2linux                     	       0        3        0        0        3
47001 libzpool4linux                     	       0        7        0        0        7
47002 libzpool5linux                     	       0       69        0        0       69
47003 libzpool6linux                     	       0        2        0        0        2
47004 libzrtpcpp-1.4-0                   	       0        2        0        0        2
47005 libzrtpcpp-dev                     	       0        1        1        0        0
47006 libzrtpcpp2                        	       0        2        0        0        2
47007 libzscanner1                       	       0        1        0        0        1
47008 libzscanner2                       	       0        2        0        0        2
47009 libzscanner3                       	       0        5        0        0        5
47010 libzscanner4t64                    	       0        2        2        0        0
47011 libzthread-2.3-2                   	       0        4        0        0        4
47012 libzulucrypt-dev                   	       0        3        3        0        0
47013 libzulucrypt-exe-dev               	       0        2        2        0        0
47014 libzulucrypt-exe1.2.0              	       0       34        0        0       34
47015 libzulucrypt-plugins               	       0        7        0        0        7
47016 libzulucrypt1                      	       0        1        0        0        1
47017 libzulucrypt1.2.0                  	       0       34        0        0       34
47018 libzulucryptpluginmanager-dev      	       0        2        2        0        0
47019 libzulucryptpluginmanager1.0.0     	       0       34        2        0       32
47020 libzvbi-common                     	       0     3403        0        0     3403
47021 libzvbi-dev                        	       0       20       18        2        0
47022 libzvt2                            	       0        1        1        0        0
47023 libzxcvbn-dev                      	       0        1        1        0        0
47024 libzxing-dev                       	       0        1        1        0        0
47025 libzxingcore1                      	       0      103        2        0      101
47026 libzycore-dev                      	       0        1        1        0        0
47027 libzycore1.5                       	       0        1        0        0        1
47028 libzydis-dev                       	       0        1        1        0        0
47029 libzydis-doc                       	       0        1        0        0        1
47030 libzydis4.0                        	       0        4        0        0        4
47031 libzydis4.1                        	       0        1        0        0        1
47032 libzypp-bin                        	       0        2        2        0        0
47033 libzypp-common                     	       0        2        0        0        2
47034 libzypp-config                     	       0        2        0        0        2
47035 libzypp-doc                        	       0        1        0        0        1
47036 libzypp1722                        	       0        3        0        0        3
47037 libzypp1735                        	       0        1        0        0        1
47038 libzzip-0-12                       	       0        2        0        0        2
47039 libzzip-dev                        	       0       12       12        0        0
47040 license-agpl                       	       0        1        0        0        1
47041 license-apache                     	       0        1        0        0        1
47042 license-artistic                   	       0        1        0        0        1
47043 license-cecill                     	       0        1        0        0        1
47044 license-creative                   	       0        1        0        0        1
47045 license-fdl                        	       0        1        0        0        1
47046 license-gpl                        	       0        1        0        0        1
47047 license-lgpl                       	       0        1        0        0        1
47048 license-mpl                        	       0        1        0        0        1
47049 license-pdm                        	       0        1        0        0        1
47050 license-spl                        	       0        1        0        0        1
47051 license-wtfpl                      	       0        1        0        0        1
47052 license-zlib                       	       0        1        0        0        1
47053 licenserecon                       	       0        1        1        0        0
47054 licenseutils                       	       0        5        5        0        0
47055 lieer                              	       0        1        1        0        0
47056 lierolibre                         	       0        3        3        0        0
47057 lierolibre-data                    	       0        3        0        0        3
47058 lifelines                          	       0        2        2        0        0
47059 lifelines-doc                      	       0        1        0        0        1
47060 lifeograph                         	       0        4        4        0        0
47061 liferea-build-deps                 	       0        1        0        0        1
47062 liferea-dbgsym                     	       0        1        1        0        0
47063 lightdm-autologin-greeter          	       0        5        0        0        5
47064 lightdm-dbgsym                     	       0        1        0        1        0
47065 lightdm-remote-session-freerdp2    	       0        3        0        0        3
47066 lightdm-remote-session-x2go        	       0        3        0        0        3
47067 lightdm-vala                       	       0        3        0        0        3
47068 lightning                          	       0       55        3        0       52
47069 lightning-l10n-de                  	       0        4        0        0        4
47070 lightning-l10n-en-gb               	       0        1        0        0        1
47071 lightning-l10n-fr                  	       0        5        0        0        5
47072 lightning-l10n-it                  	       0        1        0        0        1
47073 lightscribe                        	       0        1        1        0        0
47074 lightscribeapplications            	       0        1        0        0        1
47075 lightspark                         	       0        1        1        0        0
47076 lightspark-common                  	       0        2        2        0        0
47077 lightspeed                         	       0        3        3        0        0
47078 lightsquid                         	       0        1        1        0        0
47079 lighttpd-doc                       	       0       15        0        0       15
47080 lighttpd-mod-authn-gssapi          	       0        2        2        0        0
47081 lighttpd-mod-authn-pam             	       0        1        1        0        0
47082 lighttpd-mod-authn-sasl            	       0        1        1        0        0
47083 lighttpd-mod-maxminddb             	       0        1        1        0        0
47084 lighttpd-mod-mbedtls               	       0        1        1        0        0
47085 lighttpd-mod-vhostdb-pgsql         	       0        1        1        0        0
47086 lighttpd-modules-dbi               	       0        1        1        0        0
47087 lighttpd-modules-ldap              	       0        6        6        0        0
47088 lighttpd-modules-lua               	       0        4        4        0        0
47089 lighttpd-modules-mysql             	       0        6        6        0        0
47090 lightyears                         	       0        5        5        0        0
47091 likwid                             	       0        2        2        0        0
47092 lilo-doc                           	       0        2        0        0        2
47093 lilv-utils                         	       0        8        8        0        0
47094 lilypond-data                      	       0       72        0        0       72
47095 lilypond-doc                       	       0       23        0        0       23
47096 lilypond-doc-html                  	       0       21        0        0       21
47097 lilypond-doc-html-cs               	       0        1        0        0        1
47098 lilypond-doc-html-de               	       0        3        0        0        3
47099 lilypond-doc-html-es               	       0        2        0        0        2
47100 lilypond-doc-html-fr               	       0        2        0        0        2
47101 lilypond-doc-html-hu               	       0        1        0        0        1
47102 lilypond-doc-html-it               	       0        1        0        0        1
47103 lilypond-doc-html-ja               	       0        1        0        0        1
47104 lilypond-doc-html-nl               	       0        2        0        0        2
47105 lilypond-doc-html-zh               	       0        1        0        0        1
47106 lilypond-doc-pdf                   	       0       23        0        0       23
47107 lilypond-doc-pdf-de                	       0        2        0        0        2
47108 lilypond-doc-pdf-es                	       0        3        0        0        3
47109 lilypond-doc-pdf-fr                	       0        1        0        0        1
47110 lilypond-doc-pdf-hu                	       0        1        0        0        1
47111 lilypond-doc-pdf-it                	       0        1        0        0        1
47112 lilypond-doc-pdf-nl                	       0        2        0        0        2
47113 lilypond-fonts                     	       0       68        0        0       68
47114 limesuite                          	       0        5        5        0        0
47115 lincity                            	       0        7        7        0        0
47116 lincity-ng-data                    	       0       16        0        0       16
47117 lincredits                         	       0        1        1        0        0
47118 link-grammar-dictionaries-all      	       0        1        0        0        1
47119 linkchecker                        	       0        8        8        0        0
47120 linklint                           	       0        3        3        0        0
47121 linneighborhood                    	       0        1        1        0        0
47122 linode-longview                    	       0        1        1        0        0
47123 linpac                             	       0        4        4        0        0
47124 linphone                           	       0       24        1        0       23
47125 linphone-cli                       	       0        3        3        0        0
47126 linphone-common                    	       0       31        0        0       31
47127 linphone-nogtk                     	       0        4        1        0        3
47128 linpopup                           	       0        1        1        0        0
47129 linsmith                           	       0        2        2        0        0
47130 lintian-brush                      	       0        1        1        0        0
47131 linux-buildinfo-6.11.0-1013-oem    	       0        1        0        0        1
47132 linux-buildinfo-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
47133 linux-compiler-gcc-10-x86          	       0      274        0        0      274
47134 linux-compiler-gcc-11-x86          	       0        9        0        0        9
47135 linux-compiler-gcc-12-x86          	       0      685        0        0      685
47136 linux-compiler-gcc-13-x86          	       0       10        0        0       10
47137 linux-compiler-gcc-4.6-x86         	       0        3        0        0        3
47138 linux-compiler-gcc-4.8-x86         	       0       30        0        0       30
47139 linux-compiler-gcc-4.9-x86         	       0       21        0        0       21
47140 linux-compiler-gcc-5-x86           	       0        1        0        0        1
47141 linux-compiler-gcc-6-x86           	       0       84        0        0       84
47142 linux-compiler-gcc-8-x86           	       0       61        0        0       61
47143 linux-compiler-gcc-9-x86           	       0        4        0        0        4
47144 linux-config-4.19                  	       0        8        0        0        8
47145 linux-config-5.10                  	       0       19        0        0       19
47146 linux-config-5.15                  	       0        1        0        0        1
47147 linux-config-5.16                  	       0        2        0        0        2
47148 linux-config-5.18                  	       0        1        0        0        1
47149 linux-config-5.5                   	       0        1        0        0        1
47150 linux-config-5.6                   	       0        1        0        0        1
47151 linux-config-5.7                   	       0        1        0        0        1
47152 linux-config-6.0                   	       0        1        0        0        1
47153 linux-config-6.1                   	       0       44        0        0       44
47154 linux-config-6.10                  	       0        4        0        0        4
47155 linux-config-6.11                  	       0        1        0        0        1
47156 linux-config-6.12                  	       0        6        0        0        6
47157 linux-config-6.3                   	       0        1        0        0        1
47158 linux-config-6.4                   	       0        1        0        0        1
47159 linux-config-6.5                   	       0        1        0        0        1
47160 linux-doc                          	       0       20        0        0       20
47161 linux-doc-3.11                     	       0        1        0        0        1
47162 linux-doc-3.12                     	       0        1        0        0        1
47163 linux-doc-3.16                     	       0        1        0        0        1
47164 linux-doc-3.2                      	       0        1        0        0        1
47165 linux-doc-4.1                      	       0        1        0        0        1
47166 linux-doc-4.19                     	       0        5        0        0        5
47167 linux-doc-4.9                      	       0       11       11        0        0
47168 linux-doc-5.10                     	       0       14        0        0       14
47169 linux-doc-5.15                     	       0        2        0        0        2
47170 linux-doc-5.18                     	       0        4        0        0        4
47171 linux-doc-5.4                      	       0        1        0        0        1
47172 linux-doc-6.1                      	       0       18        0        0       18
47173 linux-doc-6.10                     	       0        6        0        0        6
47174 linux-doc-6.11                     	       0        5        0        0        5
47175 linux-doc-6.12                     	       0        4        0        0        4
47176 linux-doc-6.3                      	       0        1        0        0        1
47177 linux-doc-6.5                      	       0        1        0        0        1
47178 linux-doc-6.7                      	       0        2        0        0        2
47179 linux-doc-6.9                      	       0        1        0        0        1
47180 linux-dtb-current-sunxi64          	       0        2        0        0        2
47181 linux-dwarf-pack                   	       0        2        2        0        0
47182 linux-firewire-utils               	       0        2        2        0        0
47183 linux-firmware                     	       0        2        2        0        0
47184 linux-firmware-hauppauge           	       0        1        1        0        0
47185 linux-firmware-image               	       0        1        0        0        1
47186 linux-grsec-source-4.7             	       0        1        0        0        1
47187 linux-grsec-support-4.7.0-1        	       0        1        1        0        0
47188 linux-headers-2.6-amd64            	       0        1        0        0        1
47189 linux-headers-2.6.18-5             	       0        1        0        0        1
47190 linux-headers-2.6.25-2-common      	       0        1        0        0        1
47191 linux-headers-2.6.26-2-common-openvz	       0        1        1        0        0
47192 linux-headers-2.6.32-5-amd64       	       0        1        1        0        0
47193 linux-headers-2.6.32-5-common      	       0        4        3        0        1
47194 linux-headers-2.6.32-5-common-openvz	       0        1        1        0        0
47195 linux-headers-2.6.32-5-openvz-amd64	       0        1        1        0        0
47196 linux-headers-3.10-2-amd64         	       0        1        1        0        0
47197 linux-headers-3.10-2-common        	       0        1        1        0        0
47198 linux-headers-3.10-3-amd64         	       0        1        1        0        0
47199 linux-headers-3.10-3-common        	       0        1        1        0        0
47200 linux-headers-3.10-rc5-common      	       0        1        1        0        0
47201 linux-headers-3.12-rc7-common      	       0        1        1        0        0
47202 linux-headers-3.14-1-common        	       0        1        1        0        0
47203 linux-headers-3.16.0-0.bpo.4-amd64 	       0        1        1        0        0
47204 linux-headers-3.16.0-0.bpo.4-common	       0        1        1        0        0
47205 linux-headers-3.16.0-10-amd64      	       0        3        3        0        0
47206 linux-headers-3.16.0-10-common     	       0        3        3        0        0
47207 linux-headers-3.16.0-11-amd64      	       0        1        1        0        0
47208 linux-headers-3.16.0-11-common     	       0        1        1        0        0
47209 linux-headers-3.16.0-4-686-pae     	       0        2        2        0        0
47210 linux-headers-3.16.0-5-amd64       	       0        7        7        0        0
47211 linux-headers-3.16.0-5-common      	       0        8        8        0        0
47212 linux-headers-3.16.0-6-686-pae     	       0        1        1        0        0
47213 linux-headers-3.16.0-6-amd64       	       0        9        9        0        0
47214 linux-headers-3.16.0-6-common      	       0        9        9        0        0
47215 linux-headers-3.16.0-7-amd64       	       0        2        2        0        0
47216 linux-headers-3.16.0-7-common      	       0        2        2        0        0
47217 linux-headers-3.16.0-8-common      	       0        1        1        0        0
47218 linux-headers-3.16.0-9-amd64       	       0        1        1        0        0
47219 linux-headers-3.16.0-9-common      	       0        1        1        0        0
47220 linux-headers-3.18.0-trunk-all     	       0        1        0        0        1
47221 linux-headers-3.18.0-trunk-all-amd64	       0        1        0        0        1
47222 linux-headers-3.18.0-trunk-amd64   	       0        1        1        0        0
47223 linux-headers-3.18.0-trunk-common  	       0        1        1        0        0
47224 linux-headers-3.18.91-vs2.3.7.5-beng	       0        2        2        0        0
47225 linux-headers-3.19.0-trunk-common  	       0        1        1        0        0
47226 linux-headers-3.2.0-4-common-rt    	       0        1        0        0        1
47227 linux-headers-3.2.0-4-rt-amd64     	       0        1        0        0        1
47228 linux-headers-3.2.0-5-amd64        	       0        1        1        0        0
47229 linux-headers-3.2.0-5-common       	       0        1        1        0        0
47230 linux-headers-3.2.0-rc4-common-rt  	       0        1        1        0        0
47231 linux-headers-3.2.0-rc4-rt-amd64   	       0        1        1        0        0
47232 linux-headers-3.6.0-amd64          	       0        1        1        0        0
47233 linux-headers-3.7-trunk-common     	       0        1        1        0        0
47234 linux-headers-4.14.0-0.bpo.3-amd64 	       0        1        1        0        0
47235 linux-headers-4.14.0-0.bpo.3-common	       0        1        1        0        0
47236 linux-headers-4.14.0-3-common      	       0        1        1        0        0
47237 linux-headers-4.15.9.64            	       0        1        1        0        0
47238 linux-headers-4.16.0-0.bpo.2-amd64 	       0        1        1        0        0
47239 linux-headers-4.16.0-0.bpo.2-common	       0        1        1        0        0
47240 linux-headers-4.19-amd64           	       0        3        0        0        3
47241 linux-headers-4.19.0-0.bpo.6-amd64 	       0        2        2        0        0
47242 linux-headers-4.19.0-0.bpo.6-common	       0        2        2        0        0
47243 linux-headers-4.19.0-10-common     	       0        2        1        0        1
47244 linux-headers-4.19.0-11-amd64      	       0        1        1        0        0
47245 linux-headers-4.19.0-11-common     	       0        3        2        0        1
47246 linux-headers-4.19.0-12-all        	       0        1        0        0        1
47247 linux-headers-4.19.0-12-all-amd64  	       0        1        0        0        1
47248 linux-headers-4.19.0-12-amd64      	       0        2        2        0        0
47249 linux-headers-4.19.0-12-cloud-amd64	       0        1        1        0        0
47250 linux-headers-4.19.0-12-common     	       0        3        2        0        1
47251 linux-headers-4.19.0-12-common-rt  	       0        1        1        0        0
47252 linux-headers-4.19.0-12-rt-amd64   	       0        1        1        0        0
47253 linux-headers-4.19.0-13-all        	       0        1        0        0        1
47254 linux-headers-4.19.0-13-all-amd64  	       0        1        0        0        1
47255 linux-headers-4.19.0-13-amd64      	       0        2        2        0        0
47256 linux-headers-4.19.0-13-cloud-amd64	       0        1        1        0        0
47257 linux-headers-4.19.0-13-common     	       0        4        3        0        1
47258 linux-headers-4.19.0-13-common-rt  	       0        1        1        0        0
47259 linux-headers-4.19.0-13-rt-amd64   	       0        1        1        0        0
47260 linux-headers-4.19.0-14-amd64      	       0        4        4        0        0
47261 linux-headers-4.19.0-14-common     	       0        8        7        0        1
47262 linux-headers-4.19.0-16-amd64      	       0        6        6        0        0
47263 linux-headers-4.19.0-16-common     	       0        9        8        0        1
47264 linux-headers-4.19.0-17-amd64      	       0        5        5        0        0
47265 linux-headers-4.19.0-17-common     	       0       10        9        0        1
47266 linux-headers-4.19.0-18-amd64      	       0        7        7        0        0
47267 linux-headers-4.19.0-18-common     	       0       13       12        0        1
47268 linux-headers-4.19.0-18-common-rt  	       0        1        1        0        0
47269 linux-headers-4.19.0-18-rt-amd64   	       0        1        1        0        0
47270 linux-headers-4.19.0-19-all        	       0        1        0        0        1
47271 linux-headers-4.19.0-19-all-amd64  	       0        1        0        0        1
47272 linux-headers-4.19.0-19-amd64      	       0        5        5        0        0
47273 linux-headers-4.19.0-19-cloud-amd64	       0        1        1        0        0
47274 linux-headers-4.19.0-19-common     	       0        7        7        0        0
47275 linux-headers-4.19.0-19-common-rt  	       0        1        1        0        0
47276 linux-headers-4.19.0-19-rt-amd64   	       0        1        1        0        0
47277 linux-headers-4.19.0-20-amd64      	       0        9        9        0        0
47278 linux-headers-4.19.0-20-common     	       0       11       11        0        0
47279 linux-headers-4.19.0-20-common-rt  	       0        1        1        0        0
47280 linux-headers-4.19.0-21-amd64      	       0        6        6        0        0
47281 linux-headers-4.19.0-21-common     	       0        6        6        0        0
47282 linux-headers-4.19.0-21-common-rt  	       0        1        1        0        0
47283 linux-headers-4.19.0-21-rt-amd64   	       0        1        1        0        0
47284 linux-headers-4.19.0-22-amd64      	       0        7        7        0        0
47285 linux-headers-4.19.0-22-common     	       0        8        8        0        0
47286 linux-headers-4.19.0-22-common-rt  	       0        1        1        0        0
47287 linux-headers-4.19.0-22-rt-amd64   	       0        1        1        0        0
47288 linux-headers-4.19.0-23-amd64      	       0        4        4        0        0
47289 linux-headers-4.19.0-23-common     	       0        6        6        0        0
47290 linux-headers-4.19.0-24-amd64      	       0        4        4        0        0
47291 linux-headers-4.19.0-24-common     	       0        5        5        0        0
47292 linux-headers-4.19.0-25-amd64      	       0        9        9        0        0
47293 linux-headers-4.19.0-25-common     	       0       10       10        0        0
47294 linux-headers-4.19.0-26-686-pae    	       0        1        1        0        0
47295 linux-headers-4.19.0-26-amd64      	       0        8        8        0        0
47296 linux-headers-4.19.0-26-common     	       0        9        9        0        0
47297 linux-headers-4.19.0-27-686-pae    	       0        1        1        0        0
47298 linux-headers-4.19.0-27-amd64      	       0       11       11        0        0
47299 linux-headers-4.19.0-27-common     	       0       12       12        0        0
47300 linux-headers-4.19.0-5-amd64       	       0        2        2        0        0
47301 linux-headers-4.19.0-5-common      	       0        2        2        0        0
47302 linux-headers-4.19.0-6-common      	       0        3        3        0        0
47303 linux-headers-4.19.0-6-common-rt   	       0        1        1        0        0
47304 linux-headers-4.19.0-8-all         	       0        1        0        0        1
47305 linux-headers-4.19.0-8-all-amd64   	       0        1        0        0        1
47306 linux-headers-4.19.0-8-amd64       	       0        1        1        0        0
47307 linux-headers-4.19.0-8-cloud-amd64 	       0        1        1        0        0
47308 linux-headers-4.19.0-8-common-rt   	       0        1        1        0        0
47309 linux-headers-4.19.0-8-rt-amd64    	       0        1        1        0        0
47310 linux-headers-4.19.0-9-amd64       	       0        3        3        0        0
47311 linux-headers-4.19.0-9-common      	       0        4        4        0        0
47312 linux-headers-4.19.249             	       0        1        1        0        0
47313 linux-headers-4.19.251-proxima     	       0        1        1        0        0
47314 linux-headers-4.2.0-0.bpo.1-all-amd64	       0        1        0        0        1
47315 linux-headers-4.2.0-0.bpo.1-amd64  	       0        1        1        0        0
47316 linux-headers-4.2.0-0.bpo.1-common 	       0        1        1        0        0
47317 linux-headers-4.4.144-vs2.3.9.7.2-beng	       0        4        4        0        0
47318 linux-headers-4.4.202-vs2.3.9.8-beng	       0        1        1        0        0
47319 linux-headers-4.4.227-vs2.3.9.9-beng	       0        1        1        0        0
47320 linux-headers-4.5.0-2-common       	       0        1        1        0        0
47321 linux-headers-4.7.0-0.bpo.1-amd64  	       0        2        2        0        0
47322 linux-headers-4.7.0-0.bpo.1-common 	       0        2        2        0        0
47323 linux-headers-4.8.0-0.bpo.2-686-pae	       0        1        1        0        0
47324 linux-headers-4.8.0-0.bpo.2-amd64  	       0        2        2        0        0
47325 linux-headers-4.8.0-0.bpo.2-common 	       0        3        3        0        0
47326 linux-headers-4.9.0-0.bpo.1-amd64  	       0        1        1        0        0
47327 linux-headers-4.9.0-0.bpo.1-common 	       0        1        1        0        0
47328 linux-headers-4.9.0-0.bpo.2-common-rt	       0        1        1        0        0
47329 linux-headers-4.9.0-0.bpo.3-amd64  	       0        3        3        0        0
47330 linux-headers-4.9.0-0.bpo.3-common 	       0        3        3        0        0
47331 linux-headers-4.9.0-0.bpo.4-686-pae	       0        1        1        0        0
47332 linux-headers-4.9.0-0.bpo.4-common 	       0        1        1        0        0
47333 linux-headers-4.9.0-0.bpo.5-amd64  	       0        2        2        0        0
47334 linux-headers-4.9.0-0.bpo.5-common 	       0        2        2        0        0
47335 linux-headers-4.9.0-0.bpo.6-amd64  	       0        1        1        0        0
47336 linux-headers-4.9.0-0.bpo.6-common 	       0        1        1        0        0
47337 linux-headers-4.9.0-11-686         	       0        3        3        0        0
47338 linux-headers-4.9.0-11-686-pae     	       0        1        1        0        0
47339 linux-headers-4.9.0-11-all         	       0        2        0        0        2
47340 linux-headers-4.9.0-11-all-amd64   	       0        2        0        0        2
47341 linux-headers-4.9.0-11-amd64       	       0       17       17        0        0
47342 linux-headers-4.9.0-11-common      	       0       19       19        0        0
47343 linux-headers-4.9.0-11-common-rt   	       0        2        2        0        0
47344 linux-headers-4.9.0-11-rt-686-pae  	       0        1        1        0        0
47345 linux-headers-4.9.0-11-rt-amd64    	       0        2        2        0        0
47346 linux-headers-4.9.0-12-686         	       0        1        1        0        0
47347 linux-headers-4.9.0-12-686-pae     	       0        1        1        0        0
47348 linux-headers-4.9.0-12-all-amd64   	       0        1        0        0        1
47349 linux-headers-4.9.0-12-common-rt   	       0        1        1        0        0
47350 linux-headers-4.9.0-12-rt-amd64    	       0        1        1        0        0
47351 linux-headers-4.9.0-13-686-pae     	       0        7        7        0        0
47352 linux-headers-4.9.0-13-all         	       0        1        0        0        1
47353 linux-headers-4.9.0-13-all-amd64   	       0        1        0        0        1
47354 linux-headers-4.9.0-13-amd64       	       0        3        2        0        1
47355 linux-headers-4.9.0-13-common      	       0       10        9        0        1
47356 linux-headers-4.9.0-13-common-rt   	       0        1        1        0        0
47357 linux-headers-4.9.0-13-rt-amd64    	       0        1        1        0        0
47358 linux-headers-4.9.0-14-amd64       	       0        5        5        0        0
47359 linux-headers-4.9.0-14-common      	       0        5        5        0        0
47360 linux-headers-4.9.0-15-amd64       	       0        7        6        0        1
47361 linux-headers-4.9.0-15-common      	       0        7        6        0        1
47362 linux-headers-4.9.0-16-all-amd64   	       0        1        0        0        1
47363 linux-headers-4.9.0-16-amd64       	       0        6        6        0        0
47364 linux-headers-4.9.0-16-common      	       0        6        6        0        0
47365 linux-headers-4.9.0-16-common-rt   	       0        1        1        0        0
47366 linux-headers-4.9.0-16-rt-amd64    	       0        1        1        0        0
47367 linux-headers-4.9.0-17-all         	       0        1        0        0        1
47368 linux-headers-4.9.0-17-all-amd64   	       0        1        0        0        1
47369 linux-headers-4.9.0-17-amd64       	       0        7        7        0        0
47370 linux-headers-4.9.0-17-common      	       0        7        7        0        0
47371 linux-headers-4.9.0-17-common-rt   	       0        1        1        0        0
47372 linux-headers-4.9.0-17-rt-amd64    	       0        1        1        0        0
47373 linux-headers-4.9.0-18-amd64       	       0        7        7        0        0
47374 linux-headers-4.9.0-18-common      	       0        7        7        0        0
47375 linux-headers-4.9.0-19-686-pae     	       0        1        1        0        0
47376 linux-headers-4.9.0-19-amd64       	       0       11       11        0        0
47377 linux-headers-4.9.0-19-common      	       0       13       13        0        0
47378 linux-headers-4.9.0-4-amd64        	       0        2        2        0        0
47379 linux-headers-4.9.0-4-common       	       0        2        2        0        0
47380 linux-headers-4.9.0-6-686-pae      	       0        3        3        0        0
47381 linux-headers-4.9.0-6-amd64        	       0        8        8        0        0
47382 linux-headers-4.9.0-6-common       	       0       11       11        0        0
47383 linux-headers-4.9.0-7-amd64        	       0        4        4        0        0
47384 linux-headers-4.9.0-7-common       	       0        4        4        0        0
47385 linux-headers-4.9.0-8-686          	       0        1        1        0        0
47386 linux-headers-4.9.0-8-686-pae      	       0        1        1        0        0
47387 linux-headers-4.9.0-8-all          	       0        1        0        0        1
47388 linux-headers-4.9.0-8-all-amd64    	       0        2        0        0        2
47389 linux-headers-4.9.0-8-amd64        	       0       10       10        0        0
47390 linux-headers-4.9.0-8-common       	       0       12       12        0        0
47391 linux-headers-4.9.0-8-common-rt    	       0        2        2        0        0
47392 linux-headers-4.9.0-8-rt-amd64     	       0        2        2        0        0
47393 linux-headers-4.9.0-9-amd64        	       0       11       11        0        0
47394 linux-headers-4.9.0-9-common       	       0       12       12        0        0
47395 linux-headers-5.0.0                	       0        1        1        0        0
47396 linux-headers-5.1.0+               	       0        1        1        0        0
47397 linux-headers-5.1.0-20.1-liquorix-amd64	       0        1        1        0        0
47398 linux-headers-5.10-amd64           	       0        5        0        0        5
47399 linux-headers-5.10-rt-amd64        	       0        1        0        0        1
47400 linux-headers-5.10.0-0.bpo.11-amd64	       0        1        1        0        0
47401 linux-headers-5.10.0-0.bpo.11-common	       0        1        1        0        0
47402 linux-headers-5.10.0-0.bpo.12-amd64	       0        2        2        0        0
47403 linux-headers-5.10.0-0.bpo.12-common	       0        2        2        0        0
47404 linux-headers-5.10.0-0.bpo.12-common-rt	       0        1        1        0        0
47405 linux-headers-5.10.0-0.bpo.12-rt-amd64	       0        1        1        0        0
47406 linux-headers-5.10.0-0.bpo.15-amd64	       0        4        4        0        0
47407 linux-headers-5.10.0-0.bpo.15-common	       0        4        4        0        0
47408 linux-headers-5.10.0-0.bpo.5-amd64 	       0        2        2        0        0
47409 linux-headers-5.10.0-0.bpo.5-common	       0        3        3        0        0
47410 linux-headers-5.10.0-0.bpo.7-amd64 	       0        2        2        0        0
47411 linux-headers-5.10.0-0.bpo.7-common	       0        3        3        0        0
47412 linux-headers-5.10.0-0.bpo.9-amd64 	       0        1        1        0        0
47413 linux-headers-5.10.0-0.bpo.9-common	       0        1        1        0        0
47414 linux-headers-5.10.0-0.deb10.16-amd64	       0        1        1        0        0
47415 linux-headers-5.10.0-0.deb10.16-common	       0        2        2        0        0
47416 linux-headers-5.10.0-0.deb10.17-amd64	       0        2        2        0        0
47417 linux-headers-5.10.0-0.deb10.17-common	       0        2        2        0        0
47418 linux-headers-5.10.0-0.deb10.19-amd64	       0        2        2        0        0
47419 linux-headers-5.10.0-0.deb10.19-common	       0        2        2        0        0
47420 linux-headers-5.10.0-0.deb10.19-common-rt	       0        1        1        0        0
47421 linux-headers-5.10.0-0.deb10.19-rt-amd64	       0        1        1        0        0
47422 linux-headers-5.10.0-0.deb10.20-amd64	       0        1        1        0        0
47423 linux-headers-5.10.0-0.deb10.20-common	       0        1        1        0        0
47424 linux-headers-5.10.0-0.deb10.20-common-rt	       0        1        1        0        0
47425 linux-headers-5.10.0-0.deb10.20-rt-amd64	       0        1        1        0        0
47426 linux-headers-5.10.0-0.deb10.21-amd64	       0        1        1        0        0
47427 linux-headers-5.10.0-0.deb10.21-common	       0        1        1        0        0
47428 linux-headers-5.10.0-0.deb10.28-common-rt	       0        1        1        0        0
47429 linux-headers-5.10.0-0.deb10.28-rt-amd64	       0        1        1        0        0
47430 linux-headers-5.10.0-0.deb10.30-amd64	       0        1        1        0        0
47431 linux-headers-5.10.0-0.deb10.30-common	       0        1        1        0        0
47432 linux-headers-5.10.0-0.deb10.30-common-rt	       0        1        1        0        0
47433 linux-headers-5.10.0-0.deb10.30-rt-amd64	       0        1        1        0        0
47434 linux-headers-5.10.0-10-amd64      	       0       18       17        0        1
47435 linux-headers-5.10.0-10-common     	       0       20       19        0        1
47436 linux-headers-5.10.0-11-686        	       0        1        1        0        0
47437 linux-headers-5.10.0-11-686-pae    	       0        1        1        0        0
47438 linux-headers-5.10.0-11-amd64      	       0       15       15        0        0
47439 linux-headers-5.10.0-11-common     	       0       16       16        0        0
47440 linux-headers-5.10.0-12-amd64      	       0       11       11        0        0
47441 linux-headers-5.10.0-12-common     	       0       12       12        0        0
47442 linux-headers-5.10.0-13-amd64      	       0       11       11        0        0
47443 linux-headers-5.10.0-13-common     	       0       12       12        0        0
47444 linux-headers-5.10.0-14-amd64      	       0       16       16        0        0
47445 linux-headers-5.10.0-14-common     	       0       17       17        0        0
47446 linux-headers-5.10.0-15-amd64      	       0       10       10        0        0
47447 linux-headers-5.10.0-15-common     	       0       10       10        0        0
47448 linux-headers-5.10.0-16-amd64      	       0       17       17        0        0
47449 linux-headers-5.10.0-16-common     	       0       17       17        0        0
47450 linux-headers-5.10.0-17-686-pae    	       0        1        1        0        0
47451 linux-headers-5.10.0-17-amd64      	       0        7        7        0        0
47452 linux-headers-5.10.0-17-common     	       0        9        9        0        0
47453 linux-headers-5.10.0-18-amd64      	       0       11       10        0        1
47454 linux-headers-5.10.0-18-common     	       0       11       10        0        1
47455 linux-headers-5.10.0-19-686-pae    	       0        1        1        0        0
47456 linux-headers-5.10.0-19-amd64      	       0       17       17        0        0
47457 linux-headers-5.10.0-19-common     	       0       20       20        0        0
47458 linux-headers-5.10.0-20-686        	       0        1        1        0        0
47459 linux-headers-5.10.0-20-amd64      	       0       22       22        0        0
47460 linux-headers-5.10.0-20-common     	       0       23       23        0        0
47461 linux-headers-5.10.0-21-amd64      	       0       25       25        0        0
47462 linux-headers-5.10.0-21-common     	       0       25       25        0        0
47463 linux-headers-5.10.0-22-amd64      	       0       12       12        0        0
47464 linux-headers-5.10.0-22-common     	       0       13       13        0        0
47465 linux-headers-5.10.0-23-686-pae    	       0        1        1        0        0
47466 linux-headers-5.10.0-23-amd64      	       0       33       33        0        0
47467 linux-headers-5.10.0-23-arm64      	       0        1        1        0        0
47468 linux-headers-5.10.0-23-common     	       0       36       36        0        0
47469 linux-headers-5.10.0-24-686-pae    	       0        1        1        0        0
47470 linux-headers-5.10.0-24-amd64      	       0       10       10        0        0
47471 linux-headers-5.10.0-24-common     	       0       11       11        0        0
47472 linux-headers-5.10.0-25-amd64      	       0       26       26        0        0
47473 linux-headers-5.10.0-25-common     	       0       27       27        0        0
47474 linux-headers-5.10.0-26-686-pae    	       0        1        1        0        0
47475 linux-headers-5.10.0-26-amd64      	       0       39       38        0        1
47476 linux-headers-5.10.0-26-common     	       0       40       39        0        1
47477 linux-headers-5.10.0-27-686-pae    	       0        1        1        0        0
47478 linux-headers-5.10.0-27-amd64      	       0       18       18        0        0
47479 linux-headers-5.10.0-27-common     	       0       20       20        0        0
47480 linux-headers-5.10.0-28-amd64      	       0       29       29        0        0
47481 linux-headers-5.10.0-28-common     	       0       30       30        0        0
47482 linux-headers-5.10.0-29-686-pae    	       0        1        1        0        0
47483 linux-headers-5.10.0-29-amd64      	       0       13       13        0        0
47484 linux-headers-5.10.0-29-common     	       0       16       15        1        0
47485 linux-headers-5.10.0-3-amd64       	       0        1        1        0        0
47486 linux-headers-5.10.0-3-common      	       0        1        1        0        0
47487 linux-headers-5.10.0-30-686-pae    	       0        2        2        0        0
47488 linux-headers-5.10.0-30-amd64      	       0       20       20        0        0
47489 linux-headers-5.10.0-30-common     	       0       22       22        0        0
47490 linux-headers-5.10.0-31-amd64      	       0       13       13        0        0
47491 linux-headers-5.10.0-31-common     	       0       14       14        0        0
47492 linux-headers-5.10.0-32-686-pae    	       0        1        1        0        0
47493 linux-headers-5.10.0-32-amd64      	       0       40       40        0        0
47494 linux-headers-5.10.0-32-common     	       0       41       41        0        0
47495 linux-headers-5.10.0-33-686        	       0        1        1        0        0
47496 linux-headers-5.10.0-33-686-pae    	       0        2        2        0        0
47497 linux-headers-5.10.0-33-amd64      	       0       57       57        0        0
47498 linux-headers-5.10.0-33-common     	       0       59       59        0        0
47499 linux-headers-5.10.0-33-common-rt  	       0        1        1        0        0
47500 linux-headers-5.10.0-33-rt-amd64   	       0        1        1        0        0
47501 linux-headers-5.10.0-4-amd64       	       0        1        1        0        0
47502 linux-headers-5.10.0-4-common      	       0        1        1        0        0
47503 linux-headers-5.10.0-6-amd64       	       0        2        2        0        0
47504 linux-headers-5.10.0-6-common      	       0        3        3        0        0
47505 linux-headers-5.10.0-6-common-rt   	       0        1        1        0        0
47506 linux-headers-5.10.0-7-amd64       	       0        3        3        0        0
47507 linux-headers-5.10.0-7-common      	       0        5        5        0        0
47508 linux-headers-5.10.0-8-amd64       	       0       11       11        0        0
47509 linux-headers-5.10.0-8-common      	       0       12       12        0        0
47510 linux-headers-5.10.0-9-amd64       	       0       25       25        0        0
47511 linux-headers-5.10.0-9-common      	       0       26       26        0        0
47512 linux-headers-5.10.41+             	       0        1        1        0        0
47513 linux-headers-5.14.0-0.bpo.2-amd64 	       0        2        2        0        0
47514 linux-headers-5.14.0-0.bpo.2-common	       0        2        2        0        0
47515 linux-headers-5.14.0-4-common      	       0        1        1        0        0
47516 linux-headers-5.15.0               	       0        1        1        0        0
47517 linux-headers-5.15.0-0.bpo.2-686-pae	       0        1        1        0        0
47518 linux-headers-5.15.0-0.bpo.2-amd64 	       0        1        1        0        0
47519 linux-headers-5.15.0-0.bpo.2-common	       0        2        2        0        0
47520 linux-headers-5.15.0-0.bpo.3-common	       0        1        0        0        1
47521 linux-headers-5.15.0-2-amd64       	       0        1        1        0        0
47522 linux-headers-5.15.0-2-common      	       0        2        2        0        0
47523 linux-headers-5.15.0-3-common      	       0        1        1        0        0
47524 linux-headers-5.15.173-gnu         	       0        1        1        0        0
47525 linux-headers-5.15.25-atom         	       0        1        1        0        0
47526 linux-headers-5.15.29-gnu          	       0        1        1        0        0
47527 linux-headers-5.15.32              	       0        1        1        0        0
47528 linux-headers-5.16.0-0.bpo.3-amd64 	       0        1        1        0        0
47529 linux-headers-5.16.0-0.bpo.3-common	       0        1        1        0        0
47530 linux-headers-5.16.0-0.bpo.4-amd64 	       0        3        3        0        0
47531 linux-headers-5.16.0-0.bpo.4-common	       0        4        3        0        1
47532 linux-headers-5.16.0-1-amd64       	       0        1        1        0        0
47533 linux-headers-5.16.0-1-common      	       0        1        1        0        0
47534 linux-headers-5.16.0-3-amd64       	       0        1        1        0        0
47535 linux-headers-5.16.0-3-common      	       0        1        1        0        0
47536 linux-headers-5.16.0-4-amd64       	       0        1        1        0        0
47537 linux-headers-5.16.0-4-common      	       0        1        1        0        0
47538 linux-headers-5.16.0-5-amd64       	       0        1        1        0        0
47539 linux-headers-5.16.0-5-common      	       0        2        2        0        0
47540 linux-headers-5.16.0-6-amd64       	       0        2        2        0        0
47541 linux-headers-5.16.0-6-common      	       0        2        2        0        0
47542 linux-headers-5.17.0-1-amd64       	       0        1        1        0        0
47543 linux-headers-5.17.0-1-common      	       0        1        1        0        0
47544 linux-headers-5.17.0-3-amd64       	       0        1        1        0        0
47545 linux-headers-5.17.0-3-common      	       0        1        1        0        0
47546 linux-headers-5.17.8               	       0        1        1        0        0
47547 linux-headers-5.18.0-0.bpo.1-amd64 	       0        2        2        0        0
47548 linux-headers-5.18.0-0.bpo.1-common	       0        3        3        0        0
47549 linux-headers-5.18.0-0.deb11.4-amd64	       0        2        2        0        0
47550 linux-headers-5.18.0-0.deb11.4-common	       0        2        2        0        0
47551 linux-headers-5.18.0-2-amd64       	       0        3        3        0        0
47552 linux-headers-5.18.0-2-common      	       0        3        3        0        0
47553 linux-headers-5.18.0-2-common-rt   	       0        1        1        0        0
47554 linux-headers-5.18.0-2-rt-amd64    	       0        1        1        0        0
47555 linux-headers-5.18.0-3-amd64       	       0        1        1        0        0
47556 linux-headers-5.18.0-3-common      	       0        1        1        0        0
47557 linux-headers-5.18.0-4-amd64       	       0        1        1        0        0
47558 linux-headers-5.18.0-4-common      	       0        1        1        0        0
47559 linux-headers-5.18.0-4-common-rt   	       0        1        1        0        0
47560 linux-headers-5.18.0-4-rt-amd64    	       0        1        1        0        0
47561 linux-headers-5.19.0-0.deb11.2-amd64	       0        2        2        0        0
47562 linux-headers-5.19.0-0.deb11.2-common	       0        2        2        0        0
47563 linux-headers-5.19.0-2-amd64       	       0        1        1        0        0
47564 linux-headers-5.19.0-2-common      	       0        1        1        0        0
47565 linux-headers-5.2.0-2-common       	       0        1        1        0        0
47566 linux-headers-5.2.1-diglator.15    	       0        1        1        0        0
47567 linux-headers-5.2.13-19.09.09.amdgpu	       0        1        1        0        0
47568 linux-headers-5.4.0-0.bpo.2-common 	       0        1        1        0        0
47569 linux-headers-5.4.0-0.bpo.3-amd64  	       0        1        1        0        0
47570 linux-headers-5.4.0-0.bpo.3-common 	       0        1        1        0        0
47571 linux-headers-5.4.0-0.bpo.4-common 	       0        1        1        0        0
47572 linux-headers-5.4.0-0.bpo.4-common-rt	       0        1        1        0        0
47573 linux-headers-5.4.0-0.bpo.4-rt-amd64	       0        1        1        0        0
47574 linux-headers-5.4.0-1692312122121  	       0        1        1        0        0
47575 linux-headers-5.4.0-1692312122121-generic	       0        1        1        0        0
47576 linux-headers-5.4.0-1862405280420  	       0        1        1        0        0
47577 linux-headers-5.4.0-1862405280420-generic	       0        1        1        0        0
47578 linux-headers-5.4.0-4-amd64        	       0        2        2        0        0
47579 linux-headers-5.4.0-4-common       	       0        2        2        0        0
47580 linux-headers-5.4.13               	       0        1        1        0        0
47581 linux-headers-5.4.51-v8+           	       0        1        1        0        0
47582 linux-headers-5.6.0-0.bpo.2-common 	       0        1        1        0        0
47583 linux-headers-5.6.0-1-amd64        	       0        1        1        0        0
47584 linux-headers-5.6.0-1-common       	       0        1        1        0        0
47585 linux-headers-5.6.0-2-amd64        	       0        1        1        0        0
47586 linux-headers-5.6.0-2-common       	       0        1        1        0        0
47587 linux-headers-5.7.0-0.bpo.2-amd64  	       0        1        1        0        0
47588 linux-headers-5.7.0-0.bpo.2-common 	       0        2        2        0        0
47589 linux-headers-5.7.0-2-amd64        	       0        1        1        0        0
47590 linux-headers-5.7.0-2-common       	       0        1        1        0        0
47591 linux-headers-5.8.0-0.bpo.2-amd64  	       0        1        1        0        0
47592 linux-headers-5.8.0-0.bpo.2-common 	       0        1        1        0        0
47593 linux-headers-5.8.0-1-amd64        	       0        1        1        0        0
47594 linux-headers-5.8.0-1-common       	       0        1        1        0        0
47595 linux-headers-5.8.0-2-amd64        	       0        1        1        0        0
47596 linux-headers-5.8.0-2-common       	       0        1        1        0        0
47597 linux-headers-5.9.0-0.bpo.5-common-rt	       0        1        1        0        0
47598 linux-headers-5.9.0-1-amd64        	       0        1        1        0        0
47599 linux-headers-5.9.0-1-common       	       0        1        1        0        0
47600 linux-headers-5.9.0-3-amd64        	       0        1        1        0        0
47601 linux-headers-5.9.0-3-common       	       0        1        1        0        0
47602 linux-headers-5.9.0-5-amd64        	       0        1        1        0        0
47603 linux-headers-5.9.0-5-common       	       0        1        1        0        0
47604 linux-headers-6.0.0-0.deb11.2-common	       0        1        1        0        0
47605 linux-headers-6.0.0-0.deb11.6-amd64	       0        2        2        0        0
47606 linux-headers-6.0.0-0.deb11.6-common	       0        2        2        0        0
47607 linux-headers-6.0.0-1-amd64        	       0        1        1        0        0
47608 linux-headers-6.0.0-1-common       	       0        1        1        0        0
47609 linux-headers-6.0.0-2-common       	       0        1        1        0        0
47610 linux-headers-6.0.0-3-amd64        	       0        1        1        0        0
47611 linux-headers-6.0.0-3-common       	       0        1        1        0        0
47612 linux-headers-6.0.0-6-amd64        	       0        2        2        0        0
47613 linux-headers-6.0.0-6-common       	       0        2        2        0        0
47614 linux-headers-6.0.11               	       0        1        1        0        0
47615 linux-headers-6.0.9-surface        	       0        1        1        0        0
47616 linux-headers-6.1-amd64            	       0        1        0        0        1
47617 linux-headers-6.1.0-0.deb11.13-common-rt	       0        1        1        0        0
47618 linux-headers-6.1.0-0.deb11.13-rt-amd64	       0        1        1        0        0
47619 linux-headers-6.1.0-0.deb11.18-amd64	       0        1        1        0        0
47620 linux-headers-6.1.0-0.deb11.18-common	       0        1        1        0        0
47621 linux-headers-6.1.0-0.deb11.22-amd64	       0        1        1        0        0
47622 linux-headers-6.1.0-0.deb11.22-common	       0        1        1        0        0
47623 linux-headers-6.1.0-0.deb11.28-amd64	       0        1        1        0        0
47624 linux-headers-6.1.0-0.deb11.28-common	       0        1        1        0        0
47625 linux-headers-6.1.0-0.deb11.31-amd64	       0        1        0        1        0
47626 linux-headers-6.1.0-0.deb11.31-common	       0        1        0        1        0
47627 linux-headers-6.1.0-0.deb11.5-amd64	       0        2        2        0        0
47628 linux-headers-6.1.0-0.deb11.5-common	       0        3        3        0        0
47629 linux-headers-6.1.0-0.deb11.6-amd64	       0        1        1        0        0
47630 linux-headers-6.1.0-0.deb11.6-common	       0        2        2        0        0
47631 linux-headers-6.1.0-0.deb11.7-amd64	       0        3        3        0        0
47632 linux-headers-6.1.0-0.deb11.7-common	       0        4        4        0        0
47633 linux-headers-6.1.0-1-amd64        	       0        1        1        0        0
47634 linux-headers-6.1.0-1-common       	       0        1        1        0        0
47635 linux-headers-6.1.0-10-amd64       	       0       13       13        0        0
47636 linux-headers-6.1.0-10-common      	       0       14       14        0        0
47637 linux-headers-6.1.0-12-amd64       	       0       23       23        0        0
47638 linux-headers-6.1.0-12-cloud-amd64 	       0        1        1        0        0
47639 linux-headers-6.1.0-12-common      	       0       24       24        0        0
47640 linux-headers-6.1.0-12-common-rt   	       0        1        1        0        0
47641 linux-headers-6.1.0-12-rt-amd64    	       0        1        1        0        0
47642 linux-headers-6.1.0-13-686         	       0        1        1        0        0
47643 linux-headers-6.1.0-13-686-pae     	       0        1        1        0        0
47644 linux-headers-6.1.0-13-amd64       	       0       39       39        0        0
47645 linux-headers-6.1.0-13-cloud-amd64 	       0        1        1        0        0
47646 linux-headers-6.1.0-13-common      	       0       42       42        0        0
47647 linux-headers-6.1.0-13-common-rt   	       0        1        1        0        0
47648 linux-headers-6.1.0-13-rt-amd64    	       0        1        1        0        0
47649 linux-headers-6.1.0-14-amd64       	       0        3        3        0        0
47650 linux-headers-6.1.0-14-common      	       0        3        3        0        0
47651 linux-headers-6.1.0-15-amd64       	       0        8        8        0        0
47652 linux-headers-6.1.0-15-common      	       0        9        9        0        0
47653 linux-headers-6.1.0-16-amd64       	       0       16       16        0        0
47654 linux-headers-6.1.0-16-common      	       0       17       17        0        0
47655 linux-headers-6.1.0-17-cloud-amd64 	       0        1        1        0        0
47656 linux-headers-6.1.0-17-common-rt   	       0        2        2        0        0
47657 linux-headers-6.1.0-17-rt-amd64    	       0        2        2        0        0
47658 linux-headers-6.1.0-18-686-pae     	       0        1        1        0        0
47659 linux-headers-6.1.0-18-amd64       	       0       64       64        0        0
47660 linux-headers-6.1.0-18-common      	       0       65       65        0        0
47661 linux-headers-6.1.0-2-amd64        	       0        1        1        0        0
47662 linux-headers-6.1.0-2-common       	       0        1        1        0        0
47663 linux-headers-6.1.0-2.frea-amd64   	       0        1        1        0        0
47664 linux-headers-6.1.0-2.frea-common  	       0        1        1        0        0
47665 linux-headers-6.1.0-21-amd64       	       0       69       69        0        0
47666 linux-headers-6.1.0-21-cloud-amd64 	       0        1        1        0        0
47667 linux-headers-6.1.0-21-common      	       0       72       72        0        0
47668 linux-headers-6.1.0-21-common-rt   	       0        1        1        0        0
47669 linux-headers-6.1.0-21-rt-amd64    	       0        1        1        0        0
47670 linux-headers-6.1.0-22-cloud-amd64 	       0        1        1        0        0
47671 linux-headers-6.1.0-22-common-rt   	       0        1        1        0        0
47672 linux-headers-6.1.0-22-rt-amd64    	       0        1        1        0        0
47673 linux-headers-6.1.0-23-cloud-amd64 	       0        1        1        0        0
47674 linux-headers-6.1.0-23-common-rt   	       0        2        2        0        0
47675 linux-headers-6.1.0-23-rt-amd64    	       0        2        2        0        0
47676 linux-headers-6.1.0-25-686-pae     	       0        2        2        0        0
47677 linux-headers-6.1.0-25-amd64       	       0      125      124        0        1
47678 linux-headers-6.1.0-25-cloud-amd64 	       0        1        1        0        0
47679 linux-headers-6.1.0-25-common-rt   	       0        1        1        0        0
47680 linux-headers-6.1.0-25-powerpc64le 	       0        1        1        0        0
47681 linux-headers-6.1.0-25-rt-amd64    	       0        1        1        0        0
47682 linux-headers-6.1.0-26-686         	       0        1        1        0        0
47683 linux-headers-6.1.0-26-686-pae     	       0        2        2        0        0
47684 linux-headers-6.1.0-26-amd64       	       0      133      132        0        1
47685 linux-headers-6.1.0-26-cloud-amd64 	       0        1        1        0        0
47686 linux-headers-6.1.0-26-common      	       0      137      136        0        1
47687 linux-headers-6.1.0-26-common-rt   	       0        1        1        0        0
47688 linux-headers-6.1.0-26-rt-amd64    	       0        1        1        0        0
47689 linux-headers-6.1.0-27-686-pae     	       0        1        1        0        0
47690 linux-headers-6.1.0-28-686         	       0        1        1        0        0
47691 linux-headers-6.1.0-28-686-pae     	       0        3        3        0        0
47692 linux-headers-6.1.0-28-arm64       	       0        1        1        0        0
47693 linux-headers-6.1.0-29-amd64       	       0       47       45        2        0
47694 linux-headers-6.1.0-29-common      	       0       48       46        2        0
47695 linux-headers-6.1.0-29-powerpc64le 	       0        1        1        0        0
47696 linux-headers-6.1.0-3-amd64        	       0        1        1        0        0
47697 linux-headers-6.1.0-3-common       	       0        2        2        0        0
47698 linux-headers-6.1.0-30-686         	       0        1        1        0        0
47699 linux-headers-6.1.0-30-686-pae     	       0        1        1        0        0
47700 linux-headers-6.1.0-31-686-pae     	       0        2        1        1        0
47701 linux-headers-6.1.0-31-arm64       	       0        1        1        0        0
47702 linux-headers-6.1.0-32-686-pae     	       0        1        0        1        0
47703 linux-headers-6.1.0-32-amd64       	       0       40        0       40        0
47704 linux-headers-6.1.0-32-common      	       0       42        0       41        1
47705 linux-headers-6.1.0-4-amd64        	       0        1        1        0        0
47706 linux-headers-6.1.0-4-common       	       0        1        1        0        0
47707 linux-headers-6.1.0-5-amd64        	       0        2        2        0        0
47708 linux-headers-6.1.0-5-common       	       0        2        2        0        0
47709 linux-headers-6.1.0-6-amd64        	       0        3        3        0        0
47710 linux-headers-6.1.0-6-common       	       0        3        3        0        0
47711 linux-headers-6.1.0-7-amd64        	       0        7        7        0        0
47712 linux-headers-6.1.0-7-common       	       0        8        8        0        0
47713 linux-headers-6.1.0-9-amd64        	       0        7        7        0        0
47714 linux-headers-6.1.0-9-common       	       0        8        8        0        0
47715 linux-headers-6.1.0-odroid-arm64   	       0        1        1        0        0
47716 linux-headers-6.1.0-odroid-common  	       0        1        1        0        0
47717 linux-headers-6.1.115-326          	       0        1        1        0        0
47718 linux-headers-6.1.119-327          	       0        1        1        0        0
47719 linux-headers-6.1.62-gnu           	       0        1        1        0        0
47720 linux-headers-6.1.96-319           	       0        1        1        0        0
47721 linux-headers-6.10.10-2-liquorix-amd64	       0        1        1        0        0
47722 linux-headers-6.10.10-x64v1-xanmod1	       0        1        1        0        0
47723 linux-headers-6.10.11+bpo-amd64    	       0       10       10        0        0
47724 linux-headers-6.10.11+bpo-common   	       0       11       11        0        0
47725 linux-headers-6.10.11+bpo-common-rt	       0        1        1        0        0
47726 linux-headers-6.10.11+bpo-rt-amd64 	       0        1        1        0        0
47727 linux-headers-6.10.11-amd64        	       0        6        6        0        0
47728 linux-headers-6.10.11-common       	       0        6        6        0        0
47729 linux-headers-6.10.11-x64v1-xanmod1	       0        1        1        0        0
47730 linux-headers-6.10.12-amd64        	       0        1        1        0        0
47731 linux-headers-6.10.12-common       	       0        1        1        0        0
47732 linux-headers-6.10.3-common        	       0        1        1        0        0
47733 linux-headers-6.10.4-amd64         	       0        1        1        0        0
47734 linux-headers-6.10.4-common        	       0        1        1        0        0
47735 linux-headers-6.10.6+bpo-amd64     	       0        9        9        0        0
47736 linux-headers-6.10.6+bpo-common    	       0        9        9        0        0
47737 linux-headers-6.10.6-2-liquorix-amd64	       0        1        1        0        0
47738 linux-headers-6.10.6-amd64         	       0        4        4        0        0
47739 linux-headers-6.10.6-common        	       0        4        4        0        0
47740 linux-headers-6.10.7-amd64         	       0        1        1        0        0
47741 linux-headers-6.10.7-common        	       0        2        2        0        0
47742 linux-headers-6.10.9-amd64         	       0        5        5        0        0
47743 linux-headers-6.10.9-common        	       0        5        5        0        0
47744 linux-headers-6.11.10+bpo-common-rt	       0        1        1        0        0
47745 linux-headers-6.11.10+bpo-rt-amd64 	       0        1        1        0        0
47746 linux-headers-6.11.10-1-liquorix-amd64	       0        1        1        0        0
47747 linux-headers-6.11.10-amd64        	       0        9        9        0        0
47748 linux-headers-6.11.10-common       	       0        9        9        0        0
47749 linux-headers-6.11.4-amd64         	       0        6        6        0        0
47750 linux-headers-6.11.4-common        	       0        6        6        0        0
47751 linux-headers-6.11.5+bpo-amd64     	       0        7        7        0        0
47752 linux-headers-6.11.5+bpo-common    	       0        8        8        0        0
47753 linux-headers-6.11.5-1-liquorix-amd64	       0        1        1        0        0
47754 linux-headers-6.11.5-amd64         	       0        4        4        0        0
47755 linux-headers-6.11.5-common        	       0        4        4        0        0
47756 linux-headers-6.11.7-2-liquorix-amd64	       0        1        1        0        0
47757 linux-headers-6.11.7-amd64         	       0        4        4        0        0
47758 linux-headers-6.11.7-common        	       0        4        4        0        0
47759 linux-headers-6.11.9-amd64         	       0        4        4        0        0
47760 linux-headers-6.11.9-common        	       0        4        4        0        0
47761 linux-headers-6.12.11-2-liquorix-amd64	       0        1        1        0        0
47762 linux-headers-6.12.11-amd64        	       0        9        9        0        0
47763 linux-headers-6.12.11-common       	       0        9        9        0        0
47764 linux-headers-6.12.11-common-rt    	       0        1        1        0        0
47765 linux-headers-6.12.11-rt-amd64     	       0        1        1        0        0
47766 linux-headers-6.12.12+bpo-amd64    	       0        6        0        6        0
47767 linux-headers-6.12.12+bpo-common   	       0        6        0        6        0
47768 linux-headers-6.12.12-common-rt    	       0        1        1        0        0
47769 linux-headers-6.12.12-custom       	       0        1        0        1        0
47770 linux-headers-6.12.12-rt-amd64     	       0        1        1        0        0
47771 linux-headers-6.12.15-amd64        	       0        2        2        0        0
47772 linux-headers-6.12.15-common       	       0        2        2        0        0
47773 linux-headers-6.12.15-rt-xanmod1   	       0        1        1        0        0
47774 linux-headers-6.12.16-amd64        	       0        3        1        2        0
47775 linux-headers-6.12.16-common       	       0        3        1        2        0
47776 linux-headers-6.12.19-amd64        	       0        2        0        2        0
47777 linux-headers-6.12.19-common       	       0        2        0        2        0
47778 linux-headers-6.12.3-amd64         	       0        1        1        0        0
47779 linux-headers-6.12.3-common        	       0        1        1        0        0
47780 linux-headers-6.12.5-amd64         	       0        3        3        0        0
47781 linux-headers-6.12.5-common        	       0        3        3        0        0
47782 linux-headers-6.12.6-1-liquorix-amd64	       0        1        1        0        0
47783 linux-headers-6.12.6-amd64         	       0       10       10        0        0
47784 linux-headers-6.12.6-cloud-amd64   	       0        1        1        0        0
47785 linux-headers-6.12.6-common        	       0       10       10        0        0
47786 linux-headers-6.12.6-common-rt     	       0        1        1        0        0
47787 linux-headers-6.12.6-rt-amd64      	       0        1        1        0        0
47788 linux-headers-6.12.7-2-liquorix-amd64	       0        1        1        0        0
47789 linux-headers-6.12.7-x64v3-xanmod1 	       0        1        1        0        0
47790 linux-headers-6.12.8-1-liquorix-amd64	       0        1        1        0        0
47791 linux-headers-6.12.8-amd64         	       0        1        1        0        0
47792 linux-headers-6.12.8-common        	       0        1        1        0        0
47793 linux-headers-6.12.8-x64v3-xanmod1 	       0        1        1        0        0
47794 linux-headers-6.12.9-1-liquorix-amd64	       0        1        1        0        0
47795 linux-headers-6.12.9-amd64         	       0        5        5        0        0
47796 linux-headers-6.12.9-common        	       0        6        6        0        0
47797 linux-headers-6.13.1-x64v3-xanmod1 	       0        1        1        0        0
47798 linux-headers-6.13.2-x64v3-xanmod1 	       0        1        1        0        0
47799 linux-headers-6.13.5-xanmod1       	       0        1        0        1        0
47800 linux-headers-6.13.6-zabbly+       	       0        2        0        2        0
47801 linux-headers-6.13.7-julises       	       0        1        0        1        0
47802 linux-headers-6.3.0-1-amd64        	       0        1        1        0        0
47803 linux-headers-6.3.0-1-common       	       0        1        1        0        0
47804 linux-headers-6.3.0-2-amd64        	       0        2        2        0        0
47805 linux-headers-6.3.0-2-common       	       0        2        2        0        0
47806 linux-headers-6.4.0-0.deb12.2-amd64	       0        2        2        0        0
47807 linux-headers-6.4.0-0.deb12.2-common	       0        2        2        0        0
47808 linux-headers-6.4.0-2-amd64        	       0        1        1        0        0
47809 linux-headers-6.4.0-2-common       	       0        1        1        0        0
47810 linux-headers-6.4.0-3-amd64        	       0        1        1        0        0
47811 linux-headers-6.4.0-3-common       	       0        1        1        0        0
47812 linux-headers-6.4.0-4-amd64        	       0        1        1        0        0
47813 linux-headers-6.4.0-4-common       	       0        1        1        0        0
47814 linux-headers-6.4.4                	       0        1        0        0        1
47815 linux-headers-6.5.0-0.deb12.1-amd64	       0        2        2        0        0
47816 linux-headers-6.5.0-0.deb12.1-common	       0        2        2        0        0
47817 linux-headers-6.5.0-1-amd64        	       0        3        3        0        0
47818 linux-headers-6.5.0-1-common       	       0        3        3        0        0
47819 linux-headers-6.5.0-2-amd64        	       0        1        1        0        0
47820 linux-headers-6.5.0-2-common       	       0        1        1        0        0
47821 linux-headers-6.5.0-4-amd64        	       0        1        1        0        0
47822 linux-headers-6.5.0-4-common       	       0        1        1        0        0
47823 linux-headers-6.5.0-5-amd64        	       0        1        1        0        0
47824 linux-headers-6.5.0-5-common       	       0        2        2        0        0
47825 linux-headers-6.6.0                	       0        1        1        0        0
47826 linux-headers-6.6.0-odroid-arm64   	       0        1        1        0        0
47827 linux-headers-6.6.0-odroid-common  	       0        1        1        0        0
47828 linux-headers-6.6.11-amd64         	       0        1        1        0        0
47829 linux-headers-6.6.11-common        	       0        1        1        0        0
47830 linux-headers-6.6.13+bpo-amd64     	       0        5        5        0        0
47831 linux-headers-6.6.13+bpo-common    	       0        5        5        0        0
47832 linux-headers-6.6.13-amd64         	       0        1        1        0        0
47833 linux-headers-6.6.13-common        	       0        1        1        0        0
47834 linux-headers-6.6.15-amd64         	       0        1        1        0        0
47835 linux-headers-6.6.15-common        	       0        1        1        0        0
47836 linux-headers-6.6.30-rt30-x64v3-xanmod1	       0        1        1        0        0
47837 linux-headers-6.6.30-x64v3-xanmod1 	       0        1        1        0        0
47838 linux-headers-6.6.31-rt31-x64v3-xanmod1	       0        1        1        0        0
47839 linux-headers-6.6.31-x64v3-xanmod1 	       0        1        1        0        0
47840 linux-headers-6.6.40-x64v3-xanmod1 	       0        1        1        0        0
47841 linux-headers-6.6.49-gnu           	       0        1        1        0        0
47842 linux-headers-6.6.52-rt43-x64v3-xanmod1	       0        1        1        0        0
47843 linux-headers-6.6.58               	       0        1        1        0        0
47844 linux-headers-6.6.58-x64v1-xanmod1 	       0        1        1        0        0
47845 linux-headers-6.6.63-x64v1-xanmod1 	       0        1        1        0        0
47846 linux-headers-6.6.8-amd64          	       0        1        1        0        0
47847 linux-headers-6.6.8-common         	       0        1        1        0        0
47848 linux-headers-6.6.9-amd64          	       0        1        1        0        0
47849 linux-headers-6.6.9-common         	       0        1        1        0        0
47850 linux-headers-6.7.12+bpo-amd64     	       0        1        1        0        0
47851 linux-headers-6.7.12+bpo-common    	       0        1        1        0        0
47852 linux-headers-6.7.12-1-liquorix-amd64	       0        1        0        1        0
47853 linux-headers-6.7.12-amd64         	       0        1        1        0        0
47854 linux-headers-6.7.12-common        	       0        1        1        0        0
47855 linux-headers-6.7.9-amd64          	       0        1        1        0        0
47856 linux-headers-6.7.9-common         	       0        1        1        0        0
47857 linux-headers-6.8.12-amd64         	       0        4        4        0        0
47858 linux-headers-6.8.12-common        	       0        4        4        0        0
47859 linux-headers-6.8.9-amd64          	       0        1        1        0        0
47860 linux-headers-6.8.9-common         	       0        1        1        0        0
47861 linux-headers-6.8.9-x64v3-xanmod1  	       0        1        1        0        0
47862 linux-headers-6.9.10+bpo-amd64     	       0        4        4        0        0
47863 linux-headers-6.9.10+bpo-common    	       0        4        4        0        0
47864 linux-headers-6.9.10-amd64         	       0        1        1        0        0
47865 linux-headers-6.9.10-common        	       0        1        1        0        0
47866 linux-headers-6.9.10-x64v3-xanmod1 	       0        2        2        0        0
47867 linux-headers-6.9.11-1-liquorix-amd64	       0        1        1        0        0
47868 linux-headers-6.9.12-2-liquorix-amd64	       0        1        1        0        0
47869 linux-headers-6.9.12-amd64         	       0        1        1        0        0
47870 linux-headers-6.9.12-common        	       0        1        1        0        0
47871 linux-headers-6.9.6-kirkwood-tld-1 	       0        1        1        0        0
47872 linux-headers-6.9.7-amd64          	       0        1        1        0        0
47873 linux-headers-6.9.7-common         	       0        1        1        0        0
47874 linux-headers-6.9.8-amd64          	       0        2        2        0        0
47875 linux-headers-6.9.8-common         	       0        2        2        0        0
47876 linux-headers-6.9.9-x64v3-xanmod1  	       0        1        1        0        0
47877 linux-headers-686                  	       0        3        0        0        3
47878 linux-headers-686-pae              	       0       17        0        0       17
47879 linux-headers-amd64                	       0      844        0        0      844
47880 linux-headers-arm64                	       0        2        0        0        2
47881 linux-headers-bcm2709-rpi-2+3      	       0        1        1        0        0
47882 linux-headers-liquorix-amd64       	       0        5        0        0        5
47883 linux-headers-powerpc64le          	       0        1        0        0        1
47884 linux-headers-rt-amd64             	       0        1        0        0        1
47885 linux-headers-vserver-3.18-beng    	       0        2        0        0        2
47886 linux-headers-vserver-4.4-beng     	       0        6        0        0        6
47887 linux-headers-vserver-4.9-beng     	       0        5        0        0        5
47888 linux-image-2.6-amd64              	       0        8        0        0        8
47889 linux-image-2.6.26-486-voyage      	       0        1        1        0        0
47890 linux-image-2.6.32-5-486           	       0        1        1        0        0
47891 linux-image-3.12-0.bpo.1-amd64     	       0        1        1        0        0
47892 linux-image-3.14-0.bpo.2-amd64     	       0        1        1        0        0
47893 linux-image-3.16-0.bpo.2-686-pae   	       0        1        1        0        0
47894 linux-image-3.16.0-0.bpo.4-586     	       0        1        1        0        0
47895 linux-image-3.16.0-0.bpo.4-amd64   	       0        3        1        0        2
47896 linux-image-3.16.0-10-586          	       0        1        1        0        0
47897 linux-image-3.16.0-10-686-pae      	       0        2        2        0        0
47898 linux-image-3.16.0-10-amd64        	       0       10       10        0        0
47899 linux-image-3.16.0-11-686-pae      	       0        2        2        0        0
47900 linux-image-3.16.0-11-amd64        	       0        9        9        0        0
47901 linux-image-3.16.0-4-586           	       0        1        1        0        0
47902 linux-image-3.16.0-4-686-pae       	       0        6        5        0        1
47903 linux-image-3.16.0-4-amd64-dbg     	       0        1        1        0        0
47904 linux-image-3.16.0-5-586           	       0        1        1        0        0
47905 linux-image-3.16.0-5-686-pae       	       0        1        1        0        0
47906 linux-image-3.16.0-6-586           	       0        1        1        0        0
47907 linux-image-3.16.0-6-686-pae       	       0        3        3        0        0
47908 linux-image-3.16.0-7-586           	       0        1        1        0        0
47909 linux-image-3.16.0-7-686-pae       	       0        1        1        0        0
47910 linux-image-3.16.0-7-amd64         	       0        7        7        0        0
47911 linux-image-3.16.0-8-586           	       0        1        1        0        0
47912 linux-image-3.16.0-8-amd64         	       0        2        1        0        1
47913 linux-image-3.16.0-9-586           	       0        1        1        0        0
47914 linux-image-3.16.0-9-amd64         	       0        1        1        0        0
47915 linux-image-3.16.42-amd-server-64bit	       0        1        1        0        0
47916 linux-image-3.16.43-intel-server-64bit	       0        1        1        0        0
47917 linux-image-3.18.91-vs2.3.7.5-beng 	       0        2        2        0        0
47918 linux-image-3.18.98-vs2.3.7.5-beng 	       0        1        1        0        0
47919 linux-image-3.2.0-4-486            	       0        1        1        0        0
47920 linux-image-3.2.0-4-686-pae        	       0        2        2        0        0
47921 linux-image-3.9-1-amd64            	       0        1        1        0        0
47922 linux-image-4.1.39-ck2-nooesxi     	       0        2        2        0        0
47923 linux-image-4.10.0-rc6-amd64       	       0        1        1        0        0
47924 linux-image-4.14.0-0.bpo.2-amd64   	       0        1        1        0        0
47925 linux-image-4.14.0-0.bpo.3-amd64   	       0        1        1        0        0
47926 linux-image-4.14.0-rc4             	       0        1        0        0        1
47927 linux-image-4.14.0-rc6-snow        	       0        1        0        0        1
47928 linux-image-4.15.0-rc1-snow        	       0        1        0        0        1
47929 linux-image-4.15.9.64              	       0        1        1        0        0
47930 linux-image-4.16.0-0.bpo.2-amd64   	       0        1        1        0        0
47931 linux-image-4.16.0-rc5-snow        	       0        1        0        0        1
47932 linux-image-4.18.0-0.bpo.1-amd64   	       0        1        1        0        0
47933 linux-image-4.18.0-2-amd64         	       0        2        2        0        0
47934 linux-image-4.19-amd64             	       0        3        0        0        3
47935 linux-image-4.19.0-0.bpo.1-amd64   	       0        1        1        0        0
47936 linux-image-4.19.0-0.bpo.14-amd64  	       0        1        1        0        0
47937 linux-image-4.19.0-0.bpo.2-amd64   	       0        1        1        0        0
47938 linux-image-4.19.0-0.bpo.2-amd64-unsigned	       0        1        1        0        0
47939 linux-image-4.19.0-0.bpo.6-amd64   	       0        2        2        0        0
47940 linux-image-4.19.0-10-amd64        	       0       18       17        0        1
47941 linux-image-4.19.0-11-amd64        	       0        9        8        0        1
47942 linux-image-4.19.0-11-armmp        	       0        1        1        0        0
47943 linux-image-4.19.0-12-amd64        	       0       14       13        0        1
47944 linux-image-4.19.0-12-armmp        	       0        1        1        0        0
47945 linux-image-4.19.0-12-rt-amd64-unsigned	       0        1        1        0        0
47946 linux-image-4.19.0-13-amd64        	       0       27       26        0        1
47947 linux-image-4.19.0-14-686          	       0        9        9        0        0
47948 linux-image-4.19.0-14-amd64        	       0       65       63        1        1
47949 linux-image-4.19.0-14-rt-amd64     	       0        1        0        0        1
47950 linux-image-4.19.0-16-686          	       0        1        1        0        0
47951 linux-image-4.19.0-16-amd64        	       0       34       33        0        1
47952 linux-image-4.19.0-17-686          	       0        2        2        0        0
47953 linux-image-4.19.0-17-686-pae      	       0        1        1        0        0
47954 linux-image-4.19.0-18-686          	       0        3        3        0        0
47955 linux-image-4.19.0-18-amd64        	       0       50       48        0        2
47956 linux-image-4.19.0-19-amd64        	       0        8        8        0        0
47957 linux-image-4.19.0-20-amd64        	       0       30       30        0        0
47958 linux-image-4.19.0-20-rt-amd64-unsigned	       0        1        1        0        0
47959 linux-image-4.19.0-21-686-pae      	       0        2        2        0        0
47960 linux-image-4.19.0-21-amd64        	       0       28       28        0        0
47961 linux-image-4.19.0-21-marvell      	       0        1        1        0        0
47962 linux-image-4.19.0-22-686          	       0        1        1        0        0
47963 linux-image-4.19.0-22-686-pae      	       0        1        1        0        0
47964 linux-image-4.19.0-23-686          	       0        1        1        0        0
47965 linux-image-4.19.0-23-686-pae      	       0        2        2        0        0
47966 linux-image-4.19.0-23-amd64        	       0       28       27        0        1
47967 linux-image-4.19.0-24-686          	       0        2        2        0        0
47968 linux-image-4.19.0-24-686-pae      	       0        1        1        0        0
47969 linux-image-4.19.0-25-686          	       0        4        4        0        0
47970 linux-image-4.19.0-25-686-pae      	       0        4        4        0        0
47971 linux-image-4.19.0-26-686          	       0        7        7        0        0
47972 linux-image-4.19.0-26-686-pae      	       0        5        5        0        0
47973 linux-image-4.19.0-27-686          	       0        5        5        0        0
47974 linux-image-4.19.0-27-686-pae      	       0        7        7        0        0
47975 linux-image-4.19.0-27-amd64        	       0       74       72        2        0
47976 linux-image-4.19.0-3-amd64-unsigned	       0        1        1        0        0
47977 linux-image-4.19.0-4-amd64         	       0        1        1        0        0
47978 linux-image-4.19.0-5-686           	       0        1        1        0        0
47979 linux-image-4.19.0-5-686-pae       	       0        1        1        0        0
47980 linux-image-4.19.0-5-amd64         	       0        4        4        0        0
47981 linux-image-4.19.0-6-686-pae       	       0        1        1        0        0
47982 linux-image-4.19.0-6-amd64         	       0        9        8        0        1
47983 linux-image-4.19.0-6-amd64-dbg     	       0        1        1        0        0
47984 linux-image-4.19.0-8-686-pae       	       0        1        1        0        0
47985 linux-image-4.19.0-8-amd64         	       0        9        9        0        0
47986 linux-image-4.19.0-9-686           	       0        1        1        0        0
47987 linux-image-4.19.0-rc3-snow        	       0        1        0        0        1
47988 linux-image-4.19.0-rc6-moose       	       0        1        0        0        1
47989 linux-image-4.19.0-rc6-moosette    	       0        1        0        0        1
47990 linux-image-4.19.0-rc6-pepper      	       0        1        0        0        1
47991 linux-image-4.19.249               	       0        1        1        0        0
47992 linux-image-4.19.251-proxima       	       0        1        1        0        0
47993 linux-image-4.2.0-0.bpo.1-amd64    	       0        1        1        0        0
47994 linux-image-4.20.0-rc5-snow        	       0        1        0        0        1
47995 linux-image-4.3.0-0.bpo.1-amd64    	       0        2        1        0        1
47996 linux-image-4.4.0-0.bpo.1-amd64    	       0        1        0        0        1
47997 linux-image-4.4.144-vs2.3.9.7.2-beng	       0        4        4        0        0
47998 linux-image-4.4.202-vs2.3.9.8-beng 	       0        5        5        0        0
47999 linux-image-4.4.227-vs2.3.9.9-beng 	       0        1        1        0        0
48000 linux-image-4.4.78-intel-server-64bit	       0        1        1        0        0
48001 linux-image-4.5.0-0.bpo.1-amd64    	       0        1        0        0        1
48002 linux-image-4.5.0-0.bpo.2-amd64    	       0        1        0        0        1
48003 linux-image-4.6.0-0.bpo.1-amd64    	       0        1        0        0        1
48004 linux-image-4.7.0-0.bpo.1-amd64    	       0        3        2        0        1
48005 linux-image-4.8.0-0.bpo.2-amd64    	       0        2        1        0        1
48006 linux-image-4.8.0-2-amd64          	       0        1        1        0        0
48007 linux-image-4.9-amd64              	       0        1        0        0        1
48008 linux-image-4.9.0-0.bpo.1-amd64-unsigned	       0        1        0        0        1
48009 linux-image-4.9.0-0.bpo.12-686     	       0        1        1        0        0
48010 linux-image-4.9.0-0.bpo.2-amd64    	       0        2        1        0        1
48011 linux-image-4.9.0-0.bpo.2-rt-amd64 	       0        1        1        0        0
48012 linux-image-4.9.0-0.bpo.3-amd64    	       0        3        2        0        1
48013 linux-image-4.9.0-0.bpo.4-686-pae  	       0        1        0        0        1
48014 linux-image-4.9.0-0.bpo.4-amd64    	       0        2        1        0        1
48015 linux-image-4.9.0-0.bpo.5-amd64    	       0        5        4        0        1
48016 linux-image-4.9.0-0.bpo.6-amd64    	       0        4        4        0        0
48017 linux-image-4.9.0-1-amd64          	       0        1        1        0        0
48018 linux-image-4.9.0-11-686           	       0        3        3        0        0
48019 linux-image-4.9.0-11-686-pae       	       0        7        6        1        0
48020 linux-image-4.9.0-12-686           	       0        3        2        0        1
48021 linux-image-4.9.0-12-686-dbg       	       0        1        1        0        0
48022 linux-image-4.9.0-12-686-pae       	       0        4        3        0        1
48023 linux-image-4.9.0-12-686-pae-dbg   	       0        1        1        0        0
48024 linux-image-4.9.0-13-686           	       0        2        2        0        0
48025 linux-image-4.9.0-13-686-pae       	       0        7        7        0        0
48026 linux-image-4.9.0-14-686           	       0        1        1        0        0
48027 linux-image-4.9.0-14-686-pae       	       0        2        2        0        0
48028 linux-image-4.9.0-14-amd64         	       0       22       20        0        2
48029 linux-image-4.9.0-15-686           	       0        1        1        0        0
48030 linux-image-4.9.0-16-686           	       0        2        2        0        0
48031 linux-image-4.9.0-16-686-pae       	       0        1        1        0        0
48032 linux-image-4.9.0-17-686           	       0        2        2        0        0
48033 linux-image-4.9.0-18-686           	       0        1        1        0        0
48034 linux-image-4.9.0-19-686           	       0        3        3        0        0
48035 linux-image-4.9.0-19-686-pae       	       0        4        4        0        0
48036 linux-image-4.9.0-2-amd64          	       0        3        3        0        0
48037 linux-image-4.9.0-3-amd64          	       0        1        1        0        0
48038 linux-image-4.9.0-3-rt-amd64       	       0        1        1        0        0
48039 linux-image-4.9.0-4-686-pae        	       0        1        1        0        0
48040 linux-image-4.9.0-4-amd64          	       0        4        4        0        0
48041 linux-image-4.9.0-5-686-pae        	       0        1        1        0        0
48042 linux-image-4.9.0-5-amd64          	       0        5        5        0        0
48043 linux-image-4.9.0-6-686            	       0        3        3        0        0
48044 linux-image-4.9.0-6-686-pae        	       0       15       14        0        1
48045 linux-image-4.9.0-8-686            	       0        1        1        0        0
48046 linux-image-4.9.0-8-686-pae        	       0        4        4        0        0
48047 linux-image-4.9.0-8-amd64          	       0       35       33        0        2
48048 linux-image-4.9.0-9-686            	       0        1        1        0        0
48049 linux-image-4.9.0-9-686-pae        	       0        1        1        0        0
48050 linux-image-4.9.164-vs2.3.9.8-beng 	       0        1        1        0        0
48051 linux-image-4.9.18-phenom          	       0        1        1        0        0
48052 linux-image-4.9.202-vs2.3.9.9-beng 	       0        3        3        0        0
48053 linux-image-4.9.62-intel-server-64bit	       0        1        1        0        0
48054 linux-image-486                    	       0        2        0        0        2
48055 linux-image-5.0.0-rc5-moose        	       0        1        0        0        1
48056 linux-image-5.0.0-rc5-pepper       	       0        1        0        0        1
48057 linux-image-5.0.0-rc5-snow         	       0        1        0        0        1
48058 linux-image-5.1.0+                 	       0        1        1        0        0
48059 linux-image-5.1.0+-dbg             	       0        1        1        0        0
48060 linux-image-5.10-amd64             	       0        9        0        0        9
48061 linux-image-5.10-rt-amd64          	       0        1        0        0        1
48062 linux-image-5.10.0-0.bpo.15-amd64  	       0        4        4        0        0
48063 linux-image-5.10.0-0.bpo.3-amd64   	       0        2        2        0        0
48064 linux-image-5.10.0-0.bpo.4-686     	       0        1        1        0        0
48065 linux-image-5.10.0-0.bpo.4-amd64   	       0        1        1        0        0
48066 linux-image-5.10.0-0.bpo.5-amd64   	       0        6        6        0        0
48067 linux-image-5.10.0-0.bpo.7-amd64   	       0        6        6        0        0
48068 linux-image-5.10.0-0.bpo.7-arm64   	       0        1        1        0        0
48069 linux-image-5.10.0-0.bpo.8-amd64   	       0        1        1        0        0
48070 linux-image-5.10.0-0.bpo.9-amd64   	       0        2        2        0        0
48071 linux-image-5.10.0-0.deb10.16-arm64	       0        1        1        0        0
48072 linux-image-5.10.0-0.deb10.17-amd64	       0        2        2        0        0
48073 linux-image-5.10.0-0.deb10.19-amd64	       0        2        2        0        0
48074 linux-image-5.10.0-0.deb10.20-amd64	       0        1        1        0        0
48075 linux-image-5.10.0-0.deb10.20-rt-amd64	       0        1        1        0        0
48076 linux-image-5.10.0-0.deb10.21-amd64	       0        1        1        0        0
48077 linux-image-5.10.0-0.deb10.23-amd64	       0        1        1        0        0
48078 linux-image-5.10.0-0.deb10.24-amd64	       0        1        1        0        0
48079 linux-image-5.10.0-0.deb10.26-amd64	       0        2        2        0        0
48080 linux-image-5.10.0-0.deb10.30-amd64	       0        2        1        1        0
48081 linux-image-5.10.0-1-amd64         	       0        1        1        0        0
48082 linux-image-5.10.0-10-686          	       0        1        1        0        0
48083 linux-image-5.10.0-10-amd64        	       0       25       24        0        1
48084 linux-image-5.10.0-11-686          	       0        1        1        0        0
48085 linux-image-5.10.0-11-686-pae      	       0        1        1        0        0
48086 linux-image-5.10.0-12-686          	       0        3        3        0        0
48087 linux-image-5.10.0-12-amd64        	       0       16       15        0        1
48088 linux-image-5.10.0-13-686          	       0        4        4        0        0
48089 linux-image-5.10.0-13-686-pae      	       0        1        1        0        0
48090 linux-image-5.10.0-14-686          	       0        1        1        0        0
48091 linux-image-5.10.0-14-amd64        	       0       27       26        0        1
48092 linux-image-5.10.0-15-686          	       0        2        2        0        0
48093 linux-image-5.10.0-15-amd64        	       0       24       23        0        1
48094 linux-image-5.10.0-15-cloud-amd64  	       0        1        1        0        0
48095 linux-image-5.10.0-15-cloud-amd64-unsigned	       0        1        1        0        0
48096 linux-image-5.10.0-16-686          	       0        2        2        0        0
48097 linux-image-5.10.0-16-amd64        	       0       26       25        0        1
48098 linux-image-5.10.0-16-amd64-dbg    	       0        1        1        0        0
48099 linux-image-5.10.0-16-powerpc64le  	       0        1        1        0        0
48100 linux-image-5.10.0-17-686          	       0        2        2        0        0
48101 linux-image-5.10.0-17-686-pae      	       0        2        2        0        0
48102 linux-image-5.10.0-17-amd64        	       0       23       22        0        1
48103 linux-image-5.10.0-17-powerpc64le  	       0        1        1        0        0
48104 linux-image-5.10.0-18-686          	       0        2        2        0        0
48105 linux-image-5.10.0-18-amd64        	       0       39       38        0        1
48106 linux-image-5.10.0-18-cloud-amd64  	       0        1        1        0        0
48107 linux-image-5.10.0-18-powerpc64le  	       0        1        1        0        0
48108 linux-image-5.10.0-19-686          	       0        3        3        0        0
48109 linux-image-5.10.0-19-686-pae      	       0        1        1        0        0
48110 linux-image-5.10.0-19-amd64        	       0       58       57        0        1
48111 linux-image-5.10.0-19-powerpc64le  	       0        1        1        0        0
48112 linux-image-5.10.0-2-amd64         	       0        2        2        0        0
48113 linux-image-5.10.0-20-686          	       0        4        4        0        0
48114 linux-image-5.10.0-20-686-pae      	       0        2        2        0        0
48115 linux-image-5.10.0-20-amd64        	       0       56       55        0        1
48116 linux-image-5.10.0-20-cloud-amd64  	       0        1        1        0        0
48117 linux-image-5.10.0-21-686          	       0        4        4        0        0
48118 linux-image-5.10.0-21-686-pae      	       0        1        1        0        0
48119 linux-image-5.10.0-21-amd64        	       0       88       88        0        0
48120 linux-image-5.10.0-21-cloud-amd64  	       0        1        1        0        0
48121 linux-image-5.10.0-22-686          	       0        2        2        0        0
48122 linux-image-5.10.0-22-amd64        	       0       26       26        0        0
48123 linux-image-5.10.0-23-686          	       0        8        7        0        1
48124 linux-image-5.10.0-23-amd64        	       0       84       83        0        1
48125 linux-image-5.10.0-23-amd64-dbg    	       0        1        1        0        0
48126 linux-image-5.10.0-23-rt-amd64     	       0        2        2        0        0
48127 linux-image-5.10.0-24-686          	       0        2        2        0        0
48128 linux-image-5.10.0-25-686          	       0        2        2        0        0
48129 linux-image-5.10.0-25-686-pae      	       0        3        3        0        0
48130 linux-image-5.10.0-25-arm64        	       0        1        1        0        0
48131 linux-image-5.10.0-26-686          	       0        7        7        0        0
48132 linux-image-5.10.0-26-686-pae      	       0        2        2        0        0
48133 linux-image-5.10.0-26-amd64-unsigned	       0        1        1        0        0
48134 linux-image-5.10.0-26-arm64        	       0        1        1        0        0
48135 linux-image-5.10.0-26-rt-amd64     	       0        2        2        0        0
48136 linux-image-5.10.0-27-686          	       0        2        2        0        0
48137 linux-image-5.10.0-27-rt-amd64     	       0        1        1        0        0
48138 linux-image-5.10.0-28-686          	       0        5        5        0        0
48139 linux-image-5.10.0-28-686-pae      	       0        2        2        0        0
48140 linux-image-5.10.0-28-rt-amd64     	       0        1        1        0        0
48141 linux-image-5.10.0-29-686          	       0        2        2        0        0
48142 linux-image-5.10.0-29-686-pae      	       0        1        1        0        0
48143 linux-image-5.10.0-29-amd64-unsigned	       0        1        0        1        0
48144 linux-image-5.10.0-3-amd64         	       0        2        2        0        0
48145 linux-image-5.10.0-30-686          	       0        2        2        0        0
48146 linux-image-5.10.0-30-686-pae      	       0        1        1        0        0
48147 linux-image-5.10.0-30-cloud-amd64  	       0        1        1        0        0
48148 linux-image-5.10.0-31-686          	       0        1        1        0        0
48149 linux-image-5.10.0-31-686-pae      	       0        2        2        0        0
48150 linux-image-5.10.0-32-686          	       0        5        5        0        0
48151 linux-image-5.10.0-32-686-pae      	       0        4        4        0        0
48152 linux-image-5.10.0-33-686          	       0       10       10        0        0
48153 linux-image-5.10.0-33-686-pae      	       0        4        4        0        0
48154 linux-image-5.10.0-33-amd64-dbg    	       0        1        1        0        0
48155 linux-image-5.10.0-33-arm64        	       0        1        1        0        0
48156 linux-image-5.10.0-33-rt-amd64     	       0        2        2        0        0
48157 linux-image-5.10.0-34-686          	       0        5        0        5        0
48158 linux-image-5.10.0-4-amd64         	       0        1        1        0        0
48159 linux-image-5.10.0-5-686           	       0        1        0        0        1
48160 linux-image-5.10.0-5-686-pae-unsigned	       0        1        0        0        1
48161 linux-image-5.10.0-6-686           	       0        1        0        0        1
48162 linux-image-5.10.0-6-amd64         	       0        1        1        0        0
48163 linux-image-5.10.0-6-rt-amd64      	       0        1        1        0        0
48164 linux-image-5.10.0-7-amd64         	       0        1        1        0        0
48165 linux-image-5.10.0-8-686-pae       	       0        1        1        0        0
48166 linux-image-5.10.0-8-amd64         	       0       16       16        0        0
48167 linux-image-5.10.0-9-686           	       0       23       19        3        1
48168 linux-image-5.10.0-9-686-pae       	       0        1        1        0        0
48169 linux-image-5.10.0-9-amd64-unsigned	       0        1        1        0        0
48170 linux-image-5.10.1-gnu             	       0        1        1        0        0
48171 linux-image-5.10.106               	       0        1        1        0        0
48172 linux-image-5.10.180-olimex        	       0        1        1        0        0
48173 linux-image-5.10.191               	       0        1        1        0        0
48174 linux-image-5.10.22                	       0        1        0        0        1
48175 linux-image-5.10.30-m              	       0        1        0        0        1
48176 linux-image-5.10.30-moose          	       0        1        0        0        1
48177 linux-image-5.10.36-moose          	       0        1        0        0        1
48178 linux-image-5.10.41+               	       0        1        1        0        0
48179 linux-image-5.10.9-castillo        	       0        1        1        0        0
48180 linux-image-5.11.1-moose           	       0        1        0        0        1
48181 linux-image-5.11.11                	       0        1        0        0        1
48182 linux-image-5.11.15-moose          	       0        1        0        0        1
48183 linux-image-5.12.0-moose           	       0        1        0        0        1
48184 linux-image-5.12.0-pepper          	       0        1        0        0        1
48185 linux-image-5.12.0-rc4             	       0        1        0        0        1
48186 linux-image-5.12.0-rc4-moose       	       0        1        0        0        1
48187 linux-image-5.12.0-rc4-moosette    	       0        1        0        0        1
48188 linux-image-5.12.0-rc5-moose       	       0        1        0        0        1
48189 linux-image-5.12.0-rc6-moose       	       0        1        0        0        1
48190 linux-image-5.12.0-rc8-pepper      	       0        1        0        0        1
48191 linux-image-5.13.0-rc4-pepper      	       0        1        0        0        1
48192 linux-image-5.13.0-rc5-pepper      	       0        1        0        0        1
48193 linux-image-5.14.0-0.bpo.2-amd64   	       0        3        2        0        1
48194 linux-image-5.14.0-rc5-pepper      	       0        1        0        0        1
48195 linux-image-5.15.0                 	       0        1        1        0        0
48196 linux-image-5.15.0-0.bpo.2-686-pae-unsigned	       0        1        1        0        0
48197 linux-image-5.15.0-0.bpo.2-amd64   	       0        4        4        0        0
48198 linux-image-5.15.0-1-amd64         	       0        1        1        0        0
48199 linux-image-5.15.0-2-amd64         	       0        2        2        0        0
48200 linux-image-5.15.0-2-rt-amd64      	       0        1        1        0        0
48201 linux-image-5.15.0-3-amd64         	       0        1        1        0        0
48202 linux-image-5.15.0-rc5-pepper      	       0        1        1        0        0
48203 linux-image-5.15.0-rc6-moose       	       0        1        0        0        1
48204 linux-image-5.15.173-gnu           	       0        1        1        0        0
48205 linux-image-5.15.177-gnu           	       0        1        1        0        0
48206 linux-image-5.15.25-atom           	       0        1        1        0        0
48207 linux-image-5.15.32                	       0        1        1        0        0
48208 linux-image-5.15.4-moose           	       0        1        0        0        1
48209 linux-image-5.15.4-pepper          	       0        1        1        0        0
48210 linux-image-5.15.4-pogo            	       0        1        0        0        1
48211 linux-image-5.15.5-jae             	       0        1        1        0        0
48212 linux-image-5.16.0-0.bpo.4-amd64   	       0        1        1        0        0
48213 linux-image-5.16.0-0.bpo.4-amd64-unsigned	       0        1        1        0        0
48214 linux-image-5.16.0-0.bpo.4-rt-amd64	       0        1        1        0        0
48215 linux-image-5.16.0-0.bpo.4-rt-amd64-unsigned	       0        1        1        0        0
48216 linux-image-5.16.0-1-amd64         	       0        1        1        0        0
48217 linux-image-5.16.0-2-amd64         	       0        1        1        0        0
48218 linux-image-5.16.0-3-amd64         	       0        2        2        0        0
48219 linux-image-5.16.0-4-amd64         	       0        3        3        0        0
48220 linux-image-5.16.0-6-amd64         	       0        4        4        0        0
48221 linux-image-5.17.0-1-686           	       0        1        1        0        0
48222 linux-image-5.17.0-1-amd64         	       0        2        2        0        0
48223 linux-image-5.17.0-2-amd64         	       0        1        1        0        0
48224 linux-image-5.17.0-3-amd64         	       0        1        1        0        0
48225 linux-image-5.17.0-moose           	       0        1        0        0        1
48226 linux-image-5.17.0-pepper          	       0        1        1        0        0
48227 linux-image-5.17.0-rc6-moose       	       0        1        0        0        1
48228 linux-image-5.17.0-rc6-pepper      	       0        1        1        0        0
48229 linux-image-5.17.8                 	       0        1        1        0        0
48230 linux-image-5.18.0-0.bpo.1-amd64   	       0        3        3        0        0
48231 linux-image-5.18.0-0.deb11.4-amd64 	       0        1        1        0        0
48232 linux-image-5.18.0-1-rt-amd64-unsigned	       0        1        1        0        0
48233 linux-image-5.18.0-2-686           	       0        1        1        0        0
48234 linux-image-5.18.0-2-amd64         	       0        3        3        0        0
48235 linux-image-5.18.0-3-amd64         	       0        3        3        0        0
48236 linux-image-5.18.0-4-amd64         	       0        3        3        0        0
48237 linux-image-5.19.0-0.deb11.2-686-pae	       0        1        1        0        0
48238 linux-image-5.19.0-0.deb11.2-amd64 	       0        5        5        0        0
48239 linux-image-5.19.0-1-amd64         	       0        2        2        0        0
48240 linux-image-5.19.0-2-amd64         	       0        5        4        0        1
48241 linux-image-5.2.0-2-amd64          	       0        1        1        0        0
48242 linux-image-5.2.0-3-amd64          	       0        1        1        0        0
48243 linux-image-5.2.1-diglator.15      	       0        1        1        0        0
48244 linux-image-5.2.13-19.09.09.amdgpu 	       0        1        1        0        0
48245 linux-image-5.4.0-0.bpo.3-amd64    	       0        1        1        0        0
48246 linux-image-5.4.0-1862405280420-generic	       0        1        0        0        1
48247 linux-image-5.4.0-4-amd64          	       0        1        1        0        0
48248 linux-image-5.4.111-moose          	       0        1        0        0        1
48249 linux-image-5.4.111-mse            	       0        1        0        0        1
48250 linux-image-5.4.13                 	       0        1        1        0        0
48251 linux-image-5.5.0-rc2-snow         	       0        1        0        0        1
48252 linux-image-5.6.0-0.bpo.2-amd64    	       0        1        1        0        0
48253 linux-image-5.6.0-2-amd64-unsigned 	       0        1        1        0        0
48254 linux-image-5.6.0-rc4-snow         	       0        1        0        0        1
48255 linux-image-5.6.4-rt3              	       0        1        1        0        0
48256 linux-image-5.7.0-3-amd64          	       0        1        1        0        0
48257 linux-image-5.7.19-moose           	       0        1        0        0        1
48258 linux-image-5.8.0-0.bpo.2-amd64    	       0        2        2        0        0
48259 linux-image-5.9.0-0.bpo.5-amd64-dbg	       0        1        1        0        0
48260 linux-image-5.9.0-0.bpo.5-arm64    	       0        1        1        0        0
48261 linux-image-5.9.0-0.bpo.5-rt-amd64 	       0        1        1        0        0
48262 linux-image-5.9.0-1-amd64          	       0        2        2        0        0
48263 linux-image-5.9.0-5-amd64          	       0        1        1        0        0
48264 linux-image-586                    	       0        4        0        0        4
48265 linux-image-6.0.0-0.deb11.2-amd64-unsigned	       0        1        1        0        0
48266 linux-image-6.0.0-0.deb11.6-amd64  	       0        6        6        0        0
48267 linux-image-6.0.0-0.deb11.6-amd64-unsigned	       0        1        1        0        0
48268 linux-image-6.0.0-1-amd64          	       0        1        1        0        0
48269 linux-image-6.0.0-2-amd64          	       0        5        4        1        0
48270 linux-image-6.0.0-3-amd64          	       0        1        1        0        0
48271 linux-image-6.0.0-4-amd64          	       0        1        1        0        0
48272 linux-image-6.0.0-5-amd64          	       0        2        2        0        0
48273 linux-image-6.0.0-6-686            	       0        1        1        0        0
48274 linux-image-6.0.0-6-amd64          	       0       10       10        0        0
48275 linux-image-6.0.0-rc1-moose        	       0        1        0        0        1
48276 linux-image-6.0.0-rc6-moose        	       0        1        0        0        1
48277 linux-image-6.0.11                 	       0        1        1        0        0
48278 linux-image-6.0.7-moose            	       0        1        0        0        1
48279 linux-image-6.0.9-surface          	       0        1        1        0        0
48280 linux-image-6.1-amd64              	       0        1        0        0        1
48281 linux-image-6.1.0-0.deb11.13-rt-amd64	       0        1        1        0        0
48282 linux-image-6.1.0-0.deb11.17-amd64-unsigned	       0        1        1        0        0
48283 linux-image-6.1.0-0.deb11.18-amd64 	       0        1        1        0        0
48284 linux-image-6.1.0-0.deb11.21-686-pae	       0        1        1        0        0
48285 linux-image-6.1.0-0.deb11.21-rt-amd64	       0        1        1        0        0
48286 linux-image-6.1.0-0.deb11.26-amd64-unsigned	       0        1        1        0        0
48287 linux-image-6.1.0-0.deb11.28-amd64 	       0        1        1        0        0
48288 linux-image-6.1.0-0.deb11.31-amd64 	       0        1        0        1        0
48289 linux-image-6.1.0-0.deb11.5-amd64  	       0        4        4        0        0
48290 linux-image-6.1.0-0.deb11.6-amd64  	       0        1        1        0        0
48291 linux-image-6.1.0-0.deb11.7-amd64  	       0        6        6        0        0
48292 linux-image-6.1.0-0.deb11.7-amd64-unsigned	       0        2        2        0        0
48293 linux-image-6.1.0-0.deb11.9-amd64  	       0        2        2        0        0
48294 linux-image-6.1.0-1-amd64          	       0        1        1        0        0
48295 linux-image-6.1.0-10-686-pae       	       0        4        4        0        0
48296 linux-image-6.1.0-10-rt-amd64      	       0        1        1        0        0
48297 linux-image-6.1.0-11-686           	       0        1        1        0        0
48298 linux-image-6.1.0-11-amd64-dbg     	       0        1        1        0        0
48299 linux-image-6.1.0-12-686           	       0        2        2        0        0
48300 linux-image-6.1.0-12-686-pae       	       0        2        2        0        0
48301 linux-image-6.1.0-12-amd64-dbg     	       0        1        1        0        0
48302 linux-image-6.1.0-12-cloud-amd64   	       0        1        1        0        0
48303 linux-image-6.1.0-12-cloud-amd64-dbg	       0        1        1        0        0
48304 linux-image-6.1.0-12-rt-amd64      	       0        1        1        0        0
48305 linux-image-6.1.0-12-rt-amd64-dbg  	       0        1        1        0        0
48306 linux-image-6.1.0-13-686           	       0        5        5        0        0
48307 linux-image-6.1.0-13-amd64-dbg     	       0        1        1        0        0
48308 linux-image-6.1.0-13-cloud-amd64   	       0        1        1        0        0
48309 linux-image-6.1.0-13-cloud-amd64-dbg	       0        1        1        0        0
48310 linux-image-6.1.0-13-rt-amd64      	       0        2        2        0        0
48311 linux-image-6.1.0-13-rt-amd64-dbg  	       0        1        1        0        0
48312 linux-image-6.1.0-14-amd64         	       0        6        6        0        0
48313 linux-image-6.1.0-15-686-pae       	       0        1        1        0        0
48314 linux-image-6.1.0-15-arm64         	       0        1        1        0        0
48315 linux-image-6.1.0-17-686           	       0        1        1        0        0
48316 linux-image-6.1.0-17-686-pae       	       0        1        1        0        0
48317 linux-image-6.1.0-17-amd64-dbg     	       0        1        1        0        0
48318 linux-image-6.1.0-17-amd64-unsigned	       0        1        1        0        0
48319 linux-image-6.1.0-17-rt-amd64      	       0        1        1        0        0
48320 linux-image-6.1.0-18-686           	       0        5        5        0        0
48321 linux-image-6.1.0-18-686-pae       	       0        5        4        0        1
48322 linux-image-6.1.0-18-amd64-unsigned	       0        5        5        0        0
48323 linux-image-6.1.0-18-arm64         	       0        1        1        0        0
48324 linux-image-6.1.0-18-cloud-amd64   	       0        1        1        0        0
48325 linux-image-6.1.0-18-cloud-amd64-unsigned	       0        5        5        0        0
48326 linux-image-6.1.0-18-powerpc64le   	       0        1        1        0        0
48327 linux-image-6.1.0-18-rt-amd64-unsigned	       0        5        5        0        0
48328 linux-image-6.1.0-19-amd64         	       0        4        4        0        0
48329 linux-image-6.1.0-2-amd64          	       0        2        2        0        0
48330 linux-image-6.1.0-2.frea-amd64-unsigned	       0        1        1        0        0
48331 linux-image-6.1.0-20-686           	       0        4        4        0        0
48332 linux-image-6.1.0-20-686-pae       	       0        1        1        0        0
48333 linux-image-6.1.0-20-amd64         	       0       99       99        0        0
48334 linux-image-6.1.0-20-amd64-dbg     	       0        1        1        0        0
48335 linux-image-6.1.0-21-686           	       0        7        7        0        0
48336 linux-image-6.1.0-21-686-pae       	       0        2        2        0        0
48337 linux-image-6.1.0-21-amd64-unsigned	       0        1        1        0        0
48338 linux-image-6.1.0-21-arm64         	       0        1        1        0        0
48339 linux-image-6.1.0-21-powerpc64le   	       0        1        1        0        0
48340 linux-image-6.1.0-21-rt-amd64      	       0        2        2        0        0
48341 linux-image-6.1.0-21-rt-amd64-dbg  	       0        1        1        0        0
48342 linux-image-6.1.0-22-686           	       0        4        4        0        0
48343 linux-image-6.1.0-22-686-pae       	       0        2        2        0        0
48344 linux-image-6.1.0-22-cloud-amd64   	       0        2        2        0        0
48345 linux-image-6.1.0-22-rt-amd64      	       0        1        1        0        0
48346 linux-image-6.1.0-23-686           	       0        9        9        0        0
48347 linux-image-6.1.0-23-686-pae       	       0        4        3        0        1
48348 linux-image-6.1.0-23-arm64         	       0        2        2        0        0
48349 linux-image-6.1.0-23-rt-amd64      	       0        2        2        0        0
48350 linux-image-6.1.0-24-amd64         	       0        2        2        0        0
48351 linux-image-6.1.0-25-686           	       0       21       21        0        0
48352 linux-image-6.1.0-25-686-pae       	       0        4        2        0        2
48353 linux-image-6.1.0-25-amd64-dbg     	       0        1        1        0        0
48354 linux-image-6.1.0-25-amd64-unsigned	       0        1        1        0        0
48355 linux-image-6.1.0-25-armmp         	       0        1        1        0        0
48356 linux-image-6.1.0-25-cloud-amd64   	       0        1        1        0        0
48357 linux-image-6.1.0-25-cloud-amd64-unsigned	       0        1        1        0        0
48358 linux-image-6.1.0-25-powerpc64le   	       0        2        2        0        0
48359 linux-image-6.1.0-25-rpi           	       0        3        3        0        0
48360 linux-image-6.1.0-25-rt-amd64      	       0        5        4        0        1
48361 linux-image-6.1.0-25-rt-amd64-unsigned	       0        1        1        0        0
48362 linux-image-6.1.0-26-686-pae       	       0        4        4        0        0
48363 linux-image-6.1.0-26-amd64-unsigned	       0        1        1        0        0
48364 linux-image-6.1.0-26-armmp         	       0        1        1        0        0
48365 linux-image-6.1.0-26-armmp-lpae    	       0        1        1        0        0
48366 linux-image-6.1.0-26-rpi           	       0        2        2        0        0
48367 linux-image-6.1.0-26-rt-amd64      	       0        2        2        0        0
48368 linux-image-6.1.0-27-686           	       0       10       10        0        0
48369 linux-image-6.1.0-27-686-pae       	       0        5        5        0        0
48370 linux-image-6.1.0-27-cloud-amd64   	       0        1        1        0        0
48371 linux-image-6.1.0-27-rpi           	       0        2        2        0        0
48372 linux-image-6.1.0-27-rt-amd64      	       0        2        2        0        0
48373 linux-image-6.1.0-28-686           	       0       16       16        0        0
48374 linux-image-6.1.0-28-686-pae       	       0        6        6        0        0
48375 linux-image-6.1.0-28-arm64         	       0        2        2        0        0
48376 linux-image-6.1.0-28-armmp         	       0        2        2        0        0
48377 linux-image-6.1.0-28-armmp-lpae    	       0        1        1        0        0
48378 linux-image-6.1.0-28-cloud-amd64   	       0        2        2        0        0
48379 linux-image-6.1.0-28-powerpc64le   	       0        1        1        0        0
48380 linux-image-6.1.0-28-rpi           	       0        2        2        0        0
48381 linux-image-6.1.0-28-rt-amd64      	       0        4        4        0        0
48382 linux-image-6.1.0-29-686           	       0        3        3        0        0
48383 linux-image-6.1.0-29-arm64         	       0        1        0        1        0
48384 linux-image-6.1.0-29-powerpc64le   	       0        1        1        0        0
48385 linux-image-6.1.0-3-amd64          	       0        5        5        0        0
48386 linux-image-6.1.0-30-686           	       0       13       12        1        0
48387 linux-image-6.1.0-30-686-pae       	       0        3        3        0        0
48388 linux-image-6.1.0-30-amd64-unsigned	       0        1        1        0        0
48389 linux-image-6.1.0-30-armmp         	       0        2        2        0        0
48390 linux-image-6.1.0-30-armmp-lpae    	       0        1        1        0        0
48391 linux-image-6.1.0-30-cloud-amd64   	       0        1        1        0        0
48392 linux-image-6.1.0-30-rpi           	       0        1        1        0        0
48393 linux-image-6.1.0-30-rt-amd64      	       0        3        3        0        0
48394 linux-image-6.1.0-31-686           	       0       20       11        9        0
48395 linux-image-6.1.0-31-686-pae       	       0        4        3        1        0
48396 linux-image-6.1.0-31-arm64         	       0        4        2        2        0
48397 linux-image-6.1.0-31-armmp         	       0        2        2        0        0
48398 linux-image-6.1.0-31-rt-amd64      	       0        4        2        2        0
48399 linux-image-6.1.0-32-686           	       0        7        0        7        0
48400 linux-image-6.1.0-32-arm64         	       0        2        0        2        0
48401 linux-image-6.1.0-32-rt-amd64      	       0        1        0        1        0
48402 linux-image-6.1.0-4-amd64          	       0        2        2        0        0
48403 linux-image-6.1.0-5-amd64          	       0        3        2        0        1
48404 linux-image-6.1.0-7-amd64          	       0       10       10        0        0
48405 linux-image-6.1.0-7-arm64          	       0        1        1        0        0
48406 linux-image-6.1.0-8-amd64          	       0        1        1        0        0
48407 linux-image-6.1.0-9-amd64          	       0       16       16        0        0
48408 linux-image-6.1.0-moose            	       0        1        0        0        1
48409 linux-image-6.1.0-odroid-arm64     	       0        1        1        0        0
48410 linux-image-6.1.115-326            	       0        1        1        0        0
48411 linux-image-6.1.119-327            	       0        1        1        0        0
48412 linux-image-6.1.62-gnu             	       0        1        1        0        0
48413 linux-image-6.1.69-1-custom-mm41   	       0        1        0        0        1
48414 linux-image-6.1.69-1-custom-ryzen9 	       0        1        1        0        0
48415 linux-image-6.1.8-pepper           	       0        1        1        0        0
48416 linux-image-6.1.96-319             	       0        1        1        0        0
48417 linux-image-6.10.0                 	       0        3        2        0        1
48418 linux-image-6.10.0-rc1             	       0        1        1        0        0
48419 linux-image-6.10.0-rc3             	       0        1        1        0        0
48420 linux-image-6.10.0-rc7             	       0        1        0        0        1
48421 linux-image-6.10.10-2-liquorix-amd64	       0        1        1        0        0
48422 linux-image-6.10.10-x64v1-xanmod1  	       0        1        1        0        0
48423 linux-image-6.10.11+bpo-amd64-dbg  	       0        1        1        0        0
48424 linux-image-6.10.11+bpo-rt-amd64   	       0        2        2        0        0
48425 linux-image-6.10.11-686            	       0        2        2        0        0
48426 linux-image-6.10.11-686-pae        	       0        2        2        0        0
48427 linux-image-6.10.11-amd64          	       0       18       18        0        0
48428 linux-image-6.10.11-kirkwood-tld-1 	       0        1        1        0        0
48429 linux-image-6.10.11-x64v1-xanmod1  	       0        1        1        0        0
48430 linux-image-6.10.12-686-pae        	       0        1        1        0        0
48431 linux-image-6.10.12-amd64          	       0        4        4        0        0
48432 linux-image-6.10.2                 	       0        1        0        0        1
48433 linux-image-6.10.3-amd64           	       0        5        5        0        0
48434 linux-image-6.10.4-686             	       0        1        1        0        0
48435 linux-image-6.10.4-amd64           	       0        7        7        0        0
48436 linux-image-6.10.5                 	       0        1        0        0        1
48437 linux-image-6.10.6                 	       0        1        0        0        1
48438 linux-image-6.10.6+bpo-amd64       	       0       18       18        0        0
48439 linux-image-6.10.6+bpo-amd64-dbg   	       0        1        1        0        0
48440 linux-image-6.10.6+bpo-amd64-unsigned	       0        1        1        0        0
48441 linux-image-6.10.6-2-liquorix-amd64	       0        1        1        0        0
48442 linux-image-6.10.6-686             	       0        3        3        0        0
48443 linux-image-6.10.7                 	       0        1        0        0        1
48444 linux-image-6.10.9-686-pae         	       0        1        1        0        0
48445 linux-image-6.11.0                 	       0       11       10        0        1
48446 linux-image-6.11.0-1013-oem        	       0        1        0        0        1
48447 linux-image-6.11.0-rc4             	       0        3        1        0        2
48448 linux-image-6.11.0-rc5             	       0        2        0        0        2
48449 linux-image-6.11.10+bpo-rt-amd64   	       0        3        3        0        0
48450 linux-image-6.11.10-1-liquorix-amd64	       0        1        1        0        0
48451 linux-image-6.11.4-amd64           	       0       16       16        0        0
48452 linux-image-6.11.5+bpo-rt-amd64    	       0        1        1        0        0
48453 linux-image-6.11.5-1-liquorix-amd64	       0        1        1        0        0
48454 linux-image-6.11.5-amd64           	       0       20       20        0        0
48455 linux-image-6.11.6-amd64           	       0        4        4        0        0
48456 linux-image-6.11.7-2-liquorix-amd64	       0        1        1        0        0
48457 linux-image-6.11.7-amd64           	       0       13       13        0        0
48458 linux-image-6.12.0                 	       0        7        6        0        1
48459 linux-image-6.12.0-rc2             	       0        1        1        0        0
48460 linux-image-6.12.0-rc3             	       0        3        1        0        2
48461 linux-image-6.12.0-rc4             	       0        1        1        0        0
48462 linux-image-6.12.0-rc5             	       0        1        1        0        0
48463 linux-image-6.12.0-rc6             	       0        2        1        0        1
48464 linux-image-6.12.0-rc7             	       0        1        1        0        0
48465 linux-image-6.12.1-gnu             	       0        1        1        0        0
48466 linux-image-6.12.11-2-liquorix-amd64	       0        1        1        0        0
48467 linux-image-6.12.11-rt-amd64       	       0        1        1        0        0
48468 linux-image-6.12.12+bpo-rt-amd64   	       0        1        0        1        0
48469 linux-image-6.12.12-custom         	       0        1        0        1        0
48470 linux-image-6.12.12-rt-amd64       	       0        1        1        0        0
48471 linux-image-6.12.3-gnu             	       0        1        1        0        0
48472 linux-image-6.12.5-amd64           	       0       15       15        0        0
48473 linux-image-6.12.6                 	       0        1        1        0        0
48474 linux-image-6.12.6-1-liquorix-amd64	       0        1        1        0        0
48475 linux-image-6.12.6-cloud-amd64     	       0        1        1        0        0
48476 linux-image-6.12.6-rt-amd64        	       0        1        1        0        0
48477 linux-image-6.12.7-2-liquorix-amd64	       0        1        1        0        0
48478 linux-image-6.12.7-x64v3-xanmod1   	       0        1        1        0        0
48479 linux-image-6.12.8-1-liquorix-amd64	       0        1        1        0        0
48480 linux-image-6.12.8-gnu             	       0        1        1        0        0
48481 linux-image-6.12.8-x64v3-xanmod1   	       0        1        1        0        0
48482 linux-image-6.12.9+bpo-rt-amd64    	       0        1        1        0        0
48483 linux-image-6.12.9-1-liquorix-amd64	       0        1        1        0        0
48484 linux-image-6.13-rc7-amd64-unsigned	       0        1        1        0        0
48485 linux-image-6.13.0+                	       0        1        1        0        0
48486 linux-image-6.13.0-dirty           	       0        1        1        0        0
48487 linux-image-6.13.0-rc6             	       0        1        0        0        1
48488 linux-image-6.13.1-x64v3-xanmod1   	       0        1        1        0        0
48489 linux-image-6.13.2-x64v3-xanmod1   	       0        1        1        0        0
48490 linux-image-6.13.5-xanmod1-dbg     	       0        1        0        1        0
48491 linux-image-6.13.6-zabbly+         	       0        2        0        2        0
48492 linux-image-6.13.6-zabbly+-dbg     	       0        1        0        1        0
48493 linux-image-6.13.7-julises-dbg     	       0        1        0        1        0
48494 linux-image-6.2.0-rc3-moose        	       0        1        0        0        1
48495 linux-image-6.2.0-rc3-pepper       	       0        1        1        0        0
48496 linux-image-6.2.12                 	       0        4        3        0        1
48497 linux-image-6.2.12-8821cu          	       0        1        0        0        1
48498 linux-image-6.2.12-snd             	       0        1        0        0        1
48499 linux-image-6.2.12-snd2            	       0        1        0        0        1
48500 linux-image-6.2.2                  	       0        1        1        0        0
48501 linux-image-6.2.2-moose            	       0        1        0        0        1
48502 linux-image-6.2.2-pepper           	       0        1        1        0        0
48503 linux-image-6.3.0-1-amd64          	       0        2        2        0        0
48504 linux-image-6.3.0-2-amd64          	       0        2        2        0        0
48505 linux-image-6.3.0-2-amd64-unsigned 	       0        1        1        0        0
48506 linux-image-6.3.1                  	       0        1        0        0        1
48507 linux-image-6.3.5                  	       0        1        0        0        1
48508 linux-image-6.3.5-new              	       0        1        0        0        1
48509 linux-image-6.3.5-new-3            	       0        1        0        0        1
48510 linux-image-6.3.5new2              	       0        1        0        0        1
48511 linux-image-6.3.6                  	       0        1        0        0        1
48512 linux-image-6.3.6-snd              	       0        1        0        0        1
48513 linux-image-6.3.8                  	       0        1        0        0        1
48514 linux-image-6.3.8-snd              	       0        1        0        0        1
48515 linux-image-6.3.8snd               	       0        1        0        0        1
48516 linux-image-6.4.0                  	       0        1        0        0        1
48517 linux-image-6.4.0-0.deb12.2-amd64  	       0        2        2        0        0
48518 linux-image-6.4.0-1-amd64          	       0        2        2        0        0
48519 linux-image-6.4.0-2-amd64          	       0        2        2        0        0
48520 linux-image-6.4.0-3-amd64          	       0        1        1        0        0
48521 linux-image-6.4.0-3-rt-amd64       	       0        1        1        0        0
48522 linux-image-6.4.0-4-amd64          	       0        3        3        0        0
48523 linux-image-6.4.0-new              	       0        1        0        0        1
48524 linux-image-6.4.0-rc5              	       0        1        0        0        1
48525 linux-image-6.4.0-rc5-bt           	       0        1        0        0        1
48526 linux-image-6.4.0-rc5-new          	       0        1        0        0        1
48527 linux-image-6.4.0-rc7              	       0        1        0        0        1
48528 linux-image-6.4.0-rc7-new          	       0        1        0        0        1
48529 linux-image-6.4.1                  	       0        1        1        0        0
48530 linux-image-6.4.10                 	       0        1        0        0        1
48531 linux-image-6.4.12                 	       0        1        0        0        1
48532 linux-image-6.4.2                  	       0        1        0        0        1
48533 linux-image-6.4.2-crc32            	       0        1        0        0        1
48534 linux-image-6.4.2-kbd              	       0        1        0        0        1
48535 linux-image-6.4.4                  	       0        1        1        0        0
48536 linux-image-6.5.0-0.deb12.1-amd64  	       0        4        4        0        0
48537 linux-image-6.5.0-0.deb12.1-rt-amd64	       0        1        1        0        0
48538 linux-image-6.5.0-1-amd64          	       0        3        3        0        0
48539 linux-image-6.5.0-2-amd64          	       0        3        3        0        0
48540 linux-image-6.5.0-3-amd64          	       0        1        1        0        0
48541 linux-image-6.5.0-4-amd64          	       0        3        3        0        0
48542 linux-image-6.5.0-5-amd64          	       0        5        5        0        0
48543 linux-image-6.5.0-rc5              	       0        1        1        0        0
48544 linux-image-6.5.3                  	       0        1        0        0        1
48545 linux-image-6.5.3-w1               	       0        1        0        0        1
48546 linux-image-6.5.3-w2               	       0        1        0        0        1
48547 linux-image-6.5.5                  	       0        1        0        0        1
48548 linux-image-6.6.0-dbg              	       0        1        1        0        0
48549 linux-image-6.6.0-odroid-arm64     	       0        1        1        0        0
48550 linux-image-6.6.0-rc2              	       0        2        0        0        2
48551 linux-image-6.6.0-rc5              	       0        1        0        0        1
48552 linux-image-6.6.1                  	       0        1        0        0        1
48553 linux-image-6.6.11-amd64           	       0        1        1        0        0
48554 linux-image-6.6.13+bpo-amd64-unsigned	       0        2        2        0        0
48555 linux-image-6.6.13-amd64           	       0        3        3        0        0
48556 linux-image-6.6.3                  	       0        1        0        0        1
48557 linux-image-6.6.30-rt30-x64v3-xanmod1	       0        1        1        0        0
48558 linux-image-6.6.30-x64v3-xanmod1   	       0        1        1        0        0
48559 linux-image-6.6.31-rt31-x64v3-xanmod1	       0        1        0        0        1
48560 linux-image-6.6.34-gnu             	       0        1        1        0        0
48561 linux-image-6.6.4                  	       0        1        0        0        1
48562 linux-image-6.6.40-x64v3-xanmod1   	       0        1        1        0        0
48563 linux-image-6.6.49-gnu             	       0        1        1        0        0
48564 linux-image-6.6.52-rt43-x64v3-xanmod1	       0        1        1        0        0
48565 linux-image-6.6.58-x64v1-xanmod1   	       0        1        1        0        0
48566 linux-image-6.6.6                  	       0        1        0        0        1
48567 linux-image-6.6.62-x64v1-xanmod1   	       0        1        1        0        0
48568 linux-image-6.6.63-x64v1-xanmod1   	       0        1        1        0        0
48569 linux-image-6.6.8                  	       0        1        0        0        1
48570 linux-image-6.6.8-amd64            	       0        1        1        0        0
48571 linux-image-6.6.9-amd64            	       0        1        1        0        0
48572 linux-image-6.6.9-amd64-unsigned   	       0        1        1        0        0
48573 linux-image-6.7.0                  	       0        3        1        0        2
48574 linux-image-6.7.0-rc3              	       0        1        0        0        1
48575 linux-image-6.7.10                 	       0        2        0        0        2
48576 linux-image-6.7.11                 	       0        2        1        0        1
48577 linux-image-6.7.12+bpo-amd64       	       0        2        2        0        0
48578 linux-image-6.7.12-1-liquorix-amd64	       0        2        1        1        0
48579 linux-image-6.7.7-amd64            	       0        7        7        0        0
48580 linux-image-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48581 linux-image-6.8.11-amd64           	       0        1        1        0        0
48582 linux-image-6.8.9-amd64            	       0        1        1        0        0
48583 linux-image-6.8.9-x64v3-xanmod1    	       0        1        1        0        0
48584 linux-image-6.9.0                  	       0        3        2        0        1
48585 linux-image-6.9.0-rc2              	       0        1        0        0        1
48586 linux-image-6.9.0-rc3              	       0        4        1        0        3
48587 linux-image-6.9.0-rc4              	       0        1        0        0        1
48588 linux-image-6.9.0-rc5              	       0        1        0        0        1
48589 linux-image-6.9.0-rc6              	       0        2        2        0        0
48590 linux-image-6.9.0-rc7              	       0        1        0        0        1
48591 linux-image-6.9.1                  	       0        1        1        0        0
48592 linux-image-6.9.10+bpo-amd64       	       0        8        8        0        0
48593 linux-image-6.9.10-x64v3-xanmod1   	       0        2        2        0        0
48594 linux-image-6.9.11-1-liquorix-amd64	       0        1        1        0        0
48595 linux-image-6.9.12-2-liquorix-amd64	       0        1        1        0        0
48596 linux-image-6.9.6-kirkwood-tld-1   	       0        1        0        0        1
48597 linux-image-6.9.7+bpo-amd64-dbg    	       0        1        1        0        0
48598 linux-image-6.9.7+bpo-amd64-unsigned	       0        1        1        0        0
48599 linux-image-6.9.7+bpo-rt-amd64     	       0        2        2        0        0
48600 linux-image-6.9.8-amd64            	       0        7        7        0        0
48601 linux-image-6.9.9-amd64            	       0        2        2        0        0
48602 linux-image-6.9.9-x64v3-xanmod1    	       0        1        1        0        0
48603 linux-image-686                    	       0      165        0        0      165
48604 linux-image-686-pae                	       0       61        0        0       61
48605 linux-image-amd64                  	       0     3564        0        0     3564
48606 linux-image-amd64-dbg              	       0        2        0        0        2
48607 linux-image-amd64-signed-template  	       0        4        0        0        4
48608 linux-image-arm64                  	       0        9        0        0        9
48609 linux-image-armmp                  	       0        2        0        0        2
48610 linux-image-armmp-lpae             	       0        1        0        0        1
48611 linux-image-bcm2709-rpi-2+3        	       0        1        1        0        0
48612 linux-image-cloud-amd64            	       0       11        0        0       11
48613 linux-image-current-sunxi64        	       0        2        2        0        0
48614 linux-image-i386-signed-template   	       0        1        0        0        1
48615 linux-image-liquorix-amd64         	       0        4        0        0        4
48616 linux-image-marvell                	       0        1        0        0        1
48617 linux-image-odroidc4               	       0        1        0        0        1
48618 linux-image-olimex                 	       0        1        0        0        1
48619 linux-image-powerpc64le            	       0        3        0        0        3
48620 linux-image-rpi                    	       0        3        0        0        3
48621 linux-image-rt-amd64               	       0       15        0        0       15
48622 linux-image-uc-6.11.0-1013-oem     	       0        1        0        0        1
48623 linux-image-uc-6.8.0-1021-nvidia-lowlatency	       0        1        0        0        1
48624 linux-image-vserver-3.18-beng      	       0        3        0        0        3
48625 linux-image-vserver-4.4-beng       	       0       10        0        0       10
48626 linux-image-vserver-4.9-beng       	       0       11        0        0       11
48627 linux-kbuild-2.6.18                	       0        1        0        0        1
48628 linux-kbuild-2.6.25                	       0        1        0        0        1
48629 linux-kbuild-2.6.26                	       0        1        0        0        1
48630 linux-kbuild-2.6.32                	       0        4        0        0        4
48631 linux-kbuild-3.0.0                 	       0        1        0        0        1
48632 linux-kbuild-3.10                  	       0        1        0        0        1
48633 linux-kbuild-3.11                  	       0        1        0        0        1
48634 linux-kbuild-3.14                  	       0        1        0        0        1
48635 linux-kbuild-3.16                  	       0       37        0        0       37
48636 linux-kbuild-3.18                  	       0        1        0        0        1
48637 linux-kbuild-3.2                   	       0       22        0        0       22
48638 linux-kbuild-4.14                  	       0        2        0        0        2
48639 linux-kbuild-4.16                  	       0        1        0        0        1
48640 linux-kbuild-4.19                  	       0       76        0        0       76
48641 linux-kbuild-4.2                   	       0        1        0        0        1
48642 linux-kbuild-4.5                   	       0        1        0        0        1
48643 linux-kbuild-4.6                   	       0        1        0        0        1
48644 linux-kbuild-4.7                   	       0        2        0        0        2
48645 linux-kbuild-4.8                   	       0        3        0        0        3
48646 linux-kbuild-4.9                   	       0       83        0        0       83
48647 linux-kbuild-5.10                  	       0      270        0        0      270
48648 linux-kbuild-5.14                  	       0        4        0        0        4
48649 linux-kbuild-5.15                  	       0        5        0        0        5
48650 linux-kbuild-5.16                  	       0       10        0        0       10
48651 linux-kbuild-5.17                  	       0        3        0        0        3
48652 linux-kbuild-5.18                  	       0       10        0        0       10
48653 linux-kbuild-5.19                  	       0        3        0        0        3
48654 linux-kbuild-5.2                   	       0        1        0        0        1
48655 linux-kbuild-5.4                   	       0        5        0        0        5
48656 linux-kbuild-5.6                   	       0        2        0        0        2
48657 linux-kbuild-5.7                   	       0        3        0        0        3
48658 linux-kbuild-5.8                   	       0        6        0        0        6
48659 linux-kbuild-5.9                   	       0        5        0        0        5
48660 linux-kbuild-6.0                   	       0        7        0        0        7
48661 linux-kbuild-6.1                   	       0      684        0        0      684
48662 linux-kbuild-6.10.11               	       0        6        0        0        6
48663 linux-kbuild-6.10.11+bpo           	       0       12        0        0       12
48664 linux-kbuild-6.10.12               	       0        1        0        0        1
48665 linux-kbuild-6.10.3                	       0        1        0        0        1
48666 linux-kbuild-6.10.4                	       0        1        0        0        1
48667 linux-kbuild-6.10.6                	       0        4        0        0        4
48668 linux-kbuild-6.10.6+bpo            	       0        9        0        0        9
48669 linux-kbuild-6.10.7                	       0        1        0        0        1
48670 linux-kbuild-6.10.9                	       0        5        0        0        5
48671 linux-kbuild-6.11.10               	       0        9        0        0        9
48672 linux-kbuild-6.11.10+bpo           	       0       11        0        0       11
48673 linux-kbuild-6.11.2                	       0        6        0        0        6
48674 linux-kbuild-6.11.4                	       0        6        0        0        6
48675 linux-kbuild-6.11.5                	       0        4        0        0        4
48676 linux-kbuild-6.11.5+bpo            	       0        8        0        0        8
48677 linux-kbuild-6.11.7                	       0        4        0        0        4
48678 linux-kbuild-6.11.9                	       0        4        0        0        4
48679 linux-kbuild-6.12.10               	       0        4        0        0        4
48680 linux-kbuild-6.12.11               	       0       10        0        0       10
48681 linux-kbuild-6.12.12               	       0       11        0        0       11
48682 linux-kbuild-6.12.12+bpo           	       0        6        0        0        6
48683 linux-kbuild-6.12.13               	       0        2        0        0        2
48684 linux-kbuild-6.12.15               	       0        2        0        0        2
48685 linux-kbuild-6.12.16               	       0        3        0        0        3
48686 linux-kbuild-6.12.17               	       0       17        0        0       17
48687 linux-kbuild-6.12.19               	       0        2        0        0        2
48688 linux-kbuild-6.12.3                	       0        1        0        0        1
48689 linux-kbuild-6.12.5                	       0        3        0        0        3
48690 linux-kbuild-6.12.6                	       0       10        0        0       10
48691 linux-kbuild-6.12.8                	       0        2        0        0        2
48692 linux-kbuild-6.12.9                	       0        6        0        0        6
48693 linux-kbuild-6.12.9+bpo            	       0       19        0        0       19
48694 linux-kbuild-6.3                   	       0        3        0        0        3
48695 linux-kbuild-6.4.0-0.deb12.2       	       0        2        0        0        2
48696 linux-kbuild-6.4.0-2               	       0        1        0        0        1
48697 linux-kbuild-6.4.0-3               	       0        1        0        0        1
48698 linux-kbuild-6.4.0-4               	       0        1        0        0        1
48699 linux-kbuild-6.5.0-0.deb12.1       	       0        2        0        0        2
48700 linux-kbuild-6.5.0-0.deb12.4       	       0        6        0        0        6
48701 linux-kbuild-6.5.0-1               	       0        3        0        0        3
48702 linux-kbuild-6.5.0-2               	       0        1        0        0        1
48703 linux-kbuild-6.5.0-4               	       0        1        0        0        1
48704 linux-kbuild-6.5.0-5               	       0        2        0        0        2
48705 linux-kbuild-6.6                   	       0        1        0        0        1
48706 linux-kbuild-6.6.11                	       0        1        0        0        1
48707 linux-kbuild-6.6.13                	       0        1        0        0        1
48708 linux-kbuild-6.6.13+bpo            	       0        5        0        0        5
48709 linux-kbuild-6.6.15                	       0        1        0        0        1
48710 linux-kbuild-6.6.8                 	       0        1        0        0        1
48711 linux-kbuild-6.6.9                 	       0        1        0        0        1
48712 linux-kbuild-6.7.12                	       0        1        0        0        1
48713 linux-kbuild-6.7.12+bpo            	       0        1        0        0        1
48714 linux-kbuild-6.7.9                 	       0        1        0        0        1
48715 linux-kbuild-6.8.12                	       0        4        0        0        4
48716 linux-kbuild-6.8.9                 	       0        1        0        0        1
48717 linux-kbuild-6.9.10                	       0        1        0        0        1
48718 linux-kbuild-6.9.10+bpo            	       0        4        0        0        4
48719 linux-kbuild-6.9.12                	       0        1        0        0        1
48720 linux-kbuild-6.9.7                 	       0        1        0        0        1
48721 linux-kbuild-6.9.7+bpo             	       0        7        0        0        7
48722 linux-kbuild-6.9.8                 	       0        2        0        0        2
48723 linux-libc-dev-alpha-cross         	       0        1        1        0        0
48724 linux-libc-dev-armel-cross         	       0       23       22        1        0
48725 linux-libc-dev-armhf-cross         	       0       28       27        1        0
48726 linux-libc-dev-i386-cross          	       0       13       13        0        0
48727 linux-libc-dev-m68k-cross          	       0        1        1        0        0
48728 linux-libc-dev-mips-cross          	       0        5        5        0        0
48729 linux-libc-dev-mips64-cross        	       0        1        1        0        0
48730 linux-libc-dev-mips64el-cross      	       0        1        1        0        0
48731 linux-libc-dev-mips64r6el-cross    	       0        1        1        0        0
48732 linux-libc-dev-mipsel-cross        	       0        6        6        0        0
48733 linux-libc-dev-powerpc-cross       	       0        2        2        0        0
48734 linux-libc-dev-ppc64-cross         	       0        3        3        0        0
48735 linux-libc-dev-ppc64el-cross       	       0        1        1        0        0
48736 linux-libc-dev-riscv64-cross       	       0        6        6        0        0
48737 linux-libc-dev-s390x-cross         	       0        1        1        0        0
48738 linux-libc-dev-x32-cross           	       0        6        6        0        0
48739 linux-libre                        	       0        2        0        0        2
48740 linux-libre-5.15                   	       0        1        0        0        1
48741 linux-libre-5.15-headers           	       0        1        0        0        1
48742 linux-libre-lts                    	       0        3        0        0        3
48743 linux-libre-lts-headers            	       0        1        0        0        1
48744 linux-manual-2.6.32                	       0        1        0        0        1
48745 linux-manual-4.9                   	       0        2        0        0        2
48746 linux-mediatree                    	       0        1        0        0        1
48747 linux-modules-5.4.0-1862405280420-generic	       0        1        1        0        0
48748 linux-modules-6.11.0-1013-oem      	       0        1        1        0        0
48749 linux-modules-6.8.0-1021-nvidia-lowlatency	       0        2        2        0        0
48750 linux-modules-extra-5.4.0-1862405280420-generic	       0        1        0        0        1
48751 linux-modules-extra-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48752 linux-modules-ipu6-6.11.0-1013-oem 	       0        1        0        0        1
48753 linux-modules-ipu7-6.11.0-1013-oem 	       0        1        0        0        1
48754 linux-modules-iwlwifi-6.11.0-1013-oem	       0        1        0        0        1
48755 linux-modules-nvidia-fs-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48756 linux-modules-usbio-6.11.0-1013-oem	       0        1        0        0        1
48757 linux-modules-vision-6.11.0-1013-oem	       0        1        0        0        1
48758 linux-nvidia-lowlatency-headers-6.8.0-1021	       0        2        2        0        0
48759 linux-objects-nvidia-470-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48760 linux-objects-nvidia-470-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48761 linux-objects-nvidia-535-6.11.0-1013-oem	       0        1        0        0        1
48762 linux-objects-nvidia-535-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48763 linux-objects-nvidia-535-open-6.11.0-1013-oem	       0        1        0        0        1
48764 linux-objects-nvidia-535-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48765 linux-objects-nvidia-535-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48766 linux-objects-nvidia-535-server-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48767 linux-objects-nvidia-550-6.11.0-1013-oem	       0        1        0        0        1
48768 linux-objects-nvidia-550-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48769 linux-objects-nvidia-550-open-6.11.0-1013-oem	       0        1        0        0        1
48770 linux-objects-nvidia-550-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48771 linux-objects-nvidia-550-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48772 linux-objects-nvidia-550-server-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48773 linux-objects-nvidia-565-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48774 linux-objects-nvidia-565-server-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48775 linux-oem-6.11-headers-6.11.0-1013 	       0        1        1        0        0
48776 linux-patch-debian-3.0.0           	       0        1        0        0        1
48777 linux-patch-debianlogo             	       0        1        0        0        1
48778 linux-patch-nfs-ngroups            	       0        1        0        0        1
48779 linux-patch-tuxonice               	       0        1        0        0        1
48780 linux-perf-4.19                    	       0        5        5        0        0
48781 linux-perf-4.9                     	       0        5        5        0        0
48782 linux-perf-5.10                    	       0       11       10        1        0
48783 linux-perf-5.15                    	       0        1        1        0        0
48784 linux-show-player                  	       0        2        2        0        0
48785 linux-signatures-nvidia-6.11.0-1013-oem	       0        1        0        0        1
48786 linux-signatures-nvidia-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
48787 linux-source                       	       0       68        0        0       68
48788 linux-source-2.6.18                	       0        1        0        0        1
48789 linux-source-2.6.32                	       0        1        0        0        1
48790 linux-source-3.0.0                 	       0        1        0        0        1
48791 linux-source-3.10                  	       0        1        0        0        1
48792 linux-source-3.11                  	       0        1        0        0        1
48793 linux-source-3.12                  	       0        1        0        0        1
48794 linux-source-3.13                  	       0        1        0        0        1
48795 linux-source-3.16                  	       0        5        0        0        5
48796 linux-source-3.18.91-vs2.3.7.5-beng	       0        2        0        0        2
48797 linux-source-3.19                  	       0        1        0        0        1
48798 linux-source-3.2                   	       0        1        0        0        1
48799 linux-source-3.4                   	       0        1        0        0        1
48800 linux-source-3.7                   	       0        1        0        0        1
48801 linux-source-4.1                   	       0        1        0        0        1
48802 linux-source-4.16                  	       0        2        0        0        2
48803 linux-source-4.17                  	       0        1        0        0        1
48804 linux-source-4.19                  	       0        8        0        0        8
48805 linux-source-4.3                   	       0        1        0        0        1
48806 linux-source-4.4.202-vs2.3.9.8-beng	       0        1        0        0        1
48807 linux-source-4.8                   	       0        1        0        0        1
48808 linux-source-4.9                   	       0       11        0        0       11
48809 linux-source-5.10                  	       0       19        0        0       19
48810 linux-source-5.14                  	       0        1        0        0        1
48811 linux-source-5.15                  	       0        2        0        0        2
48812 linux-source-5.17                  	       0        1        0        0        1
48813 linux-source-5.18                  	       0        1        0        0        1
48814 linux-source-5.4                   	       0        1        0        0        1
48815 linux-source-5.6                   	       0        1        0        0        1
48816 linux-source-5.7                   	       0        1        0        0        1
48817 linux-source-6.0                   	       0        1        0        0        1
48818 linux-source-6.1                   	       0       48        0        0       48
48819 linux-source-6.10                  	       0        4        0        0        4
48820 linux-source-6.12                  	       0        6        0        0        6
48821 linux-source-6.3                   	       0        1        0        0        1
48822 linux-source-6.4                   	       0        1        0        0        1
48823 linux-source-6.5                   	       0        2        0        0        2
48824 linux-source-vserver-3.18-beng     	       0        2        0        0        2
48825 linux-source-vserver-4.4-beng      	       0        1        0        0        1
48826 linux-support-3.0.0-1              	       0        1        1        0        0
48827 linux-support-3.11-2               	       0        1        1        0        0
48828 linux-support-3.12-rc7             	       0        1        1        0        0
48829 linux-support-3.19.0-trunk         	       0        1        1        0        0
48830 linux-support-3.8-1                	       0        1        1        0        0
48831 linux-support-4.17.0-0.bpo.1       	       0        1        1        0        0
48832 linux-support-4.19.0-14            	       0        1        1        0        0
48833 linux-support-4.19.0-18            	       0        1        1        0        0
48834 linux-support-4.9.0-6              	       0        1        1        0        0
48835 linux-support-4.9.0-8              	       0        1        1        0        0
48836 linux-support-5.10.0-10            	       0        1        1        0        0
48837 linux-support-5.10.0-11            	       0        2        2        0        0
48838 linux-support-5.10.0-17            	       0        1        1        0        0
48839 linux-support-5.10.0-22            	       0        1        1        0        0
48840 linux-support-5.10.0-23            	       0        1        1        0        0
48841 linux-support-5.10.0-26            	       0        1        1        0        0
48842 linux-support-5.10.0-33            	       0        1        1        0        0
48843 linux-support-5.15.0-0.bpo.2       	       0        1        1        0        0
48844 linux-support-5.18.0-0.deb11.4     	       0        1        1        0        0
48845 linux-support-5.6.0-0.bpo.2        	       0        1        1        0        0
48846 linux-support-5.7.0-0.bpo.2        	       0        1        1        0        0
48847 linux-support-6.1.0-0.deb11.22     	       0        1        1        0        0
48848 linux-support-6.1.0-0.deb11.25     	       0        1        1        0        0
48849 linux-support-6.1.0-0.deb11.26     	       0        1        1        0        0
48850 linux-support-6.1.0-12             	       0        2        2        0        0
48851 linux-support-6.1.0-13             	       0        1        1        0        0
48852 linux-support-6.1.0-18             	       0        5        5        0        0
48853 linux-support-6.1.0-21             	       0        1        1        0        0
48854 linux-support-6.1.0-23             	       0        2        2        0        0
48855 linux-support-6.1.0-25             	       0        2        2        0        0
48856 linux-support-6.1.0-27             	       0        1        1        0        0
48857 linux-support-6.1.0-28             	       0        1        1        0        0
48858 linux-support-6.10.11+bpo          	       0        2        2        0        0
48859 linux-support-6.10.6+bpo           	       0        1        1        0        0
48860 linux-support-6.11.10+bpo          	       0        2        2        0        0
48861 linux-support-6.12.10              	       0        1        1        0        0
48862 linux-support-6.12.5               	       0        1        1        0        0
48863 linux-support-6.12.8               	       0        1        1        0        0
48864 linux-support-6.4.0-0.deb12.2      	       0        2        2        0        0
48865 linux-support-6.9.12               	       0        1        1        0        0
48866 linux-support-6.9.7                	       0        1        1        0        0
48867 linux-surface-secureboot-mok       	       0        1        0        0        1
48868 linux-tools                        	       0        3        0        0        3
48869 linux-tools-3.16                   	       0        2        2        0        0
48870 linux-tools-common                 	       0        2        2        0        0
48871 linux-u-boot-nanopineo2-current    	       0        1        1        0        0
48872 linux-u-boot-orangepizero2w-current	       0        1        1        0        0
48873 linux-user-chroot                  	       0        1        1        0        0
48874 linux-uvc-source                   	       0        1        0        0        1
48875 linux-uvc-tools                    	       0        2        2        0        0
48876 linux-wifi-hotspot                 	       0        1        1        0        0
48877 linux-xanmod                       	       0        1        0        0        1
48878 linux-xanmod-edge-x64v3            	       0        1        0        0        1
48879 linux-xanmod-lts-x64v1             	       0        1        0        0        1
48880 linux-xanmod-lts-x64v3             	       0        2        0        0        2
48881 linux-xanmod-rt-x64v3              	       0        2        0        0        2
48882 linux-xanmod-x64v1                 	       0        1        0        0        1
48883 linux-xanmod-x64v3                 	       0        3        0        0        3
48884 linux-zabbly                       	       0        1        0        0        1
48885 linuxbrew-wrapper                  	       0        2        2        0        0
48886 linuxcnc-doc-en                    	       0        2        0        0        2
48887 linuxcnc-uspace                    	       0        2        2        0        0
48888 linuxdcpp                          	       0        1        1        0        0
48889 linuxdoc-tools-dbgsym              	       0        1        1        0        0
48890 linuxdoc-tools-info                	       0        7        0        0        7
48891 linuxdoc-tools-latex               	       0        8        0        0        8
48892 linuxdoc-tools-text                	       0        8        0        0        8
48893 linuxfabrik-monitoring-plugins     	       0       15        2        0       13
48894 linuxlogo                          	       0       24       24        0        0
48895 linuxmint-keyring                  	       0        5        0        0        5
48896 linuxptp                           	       0       15       15        0        0
48897 linuxqq                            	       0        2        0        0        2
48898 linuxsampler                       	       0        3        3        0        0
48899 linuxsampler-lv2                   	       0        2        2        0        0
48900 liquidprompt                       	       0       10       10        0        0
48901 liquidsoap-mode                    	       0        2        2        0        0
48902 liquidsoap-plugin-faad             	       0        1        1        0        0
48903 liquidsoap-plugin-flac             	       0        1        1        0        0
48904 liquidsoap-plugin-gstreamer        	       0        1        1        0        0
48905 liquidsoap-plugin-icecast          	       0        1        1        0        0
48906 liquidsoap-plugin-lame             	       0        1        1        0        0
48907 liquidsoap-plugin-mad              	       0        1        1        0        0
48908 liquidsoap-plugin-ogg              	       0        1        1        0        0
48909 liquidsoap-plugin-pulseaudio       	       0        1        1        0        0
48910 liquidsoap-plugin-taglib           	       0        1        1        0        0
48911 liquidsoap-plugin-voaacenc         	       0        1        1        0        0
48912 liquidsoap-plugin-vorbis           	       0        1        1        0        0
48913 liquidwar                          	       0       15       15        0        0
48914 liquidwar-data                     	       0       15        0        0       15
48915 liquidwar-server                   	       0       15       15        0        0
48916 liquorix-archive-keyring           	       0        1        0        0        1
48917 liquorix-keyring                   	       0        1        0        0        1
48918 liquorix-keyrings                  	       0        1        0        0        1
48919 lirc-compat-remotes                	       0       15        0        0       15
48920 lirc-doc                           	       0        8        0        0        8
48921 lirc-drv-irman                     	       0        8        0        0        8
48922 lirc-x                             	       0       10       10        0        0
48923 lire                               	       0        1        1        0        0
48924 lire-doc                           	       0        1        0        0        1
48925 lisaac                             	       0        1        1        0        0
48926 lisaac-common                      	       0        1        1        0        0
48927 lisaac-mode                        	       0        1        1        0        0
48928 lisgd                              	       0        2        2        0        0
48929 listaller                          	       0        1        1        0        0
48930 listserialportsc                   	       0        5        5        0        0
48931 literki                            	       0        1        1        0        0
48932 littleinferno                      	       0        1        0        0        1
48933 littler                            	       0       45        0        0       45
48934 littlewizard                       	       0        2        2        0        0
48935 littlewizard-data                  	       0        2        0        0        2
48936 live-boot-doc                      	       0      176        0        0      176
48937 live-boot-initramfs-tools          	       0      168        0        0      168
48938 live-clone                         	       0        2        2        0        0
48939 live-config-doc                    	       0      161        0        0      161
48940 live-manual                        	       0        3        0        0        3
48941 live-manual-epub                   	       0        4        0        0        4
48942 live-manual-html                   	       0       16        0        0       16
48943 live-manual-odf                    	       0        4        0        0        4
48944 live-manual-pdf                    	       0        5        0        0        5
48945 live-manual-txt                    	       0        6        0        0        6
48946 live-task-base                     	       0        3        0        0        3
48947 live-task-localisation             	       0        3        0        0        3
48948 live-task-localisation-desktop     	       0        2        0        0        2
48949 live-task-mate                     	       0        1        0        0        1
48950 live-task-non-free-firmware-pc     	       0        5        0        0        5
48951 live-task-non-free-firmware-server 	       0        2        0        0        2
48952 live-task-recommended              	       0        4        0        0        4
48953 live-wrapper-doc                   	       0        1        0        0        1
48954 lives                              	       0        6        6        0        0
48955 lives-data                         	       0        6        0        0        6
48956 lives-plugins                      	       0        6        6        0        0
48957 livescript                         	       0        2        2        0        0
48958 livestreamer                       	       0        2        2        0        0
48959 liwc                               	       0        2        2        0        0
48960 lix                                	       0        5        5        0        0
48961 lix-data                           	       0        5        0        0        5
48962 ll-scope                           	       0        2        2        0        0
48963 lld                                	       0       32       29        3        0
48964 lld-11                             	       0        7        6        0        1
48965 lld-12                             	       0        1        1        0        0
48966 lld-13                             	       0        6        6        0        0
48967 lld-14                             	       0       27       25        2        0
48968 lld-15                             	       0        6        6        0        0
48969 lld-16                             	       0        7        7        0        0
48970 lld-17                             	       0        2        2        0        0
48971 lld-18                             	       0        2        2        0        0
48972 lld-19                             	       0       16       14        2        0
48973 lld-20                             	       0        1        1        0        0
48974 lld-7                              	       0        1        1        0        0
48975 lldb-11                            	       0        2        2        0        0
48976 lldb-15                            	       0        1        1        0        0
48977 lldb-16                            	       0        4        4        0        0
48978 lldb-19                            	       0        6        4        2        0
48979 lletters                           	       0        1        1        0        0
48980 lletters-media                     	       0        1        0        0        1
48981 llgal                              	       0        2        2        0        0
48982 llm                                	       0        2        0        2        0
48983 llmnrd                             	       0        1        1        0        0
48984 lloconv                            	       0        4        4        0        0
48985 lltag                              	       0       12       12        0        0
48986 llvm-10                            	       0        5        5        0        0
48987 llvm-10-dev                        	       0        4        4        0        0
48988 llvm-10-runtime                    	       0        5        5        0        0
48989 llvm-10-tools                      	       0        4        4        0        0
48990 llvm-11-doc                        	       0        4        0        0        4
48991 llvm-11-examples                   	       0        1        1        0        0
48992 llvm-11-linker-tools               	       0        6        6        0        0
48993 llvm-13-doc                        	       0        2        0        0        2
48994 llvm-13-examples                   	       0        1        1        0        0
48995 llvm-14-doc                        	       0        4        0        0        4
48996 llvm-15                            	       0       53       53        0        0
48997 llvm-15-doc                        	       0        1        0        0        1
48998 llvm-15-runtime                    	       0       53       53        0        0
48999 llvm-15-tools                      	       0       51       51        0        0
49000 llvm-16-doc                        	       0        1        0        0        1
49001 llvm-16-examples                   	       0        1        1        0        0
49002 llvm-17                            	       0       15       11        4        0
49003 llvm-17-doc                        	       0        1        0        0        1
49004 llvm-17-examples                   	       0        1        1        0        0
49005 llvm-17-runtime                    	       0       15       11        4        0
49006 llvm-17-tools                      	       0       15       11        4        0
49007 llvm-18                            	       0       10       10        0        0
49008 llvm-18-doc                        	       0        1        0        0        1
49009 llvm-18-runtime                    	       0       10       10        0        0
49010 llvm-18-tools                      	       0       10       10        0        0
49011 llvm-19                            	       0       44       39        5        0
49012 llvm-19-doc                        	       0        2        0        0        2
49013 llvm-19-examples                   	       0        1        0        1        0
49014 llvm-19-runtime                    	       0       44       39        5        0
49015 llvm-19-tools                      	       0       44       39        5        0
49016 llvm-20                            	       0        2        2        0        0
49017 llvm-20-dev                        	       0        2        2        0        0
49018 llvm-20-linker-tools               	       0        2        2        0        0
49019 llvm-20-runtime                    	       0        2        2        0        0
49020 llvm-20-tools                      	       0        2        2        0        0
49021 llvm-3.0                           	       0        2        2        0        0
49022 llvm-3.0-dev                       	       0        2        2        0        0
49023 llvm-3.0-runtime                   	       0        2        2        0        0
49024 llvm-3.5                           	       0       10       10        0        0
49025 llvm-3.5-dev                       	       0       10       10        0        0
49026 llvm-3.5-doc                       	       0        2        2        0        0
49027 llvm-3.5-runtime                   	       0       10       10        0        0
49028 llvm-3.8                           	       0        6        6        0        0
49029 llvm-3.8-dev                       	       0        6        6        0        0
49030 llvm-3.8-doc                       	       0        2        0        0        2
49031 llvm-3.8-runtime                   	       0        6        6        0        0
49032 llvm-6.0                           	       0        6        6        0        0
49033 llvm-6.0-dev                       	       0        6        6        0        0
49034 llvm-6.0-runtime                   	       0        6        6        0        0
49035 llvm-7                             	       0       19       19        0        0
49036 llvm-7-dev                         	       0       19       19        0        0
49037 llvm-7-doc                         	       0        1        0        0        1
49038 llvm-7-runtime                     	       0       20       20        0        0
49039 llvm-8                             	       0        3        3        0        0
49040 llvm-8-dev                         	       0        2        2        0        0
49041 llvm-8-runtime                     	       0        3        3        0        0
49042 llvm-9-dev                         	       0       42       42        0        0
49043 llvm-9-doc                         	       0        2        0        0        2
49044 llvm-9-examples                    	       0        1        0        0        1
49045 llvm-amdgpu                        	       0        1        1        0        0
49046 llvm-amdgpu-13.0.50002             	       0        1        1        0        0
49047 llvm-amdgpu-13.0.50002-dev         	       0        1        1        0        0
49048 llvm-amdgpu-13.0.50002-runtime     	       0        1        1        0        0
49049 llvm-amdgpu-18.1-runtime           	       0        1        1        0        0
49050 llvm-amdgpu-runtime                	       0        1        1        0        0
49051 llvm-dev                           	       0       27        0        0       27
49052 llvm-doc                           	       0        1        0        0        1
49053 llvm-spirv                         	       0        2        2        0        0
49054 llvm-spirv-15                      	       0        2        2        0        0
49055 llvm-spirv-17                      	       0        3        3        0        0
49056 llvm-spirv-19                      	       0        1        1        0        0
49057 llvmlite-doc                       	       0        4        0        0        4
49058 lmarbles                           	       0        9        9        0        0
49059 lmbench                            	       0        6        6        0        0
49060 lmbench-doc                        	       0        5        0        0        5
49061 lmdb-doc                           	       0       11        0        0       11
49062 lmdb-go-tools                      	       0        1        1        0        0
49063 lmdb-utils                         	       0        4        4        0        0
49064 lmms-common                        	       0       54        0        0       54
49065 lmms-vst-server                    	       0       16        0        0       16
49066 lmod-doc                           	       0        1        0        0        1
49067 lmodern                            	       0      608        1        0      607
49068 load-dirs-common                   	       0        2        0        0        2
49069 loadlin                            	       0       12       11        1        0
49070 loc-os-23-archive-keyring          	       0        1        0        0        1
49071 locale-en-bs                       	       0       15        0        0       15
49072 localehelper                       	       0        1        1        0        0
49073 localslackirc                      	       0        1        1        0        0
49074 logcheck-database                  	       0       63        0        0       63
49075 loggedfs                           	       0        1        1        0        0
49076 logigsk                            	       0        1        1        0        0
49077 login.defs                         	       0      269        0        0      269
49078 logiops                            	       0        1        1        0        0
49079 logisim                            	       0        4        4        0        0
49080 logisim-evolution                  	       0        1        1        0        0
49081 logjam                             	       0        1        1        0        0
49082 logmein-hamachi                    	       0        5        5        0        0
49083 logout-manager                     	       0       10       10        0        0
49084 logstalgia                         	       0        2        2        0        0
49085 logtool                            	       0        1        1        0        0
49086 logtools                           	       0        4        4        0        0
49087 logtop                             	       0        7        7        0        0
49088 lokalize                           	       0       40       40        0        0
49089 lokalize-data                      	       0        8        0        0        8
49090 lokalize-doc                       	       0        8        0        0        8
49091 loki                               	       0        1        1        0        0
49092 lollypop                           	       0        7        6        1        0
49093 lomiri-thumbnailer-service         	       0        1        1        0        0
49094 lomiri-ui-toolkit-doc              	       0        1        0        0        1
49095 lomiri-ui-toolkit-examples         	       0        1        0        0        1
49096 lomiri-ui-toolkit-theme            	       0        1        0        0        1
49097 lomiri-ui-toolkit-tools            	       0        1        1        0        0
49098 londonlaw                          	       0        2        2        0        0
49099 lookatme                           	       0        1        1        0        0
49100 looktxt                            	       0        1        1        0        0
49101 loop-aes-source                    	       0        1        0        0        1
49102 loop-aes-testsuite                 	       0        1        1        0        0
49103 looptools                          	       0        2        2        0        0
49104 loqui                              	       0        2        2        0        0
49105 lordsawar                          	       0        5        5        0        0
49106 lordsawar-data                     	       0        5        0        0        5
49107 lossywav                           	       0        1        1        0        0
49108 lostirc                            	       0        2        2        0        0
49109 loudgain                           	       0       10       10        0        0
49110 loupe                              	       0        2        1        1        0
49111 lout                               	       0        1        1        0        0
49112 lout-common                        	       0        1        0        0        1
49113 love                               	       0       20       20        0        0
49114 lowdown                            	       0        4        4        0        0
49115 lp-solve-doc                       	       0        5        0        0        5
49116 lpc21isp                           	       0        3        3        0        0
49117 lpctools                           	       0        4        4        0        0
49118 lpe                                	       0        5        5        0        0
49119 lphdisk                            	       0        1        1        0        0
49120 lpkgbuild                          	       0        1        1        0        0
49121 lprint                             	       0        3        3        0        0
49122 lprng-doc                          	       0        7        0        0        7
49123 lr                                 	       0        9        9        0        0
49124 lrcalc                             	       0        1        1        0        0
49125 lrslib                             	       0        1        1        0        0
49126 lsat                               	       0        2        2        0        0
49127 lsb                                	       0        5        0        0        5
49128 lsb-appchk2                        	       0        1        1        0        0
49129 lsb-appchk3                        	       0        1        1        0        0
49130 lsb-build-base2                    	       0        1        1        0        0
49131 lsb-build-base3                    	       0        1        1        0        0
49132 lsb-build-cc3                      	       0        1        1        0        0
49133 lsb-compat                         	       0        6        6        0        0
49134 lsb-cxx                            	       0        4        0        0        4
49135 lsb-desktop                        	       0        5        0        0        5
49136 lsb-graphics                       	       0        5        0        0        5
49137 lsb-languages                      	       0        4        0        0        4
49138 lsb-multimedia                     	       0        5        0        0        5
49139 lsb-pkgchk3                        	       0        1        1        0        0
49140 lsb-printing                       	       0        5        0        0        5
49141 lsb-release-minimal                	       0        1        0        0        1
49142 lsb-rpm                            	       0        1        1        0        0
49143 lsb-security                       	       0       14        0        0       14
49144 lsbappchk                          	       0        1        1        0        0
49145 lscolors                           	       0        5        5        0        0
49146 lsh                                	       0        1        1        0        0
49147 lsh-client                         	       0        2        2        0        0
49148 lsh-doc                            	       0        3        0        0        3
49149 lsh-server                         	       0        1        1        0        0
49150 lsh-utils                          	       0        2        2        0        0
49151 lsh-utils-doc                      	       0        1        0        0        1
49152 lshell                             	       0        1        1        0        0
49153 lshw-common                        	       0        1        0        0        1
49154 lsiutil                            	       0        2        2        0        0
49155 lsix                               	       0        2        2        0        0
49156 lskat                              	       0       56       55        1        0
49157 lskat-data                         	       0       53        0        0       53
49158 lskat-trinity                      	       0       23        0        0       23
49159 lslk                               	       0        1        1        0        0
49160 lsm                                	       0        1        1        0        0
49161 lsp-plugins                        	       0       19        2        0       17
49162 lsp-plugins-clap                   	       0        1        1        0        0
49163 lsp-plugins-data                   	       0        2        0        0        2
49164 lsp-plugins-jack                   	       0       24       23        0        1
49165 lsp-plugins-r3d-glx                	       0       66        0        0       66
49166 lsp-plugins-vst                    	       0       18       14        0        4
49167 lspowertweak                       	       0        1        1        0        0
49168 lswm                               	       0        6        6        0        0
49169 ltpanel                            	       0        2        2        0        0
49170 ltris                              	       0       20       20        0        0
49171 ltsp-docs                          	       0        1        0        0        1
49172 ltsp-server                        	       0        4        4        0        0
49173 ltsp-server-standalone             	       0        3        0        0        3
49174 ltspfs                             	       0        4        4        0        0
49175 ltspice                            	       0        1        1        0        0
49176 lttk-emacs-customization           	       0        1        0        0        1
49177 lttoolbox                          	       0        7        7        0        0
49178 lttoolbox-dev                      	       0        3        3        0        0
49179 lua-ansicolors                     	       0        1        0        0        1
49180 lua-any                            	       0       22       22        0        0
49181 lua-argparse                       	       0        5        0        0        5
49182 lua-augeas                         	       0        1        0        0        1
49183 lua-basexx                         	       0       10        0        0       10
49184 lua-binaryheap                     	       0        6        0        0        6
49185 lua-bit32                          	       0       20        0        0       20
49186 lua-bit32-dev                      	       0        1        1        0        0
49187 lua-bitop-dev                      	       0        1        1        0        0
49188 lua-busted                         	       0        2        2        0        0
49189 lua-cgi                            	       0        2        0        0        2
49190 lua-check                          	       0        5        4        1        0
49191 lua-cjson-dev                      	       0        1        1        0        0
49192 lua-cliargs                        	       0        2        0        0        2
49193 lua-compat53                       	       0        7        0        0        7
49194 lua-copas                          	       0        2        0        0        2
49195 lua-coxpcall                       	       0        5        0        0        5
49196 lua-curl                           	       0        4        0        0        4
49197 lua-curl-dev                       	       0        4        4        0        0
49198 lua-curses                         	       0        4        0        0        4
49199 lua-curses-dev                     	       0        4        4        0        0
49200 lua-cyrussasl                      	       0        2        0        0        2
49201 lua-dbi-common                     	       0        5        0        0        5
49202 lua-dbi-mysql                      	       0        2        0        0        2
49203 lua-dbi-mysql-dev                  	       0        1        1        0        0
49204 lua-dbi-postgresql                 	       0        3        0        0        3
49205 lua-dbi-postgresql-dev             	       0        1        1        0        0
49206 lua-dbi-sqlite3-dev                	       0        1        1        0        0
49207 lua-dkjson                         	       0        2        0        0        2
49208 lua-doc                            	       0        6        0        0        6
49209 lua-expat-dev                      	       0        3        3        0        0
49210 lua-fifo                           	       0        6        0        0        6
49211 lua-filesystem-dev                 	       0        3        3        0        0
49212 lua-geoip                          	       0        1        0        0        1
49213 lua-geoip-dev                      	       0        1        1        0        0
49214 lua-http                           	       0        6        0        0        6
49215 lua-iconv                          	       0        1        0        0        1
49216 lua-iconv-dev                      	       0        1        1        0        0
49217 lua-inifile                        	       0        2        0        0        2
49218 lua-inotify                        	       0        1        0        0        1
49219 lua-inotify-dev                    	       0        1        1        0        0
49220 lua-inspect                        	       0        5        0        0        5
49221 lua-json                           	       0      205        0        0      205
49222 lua-ldoc                           	       0        2        2        0        0
49223 lua-lgi-dev                        	       0        1        1        0        0
49224 lua-ljsyscall                      	       0        1        0        0        1
49225 lua-logging                        	       0        6        0        0        6
49226 lua-lpeg                           	       0      910        0        0      910
49227 lua-lpeg-dev                       	       0        2        2        0        0
49228 lua-lpeg-patterns                  	       0        7        0        0        7
49229 lua-lsqlite3                       	       0        1        0        0        1
49230 lua-lsqlite3-dev                   	       0        1        1        0        0
49231 lua-luacsnd6                       	       0        1        0        0        1
49232 lua-luaossl                        	       0        9        1        0        8
49233 lua-luassert                       	       0        2        0        0        2
49234 lua-luv-dev                        	       0        1        1        0        0
49235 lua-lxc                            	       0        1        0        0        1
49236 lua-markdown                       	       0        1        0        0        1
49237 lua-md5                            	       0        2        0        0        2
49238 lua-md5-dev                        	       0        1        1        0        0
49239 lua-mediator                       	       0        2        0        0        2
49240 lua-mmdb                           	       0        5        0        0        5
49241 lua-mode                           	       0        1        0        0        1
49242 lua-moses                          	       0        1        0        0        1
49243 lua-mpack                          	       0        3        0        0        3
49244 lua-nvim                           	       0        3        0        0        3
49245 lua-penlight                       	       0        4        0        0        4
49246 lua-penlight-dev                   	       0        1        0        0        1
49247 lua-posix                          	       0       15        0        0       15
49248 lua-posix-dev                      	       0        3        3        0        0
49249 lua-readline                       	       0       11        0        0       11
49250 lua-readline-dev                   	       0        2        2        0        0
49251 lua-redis                          	       0        1        0        0        1
49252 lua-redis-dev                      	       0        1        0        0        1
49253 lua-resty-core                     	       0        2        0        0        2
49254 lua-resty-lrucache                 	       0        2        0        0        2
49255 lua-rex-doc                        	       0        1        0        0        1
49256 lua-rex-gnu                        	       0        1        0        0        1
49257 lua-rex-gnu-dev                    	       0        1        1        0        0
49258 lua-rex-pcre                       	       0        1        0        0        1
49259 lua-rex-pcre2                      	       0        1        0        0        1
49260 lua-rex-pcre2-dev                  	       0        1        1        0        0
49261 lua-rings                          	       0        1        0        0        1
49262 lua-say                            	       0        2        0        0        2
49263 lua-soap                           	       0        1        0        0        1
49264 lua-socket-dev                     	       0        1        1        0        0
49265 lua-sql-doc                        	       0        1        0        0        1
49266 lua-sql-mysql                      	       0        2        0        0        2
49267 lua-sql-mysql-dev                  	       0        2        2        0        0
49268 lua-sql-odbc                       	       0        1        0        0        1
49269 lua-sql-odbc-dev                   	       0        1        1        0        0
49270 lua-sql-postgres                   	       0        1        0        0        1
49271 lua-sql-postgres-dev               	       0        1        1        0        0
49272 lua-sql-sqlite3                    	       0        7        0        0        7
49273 lua-sql-sqlite3-dev                	       0        1        1        0        0
49274 lua-struct                         	       0        1        0        0        1
49275 lua-struct-dev                     	       0        1        1        0        0
49276 lua-svn                            	       0        5        0        0        5
49277 lua-svn-dev                        	       0        1        1        0        0
49278 lua-system                         	       0        2        0        0        2
49279 lua-system-dev                     	       0        1        0        1        0
49280 lua-systemd                        	       0        1        0        0        1
49281 lua-systemd-dev                    	       0        1        1        0        0
49282 lua-term                           	       0        2        0        0        2
49283 lua-term-dev                       	       0        1        1        0        0
49284 lua-torch-cwrap                    	       0        1        0        0        1
49285 lua-torch-dok                      	       0        1        0        0        1
49286 lua-torch-graph                    	       0        1        0        0        1
49287 lua-torch-image                    	       0        1        0        0        1
49288 lua-torch-nn                       	       0        1        0        0        1
49289 lua-torch-nngraph                  	       0        1        0        0        1
49290 lua-torch-optim                    	       0        1        0        0        1
49291 lua-torch-paths                    	       0        1        0        0        1
49292 lua-torch-sundown                  	       0        1        0        0        1
49293 lua-torch-sys                      	       0        1        0        0        1
49294 lua-torch-torch7                   	       0        1        0        0        1
49295 lua-torch-trepl                    	       0        1        0        0        1
49296 lua-torch-xlua                     	       0        1        0        0        1
49297 lua-unit                           	       0        2        0        0        2
49298 lua-uri                            	       0        1        0        0        1
49299 lua-uri-dev                        	       0        1        0        0        1
49300 lua-wsapi                          	       0        1        1        0        0
49301 lua-xmlrpc                         	       0        1        0        0        1
49302 lua-yaml                           	       0        2        0        0        2
49303 lua-yaml-dev                       	       0        1        1        0        0
49304 lua-zip                            	       0        2        0        0        2
49305 lua-zip-dev                        	       0        1        1        0        0
49306 lua-zlib                           	       0        4        0        0        4
49307 lua-zlib-dev                       	       0        1        1        0        0
49308 lua40                              	       0        1        1        0        0
49309 lua5.2-doc                         	       0        3        0        0        3
49310 lua50                              	       0        6        6        0        0
49311 luadoc                             	       0        2        2        0        0
49312 luajit                             	       0       16       16        0        0
49313 luajit2                            	       0        1        1        0        0
49314 luakit                             	       0       10       10        0        0
49315 luanti                             	       0        1        0        1        0
49316 luanti-data                        	       0        1        0        0        1
49317 luanti-server                      	       0        1        0        1        0
49318 luarocks                           	       0       20       20        0        0
49319 luasseq                            	       0        1        0        0        1
49320 luatex                             	       0        5        0        0        5
49321 luckybackup-data                   	       0       17        0        0       17
49322 luftikus-lv2                       	       0        1        1        0        0
49323 lugaru                             	       0        6        6        0        0
49324 lugaru-data                        	       0        7        0        0        7
49325 luksipc                            	       0        1        1        0        0
49326 luksmeta                           	       0        9        9        0        0
49327 luminance-hdr                      	       0        6        6        0        0
49328 lunar                              	       0        1        1        0        0
49329 lunarg-gfxreconstruct              	       0        2        2        0        0
49330 lunarg-via                         	       0        2        2        0        0
49331 lunarg-vkconfig                    	       0        2        2        0        0
49332 lunarg-vktrace                     	       0        1        1        0        0
49333 lunarg-vulkan-layers               	       0        2        0        0        2
49334 luniistore                         	       0        1        1        0        0
49335 luola                              	       0        3        3        0        0
49336 luola-data                         	       0        3        0        0        3
49337 luola-levels                       	       0        3        0        0        3
49338 luola-nostalgy                     	       0        1        0        0        1
49339 luppp                              	       0        2        2        0        0
49340 lur-command                        	       0        3        3        0        0
49341 lure-of-the-temptress              	       0       16       16        0        0
49342 lusernet.app                       	       0        1        1        0        0
49343 luvcview                           	       0        2        2        0        0
49344 luxman                             	       0        1        1        0        0
49345 lv2-c++-tools                      	       0        3        3        0        0
49346 lv2-dev                            	       0       34       34        0        0
49347 lv2-examples                       	       0        1        1        0        0
49348 lv2file                            	       0        6        6        0        0
49349 lv2proc                            	       0        6        6        0        0
49350 lv2vocoder                         	       0        7        7        0        0
49351 lv2vst                             	       0        1        0        0        1
49352 lvsupport2023-vianalyzer           	       0        1        0        0        1
49353 lvtk-tools                         	       0        1        1        0        0
49354 lwm                                	       0        8        8        0        0
49355 lwp                                	       0        1        1        0        0
49356 lwresd                             	       0        4        4        0        0
49357 lx-gdb                             	       0        1        1        0        0
49358 lx-gdb-dbgsym                      	       0        1        1        0        0
49359 lxappearance-dbg                   	       0        2        2        0        0
49360 lxappearance-obconf                	       0      195        0        0      195
49361 lxappearance-obconf-dbg            	       0        1        1        0        0
49362 lxc-dbgsym                         	       0        1        1        0        0
49363 lxc-dev                            	       0        2        2        0        0
49364 lxc-templates                      	       0       69        0        0       69
49365 lxc-tests                          	       0        1        1        0        0
49366 lxc-tests-dbgsym                   	       0        1        1        0        0
49367 lxcfs-dbgsym                       	       0        1        1        0        0
49368 lxd-agent                          	       0       10       10        0        0
49369 lxd-client                         	       0       10       10        0        0
49370 lxd-migrate                        	       0        1        1        0        0
49371 lxd-tools                          	       0        2        2        0        0
49372 lxde                               	       0      153        0        0      153
49373 lxde-core                          	       0      180        0        0      180
49374 lxde-icon-theme                    	       0      241        0        0      241
49375 lxde-settings-daemon-dbgsym        	       0        2        2        0        0
49376 lxdm-dbgsym                        	       0        1        1        0        0
49377 lxdm-gtk3                          	       0        1        1        0        0
49378 lxhotkey-core-dbgsym               	       0        1        1        0        0
49379 lxhotkey-data                      	       0      171        0        0      171
49380 lxhotkey-dev                       	       0        1        1        0        0
49381 lxhotkey-gtk                       	       0      180        0        0      180
49382 lxhotkey-gtk-dbgsym                	       0        1        1        0        0
49383 lxhotkey-plugin-openbox            	       0      171        0        0      171
49384 lxhotkey-plugin-openbox-dbgsym     	       0        1        1        0        0
49385 lxi-tools                          	       0        1        1        0        0
49386 lxi-tools-dbgsym                   	       0        1        1        0        0
49387 lximage-qt-dbgsym                  	       0        1        1        0        0
49388 lximage-qt-l10n                    	       0      283        0        0      283
49389 lxinput-dbg                        	       0        1        1        0        0
49390 lxlauncher-dbg                     	       0        2        2        0        0
49391 lxmenu-data                        	       0      570        0        0      570
49392 lxmms2                             	       0        1        1        0        0
49393 lxmms2-dbgsym                      	       0        1        1        0        0
49394 lxmusic-dbg                        	       0        1        1        0        0
49395 lxmusserv                          	       0        1        1        0        0
49396 lxpanel-dbgsym                     	       0        2        2        0        0
49397 lxpanel-dev                        	       0        2        2        0        0
49398 lxpanel-plugin-topmenu             	       0        1        0        0        1
49399 lxpolkit-dbgsym                    	       0        1        1        0        0
49400 lxqt                               	       0      240        0        0      240
49401 lxqt-about-dbgsym                  	       0        1        1        0        0
49402 lxqt-about-l10n                    	       0      262        0        0      262
49403 lxqt-admin-dbgsym                  	       0        1        1        0        0
49404 lxqt-admin-l10n                    	       0      254        0        0      254
49405 lxqt-archiver-dbgsym               	       0        1        1        0        0
49406 lxqt-archiver-l10n                 	       0       38        0        0       38
49407 lxqt-branding-debian               	       0      260        0        0      260
49408 lxqt-build-tools                   	       0        7        7        0        0
49409 lxqt-common                        	       0        3        3        0        0
49410 lxqt-config-dbgsym                 	       0        1        1        0        0
49411 lxqt-config-l10n                   	       0      280        3        0      277
49412 lxqt-core                          	       0      258        0        0      258
49413 lxqt-globalkeys-dbgsym             	       0        1        1        0        0
49414 lxqt-globalkeys-l10n               	       0      261        0        0      261
49415 lxqt-menu-data                     	       0       14        0        0       14
49416 lxqt-notificationd-dbgsym          	       0        1        1        0        0
49417 lxqt-openssh-askpass-dbgsym        	       0        1        1        0        0
49418 lxqt-openssh-askpass-l10n          	       0      262        0        0      262
49419 lxqt-qtplugin-dbgsym               	       0        1        1        0        0
49420 lxqt-runner-dbgsym                 	       0        1        1        0        0
49421 lxqt-session-dbgsym                	       0        1        1        0        0
49422 lxqt-sudo-dbgsym                   	       0        1        1        0        0
49423 lxqt-sudo-l10n                     	       0      281        0        0      281
49424 lxqt-system-theme                  	       0      287        0        0      287
49425 lxqt-theme-debian                  	       0      264        0        0      264
49426 lxqt-themes                        	       0      267        0        0      267
49427 lxrandr-dbg                        	       0        2        2        0        0
49428 lxsession-dbgsym                   	       0        1        1        0        0
49429 lxsession-default-apps             	       0      146      130       16        0
49430 lxsession-default-apps-dbgsym      	       0        1        1        0        0
49431 lxsession-edit-dbgsym              	       0        2        2        0        0
49432 lxsession-logout-dbgsym            	       0        1        1        0        0
49433 lxshortcut                         	       0        8        8        0        0
49434 lxtask-dbgsym                      	       0        2        2        0        0
49435 lxterminal-dbgsym                  	       0        2        2        0        0
49436 lybniz                             	       0        1        1        0        0
49437 lycheeslicer                       	       0        3        2        0        1
49438 lynx-build-deps                    	       0        1        0        0        1
49439 lynx-cur                           	       0       52       13        0       39
49440 lynx-cur-wrapper                   	       0        2        0        0        2
49441 lynx-dbgsym                        	       0        1        1        0        0
49442 lysdr                              	       0        2        2        0        0
49443 lyx-common                         	       0       98        0        0       98
49444 lz4json                            	       0       10       10        0        0
49445 lzdoom                             	       0        1        1        0        0
49446 lzfse                              	       0        1        1        0        0
49447 lzma-dev                           	       0       16       16        0        0
49448 m-tx                               	       0        2        1        0        1
49449 m16c-flash                         	       0        5        5        0        0
49450 m17-demod                          	       0        1        1        0        0
49451 m17n-contrib                       	       0        8        0        0        8
49452 m17n-docs                          	       0       11        0        0       11
49453 m2r                                	       0        4        4        0        0
49454 m4-doc                             	       0       35        0        0       35
49455 maatkit                            	       0        1        1        0        0
49456 mac                                	       0        2        1        0        1
49457 mac-fdisk-cross                    	       0        1        1        0        0
49458 macaulay2-common                   	       0        2        0        0        2
49459 macbuntu-os-plank-theme-v1804      	       0        1        0        0        1
49460 machineid                          	       0        1        0        1        0
49461 macopix                            	       0        3        3        0        0
49462 macopix-gtk2                       	       0        1        1        0        0
49463 macs                               	       0        2        2        0        0
49464 mactelnet-client                   	       0        9        9        0        0
49465 mactelnet-locales                  	       0        1        0        0        1
49466 mactelnet-server                   	       0        3        3        0        0
49467 mad-json                           	       0        1        1        0        0
49468 mad-pearls                         	       0        1        1        0        0
49469 mad-racoon-conf                    	       0        1        1        0        0
49470 mad-tcp-tls                        	       0        1        1        0        0
49471 mad-vpn-config                     	       0        1        1        0        0
49472 madbomber-data                     	       0        6        0        0        6
49473 madison-lite                       	       0        2        2        0        0
49474 madplay                            	       0        9        9        0        0
49475 madwifi-modules-2.6.26-486-voyage  	       0        1        0        0        1
49476 madwifi-tools                      	       0        1        1        0        0
49477 maelstrom                          	       0        4        4        0        0
49478 maffilter                          	       0        1        1        0        0
49479 mafft                              	       0        5        5        0        0
49480 magic                              	       0        7        7        0        0
49481 magic-wormhole                     	       0       15       15        0        0
49482 magicfilter                        	       0       13       13        0        0
49483 magicmaze                          	       0        5        5        0        0
49484 magicor                            	       0        3        3        0        0
49485 magicor-data                       	       0        3        0        0        3
49486 magics++                           	       0        2        2        0        0
49487 magit                              	       0        2        0        0        2
49488 magnetic                           	       0        1        1        0        0
49489 magnus                             	       0        5        5        0        0
49490 mah-jong                           	       0        5        5        0        0
49491 mahjongg                           	       0        4        0        0        4
49492 mail-notification                  	       0        1        1        0        0
49493 mailcrypt                          	       0        2        2        0        0
49494 maildir-filter                     	       0        1        1        0        0
49495 mailfilter                         	       0        4        4        0        0
49496 mailfront                          	       0        1        1        0        0
49497 mailgraph                          	       0        1        1        0        0
49498 mailman3-full                      	       0        2        0        0        2
49499 mailman3-web                       	       0        2        2        0        0
49500 mailscripts                        	       0        4        4        0        0
49501 mailspring                         	       0        1        1        0        0
49502 mailsync                           	       0        2        2        0        0
49503 mailtextbody                       	       0        2        2        0        0
49504 mailutils-common                   	       0     1183        0        0     1183
49505 mailutils-comsatd                  	       0        1        1        0        0
49506 mailutils-doc                      	       0       15        0        0       15
49507 mailutils-guile                    	       0        1        1        0        0
49508 mailutils-imap4d                   	       0        1        1        0        0
49509 mailutils-pop3d                    	       0        1        1        0        0
49510 mailx                              	       0        7        0        0        7
49511 maint-guide                        	       0       13        0        0       13
49512 maint-guide-de                     	       0        2        0        0        2
49513 maint-guide-es                     	       0        3        0        0        3
49514 maint-guide-fr                     	       0        4        0        0        4
49515 maint-guide-it                     	       0      113        0        0      113
49516 maint-guide-ru                     	       0        1        0        0        1
49517 maitreya                           	       0        1        1        0        0
49518 maitreya8                          	       0        1        1        0        0
49519 make-dbgsym                        	       0        1        1        0        0
49520 make-dfsg-build-deps               	       0        1        0        0        1
49521 make-doc                           	       0       53        0        0       53
49522 makebootfat                        	       0       14       13        1        0
49523 makedeb                            	       0        9        8        1        0
49524 makedepf90                         	       0        1        1        0        0
49525 makedic                            	       0        1        1        0        0
49526 makefile2graph                     	       0        1        1        0        0
49527 makehrtf                           	       0        2        2        0        0
49528 makehuman-data                     	       0        2        0        0        2
49529 makehuman-doc                      	       0        2        0        0        2
49530 makepkg                            	       0        4        4        0        0
49531 makepp                             	       0        2        2        0        0
49532 makexvpics                         	       0        1        1        0        0
49533 maldet                             	       0        1        1        0        0
49534 maliit-framework                   	       0        1        1        0        0
49535 maliit-keyboard                    	       0        1        1        0        0
49536 mallard-rng                        	       0       10        0        0       10
49537 maltego                            	       0        1        1        0        0
49538 mame-data                          	       0       46        0        0       46
49539 mame-doc                           	       0        5        0        0        5
49540 mame-extra                         	       0       12        0        0       12
49541 mame-tools                         	       0       25       25        0        0
49542 man-db-dbgsym                      	       0        1        1        0        0
49543 mana                               	       0        1        1        0        0
49544 mana-data                          	       0        1        0        0        1
49545 manaplus                           	       0       14       14        0        0
49546 manaplus-data                      	       0       14        0        0       14
49547 mancala                            	       0        6        6        0        0
49548 mandelbulber                       	       0        1        1        0        0
49549 mandelbulber2                      	       0        6        6        0        0
49550 mandelbulber2-data                 	       0        6        6        0        0
49551 mandos                             	       0        1        1        0        0
49552 mandos-client                      	       0        3        3        0        0
49553 mangler                            	       0        2        2        0        0
49554 mangoapp                           	       0        1        1        0        0
49555 mangohudctl                        	       0        3        3        0        0
49556 manpages                           	       0     4049        0        0     4049
49557 manpages-da                        	       0        1        0        0        1
49558 manpages-de                        	       0      370        0        0      370
49559 manpages-de-dev                    	       0        9        0        0        9
49560 manpages-dev                       	       0     2821        0        0     2821
49561 manpages-es                        	       0      287        0        0      287
49562 manpages-es-dev                    	       0        4        0        0        4
49563 manpages-fr                        	       0      102        0        0      102
49564 manpages-fr-dev                    	       0        4        0        0        4
49565 manpages-fr-extra                  	       0        5        0        0        5
49566 manpages-hu                        	       0       18        0        0       18
49567 manpages-it                        	       0      115        0        0      115
49568 manpages-ja                        	       0       39        0        0       39
49569 manpages-ja-dev                    	       0       38        0        0       38
49570 manpages-mk                        	       0        1        0        0        1
49571 manpages-nl                        	       0       15        0        0       15
49572 manpages-opengl                    	       0        1        0        0        1
49573 manpages-pl                        	       0       74        0        0       74
49574 manpages-pl-dev                    	       0       74        0        0       74
49575 manpages-posix                     	       0       32        0        0       32
49576 manpages-posix-dev                 	       0       28        0        0       28
49577 manpages-pt                        	       0        9        0        0        9
49578 manpages-pt-br                     	       0       45        0        0       45
49579 manpages-pt-br-dev                 	       0        1        0        0        1
49580 manpages-pt-dev                    	       0        1        0        0        1
49581 manpages-ro                        	       0        4        0        0        4
49582 manpages-ru                        	       0        3        0        0        3
49583 manpages-ru-dev                    	       0        2        0        0        2
49584 manpages-tr                        	       0       10        0        0       10
49585 manpages-zh                        	       0       12        0        0       12
49586 manticore                          	       0        1        1        0        0
49587 manticore-columnar-lib             	       0        2        1        0        1
49588 manticore-repo                     	       0        2        2        0        0
49589 manuskript                         	       0        9        9        0        0
49590 mapcache-tools                     	       0        3        3        0        0
49591 mapcode                            	       0        6        6        0        0
49592 mapivi                             	       0        5        5        0        0
49593 mapnik-doc                         	       0        1        0        0        1
49594 mapnik-reference                   	       0        1        0        0        1
49595 mapnik-utils                       	       0        5        5        0        0
49596 mapproxy                           	       0        2        2        0        0
49597 mapserver-doc                      	       0        1        0        0        1
49598 maptool                            	       0       15       15        0        0
49599 maq                                	       0        4        4        0        0
49600 maqview                            	       0        1        1        0        0
49601 maradns                            	       0        2        2        0        0
49602 maradns-deadwood                   	       0        2        2        0        0
49603 maradns-docs                       	       0        1        0        0        1
49604 maradns-zoneserver                 	       0        2        2        0        0
49605 marble-data                        	       0       88        0        0       88
49606 marble-maps                        	       0        4        4        0        0
49607 marble-plugins                     	       0      137        2        0      135
49608 marble-qt                          	       0       12       11        1        0
49609 marble-qt-data                     	       0      137        1        0      136
49610 marco-build-deps                   	       0        1        0        0        1
49611 maria                              	       0        3        3        0        0
49612 maria-doc                          	       0        3        0        0        3
49613 mariadb-client-10.2                	       0        1        1        0        0
49614 mariadb-client-10.6                	       0        1        1        0        0
49615 mariadb-client-core-10.0           	       0        5        5        0        0
49616 mariadb-client-core-10.2           	       0        1        1        0        0
49617 mariadb-client-core-10.6           	       0        1        1        0        0
49618 mariadb-common                     	       0     2242        0        0     2242
49619 mariadb-plugin-connect-jdbc        	       0        1        0        0        1
49620 mariadb-plugin-cracklib-password-check	       0        1        0        1        0
49621 mariadb-plugin-gssapi-client       	       0        1        0        0        1
49622 mariadb-plugin-gssapi-server       	       0        2        2        0        0
49623 mariadb-server-10.2                	       0        1        1        0        0
49624 mariadb-server-10.6                	       0        1        1        0        0
49625 mariadb-server-core-10.0           	       0        5        5        0        0
49626 mariadb-server-core-10.2           	       0        1        1        0        0
49627 mariadb-server-core-10.6           	       0        1        1        0        0
49628 mariadb-test                       	       0       11       10        1        0
49629 mariadb-test-data                  	       0       12       11        1        0
49630 markdent                           	       0        1        1        0        0
49631 markdown-unlit                     	       0        1        1        0        0
49632 markdownlint                       	       0        1        1        0        0
49633 markdownpart                       	       0        6        0        0        6
49634 marktext                           	       0        3        3        0        0
49635 marsshooter                        	       0       10       10        0        0
49636 marsshooter-data                   	       0       11        0        0       11
49637 masmemoria                         	       0        8        0        0        8
49638 massif-visualizer                  	       0        2        1        1        0
49639 master-pdf-editor                  	       0       22        0        0       22
49640 master-pdf-editor-5                	       0       13        3        0       10
49641 mat                                	       0        5        1        0        4
49642 mat2                               	       0       29       28        1        0
49643 matchbox                           	       0        2        0        0        2
49644 matchbox-common                    	       0        3        3        0        0
49645 matchbox-desktop                   	       0        2        2        0        0
49646 matchbox-keyboard-im               	       0        8        0        0        8
49647 matchbox-panel                     	       0        3        3        0        0
49648 matchbox-panel-manager             	       0        3        3        0        0
49649 matchbox-themes-extra              	       0        1        0        0        1
49650 matchbox-window-manager            	       0        8        8        0        0
49651 mate-archive-keyring               	       0        1        0        0        1
49652 mate-backgrounds                   	       0      520        0        0      520
49653 mate-common                        	       0       19       17        2        0
49654 mate-common-build-deps             	       0        1        0        0        1
49655 mate-core                          	       0       23        0        0       23
49656 mate-desktop-environment           	       0      513        0        0      513
49657 mate-desktop-environment-core      	       0      518        0        0      518
49658 mate-desktop-environment-extra     	       0       22        0        0       22
49659 mate-desktop-environment-extras    	       0       56        0        0       56
49660 mate-dock-applet                   	       0       57        0        0       57
49661 mate-equake-applet                 	       0        6        0        0        6
49662 mate-extras-login-sound            	       0        1        1        0        0
49663 mate-hud                           	       0        4        4        0        0
49664 mate-icon-theme                    	       0      792        0        0      792
49665 mate-icon-theme-faenza             	       0       80        0        0       80
49666 mate-indicator-applet              	       0      114        0        0      114
49667 mate-indicator-applet-common       	       0      116        0        0      116
49668 mate-media-pulse                   	       0        2        2        0        0
49669 mate-menus                         	       0      534        0        0      534
49670 mate-netbook-common                	       0       11        0        0       11
49671 mate-settings-daemon-dev           	       0        5        5        0        0
49672 mate-settings-daemon-pulse         	       0        2        2        0        0
49673 mate-submodules-source             	       0        3        0        0        3
49674 mate-system-monitor-common         	       0      523        5        0      518
49675 mate-system-tools                  	       0        1        1        0        0
49676 mate-system-tools-common           	       0        3        0        0        3
49677 mate-terminal-dbgsym               	       0        1        1        0        0
49678 mate-themes                        	       0      747        0        0      747
49679 mate-user-guide                    	       0      542        0        0      542
49680 mate-user-share-common             	       0       59        0        0       59
49681 mate-utils-common                  	       0      525        1        0      524
49682 mate-window-applets-common         	       0       20        0        0       20
49683 mate-window-buttons-applet         	       0       19        0        0       19
49684 mate-window-menu-applet            	       0       18        0        0       18
49685 mate-window-title-applet           	       0       19        0        0       19
49686 mate-xapp-status-applet            	       0        9        0        0        9
49687 materia-gtk-theme                  	       0       44        0        0       44
49688 materia-kde                        	       0       20        0        0       20
49689 mathemagics-trinity                	       0        1        1        0        0
49690 mathematica-fonts                  	       0        5        0        0        5
49691 mathgl                             	       0        4        4        0        0
49692 mathicgb                           	       0        1        1        0        0
49693 mathpiper                          	       0       33        0        0       33
49694 mathtex                            	       0        1        1        0        0
49695 mathwar                            	       0        1        1        0        0
49696 matlab-psychtoolbox-3              	       0        1        1        0        0
49697 matlab-psychtoolbox-3-nonfree      	       0        1        1        0        0
49698 matlab-support                     	       0        1        1        0        0
49699 matlab-support-dev                 	       0        1        0        0        1
49700 matrem                             	       0        1        1        0        0
49701 matrix-org-archive-keyring         	       0        1        0        0        1
49702 matrix-synapse-py3                 	       0        1        1        0        0
49703 maude                              	       0        1        1        0        0
49704 maven-ant-helper                   	       0        4        0        0        4
49705 maven-cache-cleanup                	       0        4        4        0        0
49706 maven-debian-helper                	       0        5        5        0        0
49707 maven-repo-helper                  	       0        9        9        0        0
49708 maxima-doc                         	       0       45        0        0       45
49709 maxima-emacs                       	       0        6        6        0        0
49710 maxima-sage                        	       0       14       14        0        0
49711 maxima-sage-doc                    	       0       13        0        0       13
49712 maxima-sage-share                  	       0       14        0        0       14
49713 maxima-share                       	       0       50        0        0       50
49714 maxima-src                         	       0       17        0        0       17
49715 maxima-test                        	       0       16        0        0       16
49716 mayavi2                            	       0        3        3        0        0
49717 maybe                              	       0        1        1        0        0
49718 mazeofgalious-data                 	       0        6        0        0        6
49719 mbpoll                             	       0        5        5        0        0
49720 mbrola-ca1                         	       0        1        0        0        1
49721 mbrola-ca2                         	       0        1        0        0        1
49722 mbrola-de1                         	       0        2        0        0        2
49723 mbrola-de2                         	       0        2        0        0        2
49724 mbrola-de3                         	       0        2        0        0        2
49725 mbrola-de4                         	       0        2        0        0        2
49726 mbrola-de5                         	       0        2        0        0        2
49727 mbrola-de6                         	       0        2        0        0        2
49728 mbrola-de7                         	       0        2        0        0        2
49729 mbrola-de8                         	       0        2        0        0        2
49730 mbrola-en1                         	       0        7        0        0        7
49731 mbrola-es1                         	       0        4        0        0        4
49732 mbrola-es2                         	       0        3        0        0        3
49733 mbrola-es3                         	       0        2        0        0        2
49734 mbrola-es4                         	       0        2        0        0        2
49735 mbrola-fr1                         	       0        2        0        0        2
49736 mbrola-fr2                         	       0        3        0        0        3
49737 mbrola-fr3                         	       0        1        0        0        1
49738 mbrola-fr4                         	       0        3        0        0        3
49739 mbrola-fr5                         	       0        1        0        0        1
49740 mbrola-fr6                         	       0        2        0        0        2
49741 mbrola-fr7                         	       0        1        0        0        1
49742 mbrola-it1                         	       0        1        0        0        1
49743 mbrola-it2                         	       0        1        0        0        1
49744 mbrola-it3                         	       0        1        0        0        1
49745 mbrola-it4                         	       0        1        0        0        1
49746 mbrola-la1                         	       0        1        0        0        1
49747 mbrola-mx1                         	       0        2        0        0        2
49748 mbrola-mx2                         	       0        2        0        0        2
49749 mbrola-nl1                         	       0        1        0        0        1
49750 mbrola-nl2                         	       0        1        0        0        1
49751 mbrola-nl3                         	       0        1        0        0        1
49752 mbrola-us1                         	       0       11        0        0       11
49753 mbrola-us2                         	       0        7        0        0        7
49754 mbrola-us3                         	       0        7        0        0        7
49755 mbt                                	       0        2        2        0        0
49756 mbtserver                          	       0        2        2        0        0
49757 mbw                                	       0        5        5        0        0
49758 mccs                               	       0        3        3        0        0
49759 mcdp                               	       0        1        1        0        0
49760 mcds                               	       0        1        1        0        0
49761 mcl                                	       0        2        2        0        0
49762 mcli                               	       0        2        2        0        0
49763 mcollective-common                 	       0        2        2        0        0
49764 mcollective-doc                    	       0        2        2        0        0
49765 mconfig                            	       0        1        1        0        0
49766 mcpelauncher-manifest              	       0        1        1        0        0
49767 mcpelauncher-ui-manifest           	       0        1        1        0        0
49768 mcpp                               	       0        2        2        0        0
49769 mcpp-doc                           	       0        1        0        0        1
49770 mcrcon                             	       0        1        1        0        0
49771 mcron                              	       0        2        2        0        0
49772 mcu8051ide                         	       0        9        8        1        0
49773 mcvert                             	       0        1        1        0        0
49774 md2term                            	       0        4        4        0        0
49775 md4c-build-deps                    	       0        1        0        0        1
49776 md5deep                            	       0        2        0        0        2
49777 mdbook                             	       0        1        0        1        0
49778 mdbtools-doc                       	       0        8        0        0        8
49779 mdc                                	       0        1        1        0        0
49780 mdfinder.app                       	       0        1        1        0        0
49781 mdformat                           	       0        1        1        0        0
49782 mdi                                	       0        1        1        0        0
49783 mdk                                	       0        1        1        0        0
49784 mdk-doc                            	       0        1        0        0        1
49785 mdk3                               	       0       21       21        0        0
49786 mdk4                               	       0       21       21        0        0
49787 mdm                                	       0        7        6        1        0
49788 mdnsd                              	       0        1        1        0        0
49789 mdp                                	       0        6        6        0        0
49790 mecab                              	       0        5        4        1        0
49791 mecab-ipadic                       	       0        8        0        0        8
49792 mecab-ipadic-utf8                  	       0        5        0        0        5
49793 mecab-jumandic                     	       0        5        0        0        5
49794 mecab-jumandic-utf8                	       0        5        0        0        5
49795 mecab-naist-jdic                   	       0        1        0        0        1
49796 mecab-naist-jdic-eucjp             	       0        1        0        0        1
49797 mecab-utils                        	       0       12        9        3        0
49798 mechvibes                          	       0        1        0        0        1
49799 mecs-racoon                        	       0        1        1        0        0
49800 med-config                         	       0        5        5        0        0
49801 med-imaging                        	       0        2        2        0        0
49802 med-tasks                          	       0       12        0        0       12
49803 medcon                             	       0        9        9        0        0
49804 media-types                        	       0     3692        0        0     3692
49805 mediaconch                         	       0        2        2        0        0
49806 mediaconch-gui                     	       0        2        2        0        0
49807 mediaelch                          	       0        1        1        0        0
49808 mediascanner2.0                    	       0        1        1        0        0
49809 mediastreamer2-plugin-openh264     	       0       21        1        0       20
49810 mediatomb                          	       0        1        0        0        1
49811 mediatomb-common                   	       0        1        1        0        0
49812 mediatomb-daemon                   	       0        1        0        0        1
49813 mediawiki-extension-youtube        	       0        1        1        0        0
49814 mediawiki2latex                    	       0        2        2        0        0
49815 mediawiki2latexguipyqt             	       0        1        1        0        0
49816 medit                              	       0        4        4        0        0
49817 meep                               	       0        6        6        0        0
49818 meep-openmpi                       	       0        1        1        0        0
49819 megacmd                            	       0        5        5        0        0
49820 megactl                            	       0        1        1        0        0
49821 megaglest                          	       0       13       13        0        0
49822 megaglest-data                     	       0       15        0        0       15
49823 megamgr                            	       0        1        1        0        0
49824 megapixels                         	       0        5        5        0        0
49825 megazeux                           	       0        1        1        0        0
49826 meilisearch                        	       0        1        1        0        0
49827 meli                               	       0        1        0        1        0
49828 melt7                              	       0        7        6        1        0
49829 melting                            	       0        1        1        0        0
49830 members                            	       0       13       13        0        0
49831 memleax                            	       0        1        1        0        0
49832 memlockd                           	       0        1        1        0        0
49833 memo                               	       0        2        2        0        0
49834 memtool                            	       0        5        5        0        0
49835 mendeleydesktop                    	       0        2        2        0        0
49836 mendexk                            	       0        1        1        0        0
49837 mensis                             	       0        1        1        0        0
49838 menu-l10n                          	       0       27        0        0       27
49839 menu-xdg                           	       0      375        0        0      375
49840 mercurial-buildpackage             	       0        1        1        0        0
49841 mercurial-dbgsym                   	       0        1        1        0        0
49842 mercurial-extension-utils          	       0        1        1        0        0
49843 mercurial-keyring                  	       0        5        5        0        0
49844 mercury-browser                    	       0        4        4        0        0
49845 merecat                            	       0        2        2        0        0
49846 merge3                             	       0        2        2        0        0
49847 mergebox                           	       0        2        2        0        0
49848 meritous                           	       0        5        5        0        0
49849 meritous-data                      	       0        5        0        0        5
49850 merkaartor                         	       0        5        5        0        0
49851 meryl                              	       0        2        2        0        0
49852 mes                                	       0        1        1        0        0
49853 mesa-amber-build-deps              	       0        1        0        0        1
49854 mesa-amdgpu-common-dev             	       0        1        1        0        0
49855 mesa-amdgpu-multimedia             	       0        2        0        0        2
49856 mesa-amdgpu-omx-drivers            	       0        8        8        0        0
49857 mesa-amdgpu-va-drivers             	       0        8        4        0        4
49858 mesa-amdgpu-vdpau-drivers          	       0        8        1        0        7
49859 mesa-drm-shim                      	       0        3        0        0        3
49860 mesa-opencl-icd                    	       0       56        0        0       56
49861 mesa-utils-extra                   	       0       12       11        1        0
49862 mesademos                          	       0        1        1        0        0
49863 mesaflash                          	       0        3        3        0        0
49864 mescc-tools                        	       0        2        2        0        0
49865 meshio-tools                       	       0        2        2        0        0
49866 meshs3d                            	       0        1        1        0        0
49867 meson-build-deps                   	       0        1        0        0        1
49868 mess                               	       0        3        1        0        2
49869 mess-data                          	       0        1        0        0        1
49870 messagelib-data                    	       0       11        0        0       11
49871 metacity-bluecurve                 	       0        1        0        0        1
49872 metacity-common                    	       0      360        4        0      356
49873 metacity-themes                    	       0       13        0        0       13
49874 metadata-cleaner                   	       0       21       20        1        0
49875 metadsf                            	       0        1        1        0        0
49876 metainit                           	       0        1        1        0        0
49877 metamail                           	       0        3        3        0        0
49878 metapixel                          	       0        4        4        0        0
49879 metasploit-framework               	       0        7        7        0        0
49880 meteo-qt-l10n                      	       0      246        1        0      245
49881 meterbridge                        	       0       36       36        0        0
49882 meterec                            	       0        1        1        0        0
49883 metis-edf                          	       0        1        1        0        0
49884 metricbeat                         	       0        1        1        0        0
49885 metview                            	       0        1        1        0        0
49886 metview-data                       	       0        1        0        0        1
49887 mew                                	       0        3        3        0        0
49888 mew-bin                            	       0        5        5        0        0
49889 mfbdjvu                            	       0        1        1        0        0
49890 mfbpdf                             	       0        1        1        0        0
49891 mfc210clpr                         	       0        1        1        0        0
49892 mfc235ccupswrapper                 	       0        2        0        0        2
49893 mfc235clpr                         	       0        2        2        0        0
49894 mfc465cncupswrapper                	       0        1        0        0        1
49895 mfc465cnlpr                        	       0        1        1        0        0
49896 mfc5490cncupswrapper               	       0        1        0        0        1
49897 mfc5490cnlpr                       	       0        1        1        0        0
49898 mfc5840cnlpr                       	       0        1        1        0        0
49899 mfc6890cdwcupswrapper              	       0        1        0        0        1
49900 mfc6890cdwlpr                      	       0        1        1        0        0
49901 mfc7360nlpr                        	       0        1        0        0        1
49902 mfc7860dwlpr                       	       0        4        0        0        4
49903 mfc795cwcupswrapper                	       0        1        0        0        1
49904 mfc795cwlpr                        	       0        1        1        0        0
49905 mfc8512dncupswrapper               	       0        1        0        0        1
49906 mfc9332cdwcupswrapper              	       0        2        0        0        2
49907 mfc9340cdwcupswrapper              	       0        1        0        0        1
49908 mfc9420cnlpr                       	       0        1        1        0        0
49909 mfc9970cdwcupswrapper              	       0        5        0        0        5
49910 mfcj410cupswrapper                 	       0        1        0        0        1
49911 mfcj410lpr                         	       0        1        1        0        0
49912 mfcj4540dwpdrv                     	       0        3        0        0        3
49913 mfcj480dwcupswrapper               	       0        1        0        0        1
49914 mfcj480dwlpr                       	       0        1        1        0        0
49915 mfcj485dwcupswrapper               	       0        1        0        0        1
49916 mfcj485dwlpr                       	       0        1        1        0        0
49917 mfcj5340dwpdrv                     	       0        1        0        0        1
49918 mfcj5730dwcupswrapper              	       0        1        0        0        1
49919 mfcj5730dwlpr                      	       0        1        1        0        0
49920 mfcj5845dwpdrv                     	       0        1        1        0        0
49921 mfcj825dwcupswrapper               	       0        2        0        0        2
49922 mfcj825dwlpr                       	       0        2        2        0        0
49923 mfcj870dwcupswrapper               	       0        2        0        0        2
49924 mfcj870dwlpr                       	       0        2        2        0        0
49925 mfcj985dwcupswrapper               	       0        3        0        0        3
49926 mfcj985dwlpr                       	       0        3        3        0        0
49927 mfcl2700dncupswrapper              	       0        1        0        0        1
49928 mfcl2700dnlpr                      	       0        1        0        0        1
49929 mfcl2700dwcupswrapper              	       0        2        0        0        2
49930 mfcl2700dwlpr                      	       0        2        1        0        1
49931 mfcl2710dwpdrv                     	       0        1        0        0        1
49932 mfcl2720dwcupswrapper              	       0        1        0        0        1
49933 mfcl2720dwlpr                      	       0        1        0        0        1
49934 mfcl3770cdwpdrv                    	       0        2        2        0        0
49935 mfcl5900dwcupswrapper              	       0        1        0        0        1
49936 mfcl5900dwlpr                      	       0        1        0        0        1
49937 mfcl6900dwcupswrapper              	       0        1        0        0        1
49938 mfcl6900dwlpr                      	       0        1        0        0        1
49939 mfcl8905cdwcupswrapper             	       0        1        0        0        1
49940 mfcl8905cdwlpr                     	       0        1        0        0        1
49941 mfcl9570cdwcupswrapper             	       0        1        0        0        1
49942 mfcl9570cdwlpr                     	       0        1        1        0        0
49943 mffm-fftw1                         	       0        1        0        0        1
49944 mft                                	       0        1        1        0        0
49945 mftrace                            	       0        4        4        0        0
49946 mgba-build                         	       0        1        0        0        1
49947 mgba-common                        	       0        7        0        0        7
49948 mgba-qt                            	       0        1        1        0        0
49949 mgba-sdl                           	       0        6        6        0        0
49950 mgdiff                             	       0       12       12        0        0
49951 mgen                               	       0        4        4        0        0
49952 mgen-doc                           	       0        3        0        0        3
49953 mgetty                             	       0       12       11        1        0
49954 mgetty-docs                        	       0        2        2        0        0
49955 mgetty-fax                         	       0        6        5        1        0
49956 mgetty-pvftools                    	       0        2        2        0        0
49957 mgetty-viewfax                     	       0        5        5        0        0
49958 mgetty-voice                       	       0        3        3        0        0
49959 mgitstatus                         	       0        4        4        0        0
49960 mgp                                	       0        3        3        0        0
49961 mgrant-obs-deb-keyring             	       0        4        0        0        4
49962 mgt                                	       0        2        2        0        0
49963 mh-book                            	       0        5        5        0        0
49964 mh-e                               	       0        2        2        0        0
49965 mhc                                	       0        3        3        0        0
49966 mhc-utils                          	       0        3        3        0        0
49967 mhwaveedit                         	       0       23       22        1        0
49968 mia-tools                          	       0        2        2        0        0
49969 mia-tools-doc                      	       0        2        0        0        2
49970 mia-viewit                         	       0        2        2        0        0
49971 mialmpick                          	       0        2        2        0        0
49972 miceamaze                          	       0        6        6        0        0
49973 micro-httpd                        	       0        1        1        0        0
49974 microbiomeutil                     	       0        1        1        0        0
49975 microbiomeutil-data                	       0        1        0        0        1
49976 microcode.ctl                      	       0        7        0        0        7
49977 microdc2                           	       0        1        1        0        0
49978 microhope                          	       0        3        3        0        0
49979 micropolis                         	       0       15       15        0        0
49980 micropolis-data                    	       0       15        0        0       15
49981 microsocks                         	       0        4        4        0        0
49982 microsoft-edge-beta                	       0        3        2        0        1
49983 microsoft-edge-dev                 	       0        1        1        0        0
49984 midge                              	       0        1        1        0        0
49985 midicsv                            	       0        1        1        0        0
49986 midisnoop                          	       0       12       12        0        0
49987 midisport-firmware                 	       0        6        6        0        0
49988 midori                             	       0       66       66        0        0
49989 mig                                	       0        1        1        0        0
49990 mig-i686-linux-gnu                 	       0        1        1        0        0
49991 mighttpd2                          	       0        1        1        0        0
49992 migraphx                           	       0        2        2        0        0
49993 migraphx-dev                       	       0        2        2        0        0
49994 migrationtools                     	       0        4        0        0        4
49995 mii-diag                           	       0        6        6        0        0
49996 miio-tools                         	       0        1        1        0        0
49997 miller                             	       0        5        5        0        0
49998 mime-types-editor                  	       0        2        2        0        0
49999 mimedecode                         	       0        1        1        0        0
50000 mimefilter                         	       0        1        1        0        0
50001 mimetex                            	       0        6        6        0        0
50002 mimms                              	       0        2        2        0        0
50003 min                                	       0        5        4        1        0
50004 min12xxw                           	       0        3        0        0        3
50005 minc-tools                         	       0        2        2        0        0
50006 minder                             	       0       13       12        1        0
50007 mindforger                         	       0        1        1        0        0
50008 mindi-busybox                      	       0        2        2        0        0
50009 mindi-kernel                       	       0        1        1        0        0
50010 mindi-partimagehack                	       0        1        1        0        0
50011 mindolph                           	       0        1        1        0        0
50012 mines-of-titan                     	       0        1        1        0        0
50013 minetest-data                      	       0       57        7        0       50
50014 minetest-dbgsym                    	       0        1        1        0        0
50015 minetest-mod-3d-armor              	       0        4        0        0        4
50016 minetest-mod-advmarkers-csm        	       0        3        0        0        3
50017 minetest-mod-advspawning           	       0        1        0        0        1
50018 minetest-mod-animalmaterials       	       0        1        0        0        1
50019 minetest-mod-animals               	       0        1        0        0        1
50020 minetest-mod-basic-materials       	       0       11        0        0       11
50021 minetest-mod-basic-robot-csm       	       0        2        0        0        2
50022 minetest-mod-character-creator     	       0        6        0        0        6
50023 minetest-mod-colour-chat-56-csm    	       0        1        0        0        1
50024 minetest-mod-craftguide            	       0        5        0        0        5
50025 minetest-mod-currency              	       0        5        0        0        5
50026 minetest-mod-ethereal              	       0        6        0        0        6
50027 minetest-mod-homedecor             	       0        5        0        0        5
50028 minetest-mod-infinite-chest        	       0        3        0        0        3
50029 minetest-mod-intllib               	       0        6        0        0        6
50030 minetest-mod-ltool                 	       0        1        0        0        1
50031 minetest-mod-lucky-block           	       0        4        0        0        4
50032 minetest-mod-maidroid              	       0        4        0        0        4
50033 minetest-mod-mesecons              	       0        9        0        0        9
50034 minetest-mod-meshport              	       0        2        0        0        2
50035 minetest-mod-mobf                  	       0        1        0        0        1
50036 minetest-mod-mobf-core             	       0        1        0        0        1
50037 minetest-mod-mobs-redo             	       0        3        0        0        3
50038 minetest-mod-moreblocks            	       0       11        0        0       11
50039 minetest-mod-moreores              	       0       10        0        0       10
50040 minetest-mod-nether                	       0        6        0        0        6
50041 minetest-mod-pipeworks             	       0       10        0        0       10
50042 minetest-mod-player-3d-armor       	       0        2        0        0        2
50043 minetest-mod-protector             	       0        5        0        0        5
50044 minetest-mod-pycraft               	       0        3        0        0        3
50045 minetest-mod-quartz                	       0        6        0        0        6
50046 minetest-mod-skyblock              	       0        4        0        0        4
50047 minetest-mod-throwing              	       0        5        0        0        5
50048 minetest-mod-throwing-arrows       	       0        5        0        0        5
50049 minetest-mod-torches               	       0        1        0        0        1
50050 minetest-mod-unified-inventory     	       0        6        0        0        6
50051 minetest-mod-unifieddyes           	       0        5        0        0        5
50052 minetest-mod-worldedit             	       0        5        0        0        5
50053 minetest-mod-xdecor                	       0        4        0        0        4
50054 minetestmapper                     	       0        7        7        0        0
50055 ming-fonts-dejavu                  	       0        2        0        0        2
50056 ming-fonts-opensymbol              	       0        2        0        0        2
50057 mingetty                           	       0        4        4        0        0
50058 mingw-w64                          	       0       28        0        0       28
50059 mingw-w64-tools                    	       0       10       10        0        0
50060 mingw32                            	       0        2        2        0        0
50061 mingw32-binutils                   	       0        2        2        0        0
50062 mingw32-runtime                    	       0        2        2        0        0
50063 mini-dinstall                      	       0        2        2        0        0
50064 minia                              	       0        1        1        0        0
50065 miniasm                            	       0        1        1        0        0
50066 minica                             	       0        1        1        0        0
50067 minicondor                         	       0        1        0        0        1
50068 minicoredumper                     	       0        1        1        0        0
50069 minicoredumper-utils               	       0        1        1        0        0
50070 minidjvu                           	       0        8        8        0        0
50071 minidjvu-mod                       	       0        1        1        0        0
50072 miniflux                           	       0        1        1        0        0
50073 minify                             	       0        1        1        0        0
50074 minigalaxy                         	       0       13       11        2        0
50075 minihtcondor                       	       0        1        0        0        1
50076 minikube                           	       0        1        1        0        0
50077 minimap2                           	       0        1        1        0        0
50078 minimodem                          	       0        9        9        0        0
50079 minimuf                            	       0        1        1        0        0
50080 mininet                            	       0        2        2        0        0
50081 minio                              	       0        1        1        0        0
50082 minisat+                           	       0        3        3        0        0
50083 ministat                           	       0        1        1        0        0
50084 miniupnpd                          	       0        1        1        0        0
50085 miniupnpd-iptables                 	       0        1        1        0        0
50086 miniupnpd-nftables                 	       0        1        1        0        0
50087 minizinc                           	       0        2        1        1        0
50088 minizinc-ide                       	       0        1        1        0        0
50089 minpack-dev                        	       0        3        3        0        0
50090 mint-artwork                       	       0        1        1        0        0
50091 mint-backgrounds-tina              	       0        1        0        0        1
50092 mint-backgrounds-tricia            	       0        2        0        0        2
50093 mint-backgrounds-ulyana            	       0        1        0        0        1
50094 mint-backgrounds-ulyssa            	       0        1        0        0        1
50095 mint-backgrounds-vanessa           	       0        2        0        0        2
50096 mint-backgrounds-vera              	       0        1        0        0        1
50097 mint-backgrounds-victoria          	       0        1        0        0        1
50098 mint-backgrounds-wilma             	       0        2        0        0        2
50099 mint-backgrounds-xia               	       0        1        0        0        1
50100 mint-common                        	       0        2        2        0        0
50101 mint-cursor-themes                 	       0        2        0        0        2
50102 mint-info-cinnamon                 	       0        1        0        0        1
50103 mint-l-icons                       	       0        2        0        0        2
50104 mint-l-theme                       	       0        2        0        0        2
50105 mint-mirrors                       	       0        1        0        0        1
50106 mint-themes                        	       0        7        0        0        7
50107 mint-themes-legacy                 	       0        2        0        0        2
50108 mint-translations                  	       0        2        0        0        2
50109 mint-x-icons                       	       0       10        0        0       10
50110 mint-y-icons                       	       0       17        0        0       17
50111 mint-y-icons-legacy                	       0        1        0        0        1
50112 mintpy                             	       0        2        2        0        0
50113 mintsources                        	       0        2        2        0        0
50114 minuet                             	       0       51       48        3        0
50115 minuet-data                        	       0       52        0        0       52
50116 miopen-hip                         	       0        3        3        0        0
50117 miopen-hip-dev                     	       0        3        3        0        0
50118 mipe                               	       0        1        1        0        0
50119 mir-demos                          	       0        1        1        0        0
50120 mir-doc                            	       0        1        1        0        0
50121 mir-graphics-drivers-desktop       	       0        1        0        0        1
50122 mir-graphics-drivers-nvidia        	       0        1        0        0        1
50123 mir-platform-graphics-eglstream-kms	       0        1        0        0        1
50124 mir-platform-graphics-eglstream-kms20	       0        1        0        0        1
50125 mir-platform-graphics-gbm-kms      	       0        1        0        0        1
50126 mir-platform-graphics-gbm-kms20    	       0        1        0        0        1
50127 mir-platform-graphics-wayland      	       0        1        0        0        1
50128 mir-platform-graphics-wayland20    	       0        1        0        0        1
50129 mir-platform-graphics-x            	       0        2        0        0        2
50130 mir-platform-graphics-x20          	       0        2        0        0        2
50131 mir-platform-input-evdev8          	       0        2        0        0        2
50132 mir-test-tools                     	       0        1        1        0        0
50133 mir-wlcs-integration               	       0        1        0        0        1
50134 mira-assembler                     	       0        1        1        0        0
50135 mira-rfam-12s-rrna                 	       0        1        0        0        1
50136 miredo                             	       0        2        2        0        0
50137 miri-sdr                           	       0        5        5        0        0
50138 mirror                             	       0        1        1        0        0
50139 mirrordir                          	       0        3        3        0        0
50140 mirrormagic                        	       0       11       11        0        0
50141 mirrormagic-data                   	       0       11        0        0       11
50142 misery                             	       0        1        1        0        0
50143 missfits                           	       0        2        2        0        0
50144 mist                               	       0        1        1        0        0
50145 mit-scheme                         	       0        7        7        0        0
50146 mit-scheme-doc                     	       0        6        0        0        6
50147 mitaka-jessie-archive-keyring      	       0        2        0        0        2
50148 mitmproxy                          	       0       12       12        0        0
50149 mitools                            	       0        2        2        0        0
50150 mivisionx                          	       0        2        2        0        0
50151 mivisionx-dev                      	       0        1        1        0        0
50152 miwm                               	       0        6        6        0        0
50153 mixer.app                          	       0        2        2        0        0
50154 mixxx-data                         	       0       38        0        0       38
50155 mk-configure                       	       0        3        3        0        0
50156 mk4tcl2.4.9.7                      	       0        1        1        0        0
50157 mkalias                            	       0        2        2        0        0
50158 mkbootimg                          	       0        5        5        0        0
50159 mkchromecast                       	       0       11       11        0        0
50160 mkchromecast-alsa                  	       0        5        0        0        5
50161 mkchromecast-gstreamer             	       0        3        0        0        3
50162 mkchromecast-pulseaudio            	       0        6        0        0        6
50163 mkcue                              	       0       12       12        0        0
50164 mkdepend                           	       0        2        2        0        0
50165 mkdocs-autorefs                    	       0        1        1        0        0
50166 mkdocs-bootstrap                   	       0        1        0        0        1
50167 mkdocs-click                       	       0        1        1        0        0
50168 mkdocs-doc                         	       0        7        0        0        7
50169 mkdocs-get-deps                    	       0        1        0        1        0
50170 mkdocs-literate-nav                	       0        2        2        0        0
50171 mkdocs-literate-nav-doc            	       0        1        0        0        1
50172 mkdocs-material                    	       0        3        0        0        3
50173 mkdocs-material-extensions         	       0        3        3        0        0
50174 mkdocs-nature                      	       0        7        0        0        7
50175 mkdocs-redirects                   	       0        1        1        0        0
50176 mkdocstrings                       	       0        1        1        0        0
50177 mkdocstrings-python-legacy         	       0        1        1        0        0
50178 mkelfimage                         	       0        1        1        0        0
50179 mkgmap                             	       0        8        7        1        0
50180 mkgmap-splitter                    	       0        6        5        1        0
50181 mkgmapgui                          	       0        7        6        1        0
50182 mkisofs                            	       0        2        2        0        0
50183 mklibs                             	       0        1        1        0        0
50184 mklibs-copy                        	       0        2        2        0        0
50185 mknfonts.tool                      	       0        8        8        0        0
50186 mkrboot                            	       0        2        2        0        0
50187 mktemp                             	       0       20        0        0       20
50188 mkusb                              	       0        2        2        0        0
50189 mkusb-common                       	       0        2        2        0        0
50190 mkusb-nox                          	       0        2        2        0        0
50191 mkusb-plug                         	       0        1        1        0        0
50192 ml-yacc                            	       0        1        1        0        0
50193 mldonkey-gui                       	       0        5        5        0        0
50194 mle                                	       0        2        2        0        0
50195 mlink                              	       0        2        2        0        0
50196 mlpost                             	       0        3        3        0        0
50197 mlterm-common                      	       0       19        0        0       19
50198 mlterm-im-fcitx                    	       0        1        0        0        1
50199 mlterm-im-m17nlib                  	       0        2        0        0        2
50200 mlterm-im-scim                     	       0        3        0        0        3
50201 mlterm-im-uim                      	       0        2        0        0        2
50202 mlv-smile                          	       0        2        2        0        0
50203 mlview                             	       0        1        1        0        0
50204 mm-common                          	       0        1        1        0        0
50205 mm3d                               	       0        6        6        0        0
50206 mma                                	       0        3        3        0        0
50207 mmake                              	       0        1        1        0        0
50208 mmark                              	       0        2        2        0        0
50209 mmass-modules                      	       0        1        1        0        0
50210 mmc-utils                          	       0       11       11        0        0
50211 mmdb-bin                           	       0       12       12        0        0
50212 mmex                               	       0        1        1        0        0
50213 mmix                               	       0        1        1        0        0
50214 mmllib-tools                       	       0        1        1        0        0
50215 mmmulti                            	       0        1        1        0        0
50216 mmorph                             	       0        1        1        0        0
50217 mmpongd                            	       0        1        1        0        0
50218 mmsd-tng                           	       0        4        4        0        0
50219 mnemosyne                          	       0        7        7        0        0
50220 moagg                              	       0        1        1        0        0
50221 moagg-data                         	       0        1        0        0        1
50222 moarvm-dev                         	       0        1        1        0        0
50223 mobazha-desktop                    	       0        2        1        0        1
50224 mobian-archive-keyring             	       0        2        0        0        2
50225 mobile-broadband-provider-info     	       0     2348        0        0     2348
50226 mobirise                           	       0        1        0        0        1
50227 moblin-cursor-theme                	       0        4        0        0        4
50228 moblin-icon-theme                  	       0        1        0        0        1
50229 moblin-sound-theme                 	       0        1        0        0        1
50230 moc-ffmpeg-plugin                  	       0       15        0        0       15
50231 mocassin                           	       0        1        1        0        0
50232 mocassin-data                      	       0        1        0        0        1
50233 mod-distortion                     	       0        1        1        0        0
50234 mod-host                           	       0        1        1        0        0
50235 mod-mda-lv2                        	       0        1        0        0        1
50236 mod-musicindex-common              	       0        1        0        0        1
50237 mod-pitchshifter                   	       0        1        1        0        0
50238 mod-sdk                            	       0        1        1        0        0
50239 mod-sdk-lv2                        	       0        4        4        0        0
50240 mod-utilities                      	       0        1        1        0        0
50241 modconf                            	       0        1        1        0        0
50242 modeline                           	       0        1        1        0        0
50243 modelio-open-source4.1             	       0        1        0        0        1
50244 modelio-open-source5.3             	       0        1        0        0        1
50245 modelio-open-source5.4             	       0        2        1        0        1
50246 modeller                           	       0        1        1        0        0
50247 modem-cmd                          	       0        1        1        0        0
50248 modem-manager-gui                  	       0       10       10        0        0
50249 modem-manager-gui-help             	       0       10        0        0       10
50250 modemmanager-dev                   	       0        5        4        1        0
50251 modemmanager-doc                   	       0        8        0        0        8
50252 modemmanager-qt-dev                	       0        1        1        0        0
50253 modemu                             	       0        2        2        0        0
50254 modernize                          	       0        3        3        0        0
50255 modplug-tools                      	       0        6        6        0        0
50256 modrinth-app                       	       0        2        2        0        0
50257 module-init-tools                  	       0       11        0        0       11
50258 moebinv-doc                        	       0        2        0        0        2
50259 moka-icon-theme                    	       0       27        0        0       27
50260 mokomaze                           	       0        6        6        0        0
50261 mold                               	       0        5        5        0        0
50262 molly-brown                        	       0        2        2        0        0
50263 mom                                	       0        1        1        0        0
50264 momentum-prod                      	       0        1        0        0        1
50265 mommy                              	       0        1        1        0        0
50266 mon                                	       0        4        4        0        0
50267 mon-client                         	       0        4        4        0        0
50268 mon-contrib                        	       0        2        2        0        0
50269 mona                               	       0        1        1        0        0
50270 monero-gui                         	       0        1        1        0        0
50271 moneydance                         	       0        1        1        0        0
50272 moneyplex                          	       0        1        0        0        1
50273 mongo-tools                        	       0       12       12        0        0
50274 mongocli                           	       0        1        1        0        0
50275 mongodb                            	       0        4        0        0        4
50276 mongodb-10gen                      	       0        1        1        0        0
50277 mongodb-clients                    	       0       13       13        0        0
50278 mongodb-compass                    	       0        2        2        0        0
50279 mongodb-dev                        	       0        1        0        0        1
50280 mongodb-org                        	       0       15        0        0       15
50281 mongodb-org-database               	       0        6        0        0        6
50282 mongodb-org-database-tools-extra   	       0       15       14        1        0
50283 mongodb-org-mongos                 	       0       17       16        1        0
50284 mongodb-org-shell                  	       0       21       16        0        5
50285 mongodb-org-tools                  	       0       18        3        0       15
50286 monitoring-plugins                 	       0       51        0        0       51
50287 monitoring-plugins-btrfs           	       0        1        1        0        0
50288 monkeys-audio                      	       0        6        6        0        0
50289 monkeysign                         	       0        1        1        0        0
50290 mono-2.0-gac                       	       0        2        2        0        0
50291 mono-2.0-service                   	       0        2        2        0        0
50292 mono-addins-utils                  	       0        1        1        0        0
50293 mono-apache-server                 	       0        1        1        0        0
50294 mono-apache-server4                	       0        3        3        0        0
50295 mono-common                        	       0        1        1        0        0
50296 mono-complete                      	       0       51        0        0       51
50297 mono-dbg                           	       0        4        4        0        0
50298 mono-debugger                      	       0        1        1        0        0
50299 mono-dmcs                          	       0        2        0        0        2
50300 mono-gmcs                          	       0        1        0        0        1
50301 mono-jit                           	       0        1        1        0        0
50302 mono-llvm-support                  	       0       22        0        0       22
50303 mono-llvm-tools                    	       0       22       22        0        0
50304 mono-runtime-boehm                 	       0        1        1        0        0
50305 mono-runtime-dbg                   	       0        1        1        0        0
50306 mono-source                        	       0        2        0        0        2
50307 mono-tools-devel                   	       0        3        3        0        0
50308 mono-tools-gui                     	       0        2        2        0        0
50309 mono-upnp-bin                      	       0        2        2        0        0
50310 mono-vbnc                          	       0        2        2        0        0
50311 monodevelop-nunit                  	       0        1        1        0        0
50312 monodoc-browser                    	       0        9        9        0        0
50313 monodoc-gmime2.6-manual            	       0        1        1        0        0
50314 monodoc-gnome-keyring-manual       	       0        1        1        0        0
50315 monodoc-gtk2.0-manual              	       0       12       12        0        0
50316 monodoc-gtk3.0-manual              	       0       12       12        0        0
50317 monodoc-manual                     	       0       71       69        2        0
50318 monodoc-mono-upnp-manual           	       0        2        2        0        0
50319 monodoc-nunit-manual               	       0        2        2        0        0
50320 monodoc-opentk-manual              	       0        1        1        0        0
50321 monosim-gtk                        	       0        1        1        0        0
50322 monotone                           	       0        3        3        0        0
50323 monotone-doc                       	       0        1        0        0        1
50324 monotone-extras                    	       0        2        2        0        0
50325 monotone-viz                       	       0        1        1        0        0
50326 monster-masher                     	       0        4        4        0        0
50327 monsterz                           	       0       10       10        0        0
50328 monsterz-data                      	       0       10        0        0       10
50329 montage                            	       0        2        2        0        0
50330 monteverdi                         	       0        2        2        0        0
50331 moodbar                            	       0        1        1        0        0
50332 moon-buggy                         	       0       17       16        1        0
50333 moon-lander                        	       0       12       12        0        0
50334 moon-lander-data                   	       0       12        0        0       12
50335 moonlight-plugin-core              	       0        1        1        0        0
50336 moonlight-plugin-mozilla           	       0        1        1        0        0
50337 moony.lv2                          	       0        1        1        0        0
50338 moosefs-cgi                        	       0        1        0        0        1
50339 moosefs-cli                        	       0        1        1        0        0
50340 moosefs-client                     	       0        1        1        0        0
50341 moosefs-common                     	       0        1        0        0        1
50342 mopac7-bin                         	       0        1        1        0        0
50343 mopidy                             	       0       11       10        1        0
50344 mopidy-alsamixer                   	       0        3        3        0        0
50345 mopidy-doc                         	       0        2        0        0        2
50346 mopidy-internetarchive             	       0        2        2        0        0
50347 mopidy-local                       	       0        1        1        0        0
50348 mopidy-local-sqlite                	       0        1        1        0        0
50349 mopidy-mpd                         	       0        2        2        0        0
50350 mopidy-mpris                       	       0        1        1        0        0
50351 mopidy-podcast                     	       0        3        3        0        0
50352 mopidy-podcast-itunes              	       0        3        3        0        0
50353 mopidy-somafm                      	       0        3        3        0        0
50354 morgen                             	       0        1        0        0        1
50355 morph027-keyring                   	       0        1        0        0        1
50356 morris                             	       0        3        3        0        0
50357 morrowind-en-data                  	       0        1        0        0        1
50358 morrowind-en-plugins               	       0        1        0        0        1
50359 morse                              	       0       17       17        0        0
50360 morse-simulator-data               	       0        1        0        0        1
50361 morsegen                           	       0        5        5        0        0
50362 motif-clients                      	       0        1        0        0        1
50363 motion-effect                      	       0        1        1        0        0
50364 motionplus                         	       0        1        1        0        0
50365 motivewave                         	       0        5        4        1        0
50366 motrix                             	       0        1        0        0        1
50367 motv                               	       0        1        1        0        0
50368 mount-dbgsym                       	       0        2        2        0        0
50369 mountall                           	       0        2        2        0        0
50370 mountiso                           	       0        1        1        0        0
50371 mousai                             	       0        1        1        0        0
50372 mousetrap                          	       0        2        1        1        0
50373 movixmaker-2                       	       0        3        3        0        0
50374 mozc-data                          	       0       56        0        0       56
50375 mozc-utils-gui                     	       0       56       52        4        0
50376 mozilla                            	       0        1        0        0        1
50377 mozilla-browser                    	       0        1        1        0        0
50378 mozilla-devscripts                 	       0        1        1        0        0
50379 mozilla-mailnews                   	       0        1        0        0        1
50380 mozilla-mplayer                    	       0        1        1        0        0
50381 mozilla-noscript                   	       0        1        0        0        1
50382 mozilla-plugin-gnash               	       0        2        0        0        2
50383 mozilla-psm                        	       0        1        0        0        1
50384 mozillavpn                         	       0        1        1        0        0
50385 mozjpeg                            	       0        1        1        0        0
50386 mozldap-tools                      	       0        1        1        0        0
50387 mp                                 	       0        1        1        0        0
50388 mp3burn                            	       0        3        3        0        0
50389 mp3cd                              	       0       10        9        1        0
50390 mp3fs                              	       0       12       12        0        0
50391 mp3guessenc                        	       0        3        3        0        0
50392 mp3roaster                         	       0        1        1        0        0
50393 mp3splt-dbg                        	       0        1        1        0        0
50394 mp3splt-gtk                        	       0       14       12        2        0
50395 mp3val                             	       0       17       17        0        0
50396 mp3wrap                            	       0       12       12        0        0
50397 mp4fpsmod                          	       0        1        1        0        0
50398 mp4h                               	       0        7        6        1        0
50399 mp4tools                           	       0        2        2        0        0
50400 mp4v2-tools                        	       0        2        2        0        0
50401 mp4v2-utils                        	       0        5        5        0        0
50402 mpage                              	       0        4        4        0        0
50403 mpb-mpi                            	       0        1        1        0        0
50404 mpb-scm                            	       0        1        0        0        1
50405 mpc-ace                            	       0        1        1        0        0
50406 mpc123                             	       0        3        3        0        0
50407 mpd-sima                           	       0        1        1        0        0
50408 mpdas                              	       0        4        4        0        0
50409 mpdcon.app                         	       0        1        1        0        0
50410 mpdcron                            	       0        1        1        0        0
50411 mpdris2                            	       0        2        2        0        0
50412 mpdscribble                        	       0        2        2        0        0
50413 mpdtoys                            	       0        4        4        0        0
50414 mpeglib-trinity                    	       0       25       23        2        0
50415 mpg123-alsa                        	       0        1        0        0        1
50416 mpg123-el                          	       0        1        1        0        0
50417 mpglen                             	       0        1        1        0        0
50418 mpgrafic                           	       0        1        1        0        0
50419 mpgtx                              	       0       18       18        0        0
50420 mpi-default-bin                    	       0      151        0        0      151
50421 mpi-default-dev                    	       0       91        0        0       91
50422 mpi-doc                            	       0        1        0        0        1
50423 mpich                              	       0       13       13        0        0
50424 mpich-bin                          	       0        1        1        0        0
50425 mpich-doc                          	       0        4        0        0        4
50426 mplayer-doc                        	       0       32        0        0       32
50427 mplayer-nogui                      	       0        1        0        0        1
50428 mplayer-skin-blue                  	       0       55        0        0       55
50429 mplayerthumbs                      	       0        2        2        0        0
50430 mplayerthumbs-trinity              	       0        2        2        0        0
50431 mpollux-digisign-client-for-dvv    	       0        2        2        0        0
50432 mppenc                             	       0       24       24        0        0
50433 mpqc                               	       0        3        3        0        0
50434 mpqc-support                       	       0        1        1        0        0
50435 mqtt-cli                           	       0        1        1        0        0
50436 mrbayes                            	       0        5        5        0        0
50437 mrbayes-doc                        	       0        1        0        0        1
50438 mrbayes-mpi                        	       0        1        1        0        0
50439 mrboom                             	       0        5        5        0        0
50440 mrc                                	       0        1        1        0        0
50441 mriconvert                         	       0        3        3        0        0
50442 mricron                            	       0        4        4        0        0
50443 mricron-data                       	       0        4        0        0        4
50444 mrouted                            	       0        1        1        0        0
50445 mrpt-doc                           	       0        1        0        0        1
50446 mrrescue                           	       0        6        6        0        0
50447 mrtg-ping-probe                    	       0        1        1        0        0
50448 mrtgutils                          	       0        5        5        0        0
50449 mrtgutils-sensors                  	       0        4        4        0        0
50450 mrtrix3                            	       0        2        2        0        0
50451 mrwtoppm                           	       0        1        1        0        0
50452 mrwtoppm-data                      	       0        1        0        0        1
50453 mrwtoppm-gimp                      	       0        1        1        0        0
50454 mrwtoppm-utils                     	       0        1        1        0        0
50455 mrxvt                              	       0        7        7        0        0
50456 mrxvt-common                       	       0        7        0        0        7
50457 ms-365-electron                    	       0        1        0        0        1
50458 ms-sys                             	       0        2        2        0        0
50459 msa-manifest                       	       0        1        1        0        0
50460 msbuild-libhostfxr                 	       0       23       23        0        0
50461 msbuild-sdkresolver                	       0       23       23        0        0
50462 mscgen                             	       0        4        4        0        0
50463 msi-keyboard                       	       0        1        1        0        0
50464 msitools                           	       0       17       17        0        0
50465 msktutil                           	       0        3        3        0        0
50466 msodbcsql18                        	       0        3        3        0        0
50467 msopenjdk-11                       	       0        1        1        0        0
50468 msopenjdk-21                       	       0        1        1        0        0
50469 msort                              	       0        6        6        0        0
50470 msort-gui                          	       0        4        4        0        0
50471 msp430-libc                        	       0        2        2        0        0
50472 msp430mcu                          	       0        5        5        0        0
50473 mspdebug                           	       0        6        6        0        0
50474 mssql-tools                        	       0        4        4        0        0
50475 mssql-tools18                      	       0        3        3        0        0
50476 mstflint                           	       0        6        6        0        0
50477 msttcorefonts                      	       0        2        0        0        2
50478 msty                               	       0        1        1        0        0
50479 mt32emu-qt                         	       0        1        1        0        0
50480 mtasc                              	       0        1        1        0        0
50481 mtdev-tools                        	       0        1        1        0        0
50482 mtink-doc                          	       0        1        0        0        1
50483 mtoolsfm                           	       0        1        1        0        0
50484 mtop                               	       0        1        1        0        0
50485 mtpfs                              	       0        2        2        0        0
50486 mtr-tiny-dbgsym                    	       0        1        1        0        0
50487 mtree-netbsd                       	       0        3        3        0        0
50488 mtx                                	       0        6        6        0        0
50489 mu-cade                            	       0        1        1        0        0
50490 mu-cade-data                       	       0        1        0        0        1
50491 mu-cite                            	       0        2        2        0        0
50492 mu-editor                          	       0        1        1        0        0
50493 mu-editor-doc                      	       0        1        0        0        1
50494 mu4e                               	       0       13       11        2        0
50495 muc                                	       0        1        1        0        0
50496 mudita24                           	       0        6        6        0        0
50497 mudlet                             	       0        1        1        0        0
50498 mueller7-dict                      	       0      157        0        0      157
50499 mueller7accent-dict                	       0        2        0        0        2
50500 muffin-doc                         	       0        1        0        0        1
50501 mujs                               	       0        2        2        0        0
50502 mullvad-vpn                        	       0        3        3        0        0
50503 multex-base                        	       0        1        0        0        1
50504 multi-aterm                        	       0        1        1        0        0
50505 multiarch-support                  	       0      801        0        0      801
50506 multiboot-doc                      	       0        4        0        0        4
50507 multicat                           	       0        4        4        0        0
50508 multicd                            	       0        1        1        0        0
50509 multiload                          	       0        1        1        0        0
50510 multiload-ng                       	       0        2        2        0        0
50511 multiload-ng-common                	       0        1        0        0        1
50512 multilogcheck                      	       0        1        1        0        0
50513 multimc                            	       0        6        0        0        6
50514 multimedia-animation               	       0        2        0        0        2
50515 multimedia-audio-plugins           	       0        4        0        0        4
50516 multimedia-audio-utilities         	       0        5        0        0        5
50517 multimedia-broadcasting            	       0        3        0        0        3
50518 multimedia-csound                  	       0        2        0        0        2
50519 multimedia-devel                   	       0        1        0        0        1
50520 multimedia-drums                   	       0        1        0        0        1
50521 multimedia-firewire                	       0        2        0        0        2
50522 multimedia-guitar                  	       0        1        0        0        1
50523 multimedia-jack                    	       0        8        0        0        8
50524 multimedia-midi                    	       0        4        0        0        4
50525 multimedia-musiciantools           	       0        3        0        0        3
50526 multimedia-photography             	       0        3        0        0        3
50527 multimedia-players                 	       0        2        0        0        2
50528 multimedia-puredata                	       0        3        0        0        3
50529 multimedia-samplers                	       0        1        0        0        1
50530 multimedia-soundsynthesis          	       0        1        0        0        1
50531 multimedia-supercollider           	       0        1        0        0        1
50532 multimedia-tasks                   	       0       29        0        0       29
50533 multimon-ng                        	       0        9        9        0        0
50534 multisync                          	       0        1        1        0        0
50535 multisync-tools                    	       0        1        1        0        0
50536 multisystem                        	       0        2        2        0        0
50537 multitee                           	       0        4        4        0        0
50538 multitime                          	       0        2        2        0        0
50539 multiwatch                         	       0        2        2        0        0
50540 mummer                             	       0        5        5        0        0
50541 mumps-test                         	       0        1        1        0        0
50542 mumudvb                            	       0        1        1        0        0
50543 mundus                             	       0        1        1        0        0
50544 munge                              	       0        4        4        0        0
50545 munin-async                        	       0       11       11        0        0
50546 munin-doc                          	       0       22        0        0       22
50547 munin-plugins-btrfs                	       0        3        0        0        3
50548 munin-plugins-extra                	       0       69        0        0       69
50549 munin-plugins-java                 	       0        1        0        0        1
50550 munipack                           	       0        2        0        0        2
50551 munipack-cli                       	       0        2        2        0        0
50552 munipack-core                      	       0        2        0        0        2
50553 munipack-doc                       	       0        2        0        0        2
50554 munipack-gui                       	       0        2        2        0        0
50555 muon                               	       0       19       19        0        0
50556 muon-meson                         	       0        1        0        1        0
50557 mup                                	       0        1        1        0        0
50558 mupen64plus                        	       0        1        0        0        1
50559 mupen64plus-audio-all              	       0       15        0        0       15
50560 mupen64plus-audio-sdl              	       0       16        0        0       16
50561 mupen64plus-data                   	       0       16        0        0       16
50562 mupen64plus-input-all              	       0       15        0        0       15
50563 mupen64plus-input-sdl              	       0       16        0        0       16
50564 mupen64plus-qt                     	       0        8        8        0        0
50565 mupen64plus-rsp-all                	       0       15        0        0       15
50566 mupen64plus-rsp-hle                	       0       15        0        0       15
50567 mupen64plus-rsp-z64                	       0       14        0        0       14
50568 mupen64plus-ui-console             	       0       15       15        0        0
50569 mupen64plus-video-all              	       0       15        0        0       15
50570 mupen64plus-video-arachnoid        	       0       14        0        0       14
50571 mupen64plus-video-glide64          	       0       14        0        0       14
50572 mupen64plus-video-glide64mk2       	       0       15        0        0       15
50573 mupen64plus-video-rice             	       0       15        0        0       15
50574 mupen64plus-video-z64              	       0       14        0        0       14
50575 murano-agent                       	       0        1        1        0        0
50576 murano-api                         	       0        1        1        0        0
50577 murano-cfapi                       	       0        1        1        0        0
50578 murano-common                      	       0        1        1        0        0
50579 murano-engine                      	       0        1        1        0        0
50580 muroar-bin                         	       0        1        1        0        0
50581 muroard                            	       0        1        1        0        0
50582 murrine-themes                     	       0      130        0        0      130
50583 muscle                             	       0        5        5        0        0
50584 muse                               	       0       19       18        1        0
50585 muse-hub                           	       0        4        4        0        0
50586 muse-sounds-manager                	       0        4        4        0        0
50587 musescore-common                   	       0       50        0        0       50
50588 musescore-general-soundfont        	       0       27        0        0       27
50589 musescore-general-soundfont-lossless	       0        7        0        0        7
50590 musescore-general-soundfont-small  	       0       43        0        0       43
50591 musescore-soundfont-gm             	       0       21        0        0       21
50592 musescore3-common                  	       0       30        1        0       29
50593 music-bin                          	       0        1        1        0        0
50594 music123                           	       0        8        8        0        0
50595 musique                            	       0        1        1        0        0
50596 musixtex                           	       0        2        1        0        1
50597 muspnp                             	       0        1        1        0        0
50598 mussh                              	       0        2        2        0        0
50599 mussort                            	       0        1        1        0        0
50600 mustang                            	       0        4        4        0        0
50601 mustang-plug                       	       0        1        1        0        0
50602 mutt-vc-query                      	       0        1        1        0        0
50603 muttdown                           	       0        1        1        0        0
50604 mutter-common-bin                  	       0       19        3        4       12
50605 muttprint-manual                   	       0        6        0        0        6
50606 mwaw2epub                          	       0        2        2        0        0
50607 mwaw2odf                           	       0        1        1        0        0
50608 mwc                                	       0        2        2        0        0
50609 mwm                                	       0        9        9        0        0
50610 mwrap                              	       0        1        1        0        0
50611 mx-archive-keyring                 	       0        1        0        0        1
50612 mx-boot-options                    	       0        2        1        1        0
50613 mx-bootrepair                      	       0        1        1        0        0
50614 mx-comfort-themes                  	       0        1        1        0        0
50615 mx19-archive-keyring               	       0        2        0        0        2
50616 my-x200                            	       0        1        0        0        1
50617 myautomount                        	       0        6        6        0        0
50618 mycroft-mimic3-tts                 	       0        1        1        0        0
50619 myhdl-cosimulation                 	       0        2        0        0        2
50620 mynotex                            	       0        2        0        0        2
50621 mypager                            	       0        1        1        0        0
50622 mypaint-brushes                    	       0       35        0        0       35
50623 mypaint-data                       	       0       33        0        0       33
50624 mypaint-data-extras                	       0       33        0        0       33
50625 mypy-doc                           	       0        2        0        0        2
50626 mysecureshell                      	       0        1        1        0        0
50627 myspell-cs                         	       0        4        0        0        4
50628 myspell-da                         	       0        5        0        0        5
50629 myspell-de-at                      	       0        2        0        0        2
50630 myspell-de-ch                      	       0        4        0        0        4
50631 myspell-de-de                      	       0        2        0        0        2
50632 myspell-de-de-1901                 	       0        7        7        0        0
50633 myspell-de-de-oldspell             	       0        2        0        0        2
50634 myspell-el-gr                      	       0        4        0        0        4
50635 myspell-en-au                      	       0        4        4        0        0
50636 myspell-en-gb                      	       0       16        0        0       16
50637 myspell-en-nz                      	       0        1        0        0        1
50638 myspell-en-us                      	       0       12        0        0       12
50639 myspell-en-za                      	       0        1        0        0        1
50640 myspell-eo                         	       0       12       11        0        1
50641 myspell-et                         	       0        9        9        0        0
50642 myspell-fa                         	       0        6        0        0        6
50643 myspell-fo                         	       0        2        2        0        0
50644 myspell-fr-gut                     	       0        2        0        0        2
50645 myspell-ga                         	       0        7        0        0        7
50646 myspell-gd                         	       0        1        0        0        1
50647 myspell-gv                         	       0        2        0        0        2
50648 myspell-he                         	       0        4        0        0        4
50649 myspell-hr                         	       0        1        0        0        1
50650 myspell-hu                         	       0        1        0        0        1
50651 myspell-hy                         	       0        6        0        0        6
50652 myspell-it                         	       0        2        0        0        2
50653 myspell-lv                         	       0        2        0        0        2
50654 myspell-mi-nz                      	       0        1        0        0        1
50655 myspell-nb                         	       0       43        0        0       43
50656 myspell-nl                         	       0        2        0        0        2
50657 myspell-nn                         	       0       43        0        0       43
50658 myspell-pl                         	       0       11        0        0       11
50659 myspell-pt                         	       0        1        0        0        1
50660 myspell-pt-br                      	       0        3        0        0        3
50661 myspell-pt-pt                      	       0        2        0        0        2
50662 myspell-ru                         	       0       13        4        0        9
50663 myspell-sk                         	       0        5        0        0        5
50664 myspell-sq                         	       0        5        0        0        5
50665 myspell-tools                      	       0        1        1        0        0
50666 myspell-uk                         	       0       21        0        0       21
50667 mysql                              	       0        1        1        0        0
50668 mysql-admin                        	       0        1        1        0        0
50669 mysql-apt-config                   	       0        7        0        0        7
50670 mysql-client                       	       0       36        0        0       36
50671 mysql-client-5.6                   	       0        1        1        0        0
50672 mysql-client-5.7                   	       0        1        1        0        0
50673 mysql-client-8.0                   	       0        3        2        1        0
50674 mysql-client-compat                	       0        1        0        0        1
50675 mysql-client-core-5.6              	       0        1        1        0        0
50676 mysql-client-core-5.7              	       0        1        1        0        0
50677 mysql-client-core-8.0              	       0        3        2        1        0
50678 mysql-common                       	       0     2322        0        0     2322
50679 mysql-connector-j                  	       0        2        0        0        2
50680 mysql-connector-java               	       0        1        0        0        1
50681 mysql-gui-tools-common             	       0        1        0        0        1
50682 mysql-navigator                    	       0        2        2        0        0
50683 mysql-proxy                        	       0        1        1        0        0
50684 mysql-query-browser                	       0        1        1        0        0
50685 mysql-sandbox                      	       0        1        1        0        0
50686 mysql-server                       	       0       31        0        0       31
50687 mysql-server-5.5                   	       0        3        3        0        0
50688 mysql-server-5.6                   	       0        1        1        0        0
50689 mysql-server-5.7                   	       0        1        1        0        0
50690 mysql-server-8.0                   	       0        3        2        1        0
50691 mysql-server-core-5.6              	       0        2        2        0        0
50692 mysql-server-core-5.7              	       0        1        1        0        0
50693 mysql-workbench                    	       0        3        3        0        0
50694 mysql-workbench-community          	       0        2        2        0        0
50695 mysql-workbench-data               	       0        5        0        0        5
50696 mysql-workbench-dbgsym             	       0        1        1        0        0
50697 mysqmail                           	       0        1        0        0        1
50698 mysqmail-dovecot-logger            	       0        1        1        0        0
50699 mysterium-vpn-desktop              	       0        1        1        0        0
50700 mystiq                             	       0        6        6        0        0
50701 mytetra                            	       0        1        1        0        0
50702 mythbrowser                        	       0        1        0        0        1
50703 mythes-bg                          	       0        4        0        0        4
50704 mythes-cs                          	       0       27        0        0       27
50705 mythes-de                          	       0      267        0        0      267
50706 mythes-de-ch                       	       0      255        0        0      255
50707 mythes-en-au                       	       0        4        0        0        4
50708 mythes-en-us                       	       0     2452        0        0     2452
50709 mythes-es                          	       0       29        0        0       29
50710 mythes-fr                          	       0       94        0        0       94
50711 mythes-gug                         	       0        1        0        0        1
50712 mythes-hu                          	       0        1        0        0        1
50713 mythes-is                          	       0        1        0        0        1
50714 mythes-it                          	       0       82        0        0       82
50715 mythes-ne                          	       0        2        0        0        2
50716 mythes-pl                          	       0       50        0        0       50
50717 mythes-pt-br                       	       0        1        0        0        1
50718 mythes-pt-pt                       	       0        2        0        0        2
50719 mythes-ro                          	       0        8        0        0        8
50720 mythes-ru                          	       0      139        0        0      139
50721 mythes-sk                          	       0        3        0        0        3
50722 mythes-sv                          	       0        1        0        0        1
50723 mythes-uk                          	       0        7        0        0        7
50724 mythffmpeg                         	       0        4        2        2        0
50725 mythgame                           	       0        1        0        0        1
50726 mythmusic                          	       0        1        0        0        1
50727 mythnetvision-data                 	       0        1        0        0        1
50728 mythnews                           	       0        1        0        0        1
50729 mythplugins                        	       0        1        0        0        1
50730 mythtv                             	       0        1        0        0        1
50731 mythtv-database                    	       0        5        0        0        5
50732 mythtv-doc                         	       0        6        0        0        6
50733 mythweather                        	       0        1        1        0        0
50734 mythzoneminder                     	       0        1        1        0        0
50735 mytop                              	       0        4        4        0        0
50736 n2n                                	       0        3        2        1        0
50737 naev                               	       0        4        4        0        0
50738 naev-data                          	       0        5        0        0        5
50739 nagi                               	       0        1        1        0        0
50740 nagios-check-xmppng                	       0        1        1        0        0
50741 nagios-images                      	       0       10        0        0       10
50742 nagios-nrpe-plugins-2pir           	       0        1        1        0        0
50743 nagios-plugin-check-multi          	       0        1        1        0        0
50744 nagios-plugin-check-scsi-smart     	       0        1        1        0        0
50745 nagios-plugins                     	       0        7        0        0        7
50746 nagios-plugins-basic               	       0       11        0        0       11
50747 nagios-plugins-common              	       0        4        0        0        4
50748 nagios-plugins-standard            	       0        4        0        0        4
50749 nagios-snmp-plugins                	       0        4        4        0        0
50750 nagios3-common                     	       0        3        0        0        3
50751 nagios3-doc                        	       0        2        0        0        2
50752 nagios4                            	       0        2        0        0        2
50753 nagios4-common                     	       0        2        2        0        0
50754 nagstamon                          	       0        4        4        0        0
50755 nagvis                             	       0        1        1        0        0
50756 nailgun                            	       0        2        2        0        0
50757 nala-legacy                        	       0        1        1        0        0
50758 nam                                	       0        1        1        0        0
50759 namazu2                            	       0        2        2        0        0
50760 namazu2-common                     	       0        2        0        0        2
50761 namazu2-index-tools                	       0        2        2        0        0
50762 namebench                          	       0        1        1        0        0
50763 nano-build-deps                    	       0        1        0        0        1
50764 nano-tiny                          	       0        1        1        0        0
50765 nanoedgeaistudio                   	       0        1        1        0        0
50766 nanook                             	       0        1        1        0        0
50767 nanook-examples                    	       0        1        0        0        1
50768 nanopb                             	       0        1        1        0        0
50769 nanopb-build-deps                  	       0        1        0        0        1
50770 nanovna-saver                      	       0        1        1        0        0
50771 naps2                              	       0        6        6        0        0
50772 nas-bin                            	       0        3        3        0        0
50773 nas-doc                            	       0        1        0        0        1
50774 nasm-mozilla                       	       0        1        1        0        0
50775 naspro-bridges                     	       0        4        1        0        3
50776 nast-ier                           	       0        1        1        0        0
50777 nastran                            	       0        3        3        0        0
50778 nat-rtsp-dkms                      	       0        1        1        0        0
50779 native-architecture                	       0       75        0        0       75
50780 native-architecture-is             	       0        1        0        0        1
50781 nativecam                          	       0        1        1        0        0
50782 natlog                             	       0        2        2        0        0
50783 natpmp-utils                       	       0        2        0        0        2
50784 natpmpc                            	       0        6        5        1        0
50785 natron                             	       0        1        1        0        0
50786 natspec-bin                        	       0        1        1        0        0
50787 naturaldocs                        	       0        1        1        0        0
50788 nautic                             	       0        2        2        0        0
50789 nautilus-admin                     	       0        5        0        0        5
50790 nautilus-dropbox                   	       0        7        7        0        0
50791 nautilus-extension-brasero         	       0       76        2        0       74
50792 nautilus-extension-burner          	       0        1        0        0        1
50793 nautilus-extension-fma             	       0        1        0        0        1
50794 nautilus-hide                      	       0        3        0        0        3
50795 nautilus-image-converter           	       0       12        0        0       12
50796 nautilus-kdeconnect                	       0        3        0        0        3
50797 nautilus-megasync                  	       0        1        0        0        1
50798 nautilus-nextcloud                 	       0        4        0        0        4
50799 nautilus-open-terminal             	       0        1        1        0        0
50800 nautilus-scripts-manager           	       0        3        3        0        0
50801 nautilus-sendto                    	       0       31       31        0        0
50802 nautilus-share                     	       0        6        0        0        6
50803 navit-data                         	       0       14        0        0       14
50804 navit-graphics-qt-qpainter         	       0        1        1        0        0
50805 nbd-client                         	       0       11       11        0        0
50806 nbdfuse                            	       0        1        1        0        0
50807 nbdkit                             	       0        4        4        0        0
50808 nbibtex                            	       0        1        1        0        0
50809 nbibtex-doc                        	       0        1        0        0        1
50810 nbsdgames                          	       0        6        6        0        0
50811 nbteditor                          	       0        1        1        0        0
50812 ncbi-blast+                        	       0       10       10        0        0
50813 ncbi-blast+-legacy                 	       0        6        6        0        0
50814 ncbi-cn3d                          	       0        2        2        0        0
50815 ncbi-data                          	       0       11       11        0        0
50816 ncbi-entrez-direct                 	       0        1        1        0        0
50817 ncbi-epcr                          	       0        1        1        0        0
50818 ncbi-seg                           	       0        1        1        0        0
50819 ncbi-tools-bin                     	       0        3        3        0        0
50820 ncbi-tools-x11                     	       0        2        2        0        0
50821 ncbi-vdb-data                      	       0        6        6        0        0
50822 ncc                                	       0        1        1        0        0
50823 ncdc                               	       0        1        1        0        0
50824 ncf                                	       0        1        1        0        0
50825 ncmpc-lyrics                       	       0        3        0        0        3
50826 ncmpcpp                            	       0       32       30        2        0
50827 nco                                	       0        4        4        0        0
50828 ncoils                             	       0        3        3        0        0
50829 ncpa                               	       0        1        1        0        0
50830 nct6687d-dkms                      	       0        1        0        0        1
50831 ncurses-build-deps                 	       0        1        0        0        1
50832 ncurses-doc                        	       0       53        0        0       53
50833 ncurses-examples                   	       0       12        5        0        7
50834 ncurses-term                       	       0     4041        0        0     4041
50835 ncview                             	       0        6        6        0        0
50836 ndctl                              	       0        1        1        0        0
50837 ndiswrapper                        	       0        3        3        0        0
50838 ndiswrapper-dkms                   	       0        3        3        0        0
50839 ndiswrapper-utils-1.9              	       0        2        0        0        2
50840 ndppd                              	       0        4        4        0        0
50841 ne                                 	       0       12       12        0        0
50842 ne-doc                             	       0       11        0        0       11
50843 neard                              	       0        1        1        0        0
50844 neat                               	       0        2        2        0        0
50845 nebula                             	       0        2        2        0        0
50846 nec2c                              	       0        6        6        0        0
50847 needrestart-axis                   	       0        1        0        0        1
50848 neko                               	       0        5        5        0        0
50849 nekobee                            	       0        4        4        0        0
50850 nekoray                            	       0        4        3        0        1
50851 nemo-data                          	       0      310        0        0      310
50852 nemo-extension-fma                 	       0        1        0        0        1
50853 nemo-font-manager                  	       0        4        0        0        4
50854 nemo-gtkhash                       	       0        4        0        0        4
50855 nemo-nextcloud                     	       0        1        0        0        1
50856 nemo-python                        	       0        8        2        0        6
50857 neopi                              	       0        1        1        0        0
50858 neowofetch                         	       0        5        5        0        0
50859 nepomuk-core-data                  	       0       14        0        0       14
50860 nerd-fonts-jetbrains-mono          	       0        1        0        0        1
50861 nescc                              	       0        2        2        0        0
50862 nessus                             	       0        1        1        0        0
50863 nestopia                           	       0       17       17        0        0
50864 net-acct                           	       0        1        1        0        0
50865 net-diag-tools                     	       0        1        1        0        0
50866 net-tools-dbgsym                   	       0        1        1        0        0
50867 net.downloadhelper.coapp.noffmpeg  	       0        1        0        0        1
50868 netaid-monitor                     	       0        1        1        0        0
50869 netapp-oncommand-sysmgr            	       0        1        0        0        1
50870 netbase                            	       0     4168        0        0     4168
50871 netcat                             	       0       82        0        0       82
50872 netcdf-bin                         	       0       13       13        0        0
50873 netcdf-doc                         	       0        9        0        0        9
50874 netcf                              	       0        1        1        0        0
50875 netdata                            	       0        4        0        0        4
50876 netdata-plugins-python             	       0        4        4        0        0
50877 netdata-web                        	       0        4        0        0        4
50878 netgen                             	       0        6        6        0        0
50879 netgen-headers                     	       0        1        1        0        0
50880 netgen-lvs                         	       0        5        5        0        0
50881 nethack-lisp                       	       0        1        1        0        0
50882 nethack-spoilers                   	       0        7        0        0        7
50883 nethack-x11                        	       0       15       15        0        0
50884 nether                             	       0        1        1        0        0
50885 netkit-ping                        	       0        1        0        0        1
50886 netlogger                          	       0        1        1        0        0
50887 netmate                            	       0        2        2        0        0
50888 netmaze                            	       0        1        1        0        0
50889 netpanzer                          	       0        3        3        0        0
50890 netpanzer-data                     	       0        3        0        0        3
50891 netperfmeter                       	       0        2        2        0        0
50892 netperfmeter-plotting              	       0        2        2        0        0
50893 netpipe-openmpi                    	       0        1        1        0        0
50894 netpipe-tcp                        	       0        1        1        0        0
50895 netproc                            	       0        1        1        0        0
50896 netrek-client-cow                  	       0        2        2        0        0
50897 netrik                             	       0        5        4        1        0
50898 netris                             	       0       17       17        0        0
50899 netscript-ipfilter                 	       0        2        2        0        0
50900 netselect                          	       0       39       37        2        0
50901 netselect-apt                      	       0       28       27        1        0
50902 netspeed                           	       0        1        0        0        1
50903 netstandard-targeting-pack-2.1     	       0       30        0        0       30
50904 netstress                          	       0        3        3        0        0
50905 netsurf                            	       0        3        0        0        3
50906 netsurf-common                     	       0       56        0        0       56
50907 netsurf-fb                         	       0       10       10        0        0
50908 nettle-bin                         	       0        7        7        0        0
50909 netwag-doc                         	       0        2        0        0        2
50910 network-manager-dbgsym             	       0       21       21        0        0
50911 network-manager-dev                	       0       31        1        0       30
50912 network-manager-iodine-gnome       	       0       22       20        0        2
50913 network-manager-l2tp-gnome         	       0       30       28        0        2
50914 network-manager-openconnect-gnome  	       0       38       33        0        5
50915 network-manager-pptp-gnome         	       0       34       29        0        5
50916 network-manager-ssh-gnome          	       0       37       34        0        3
50917 network-manager-sstp-gnome         	       0       20       19        0        1
50918 network-manager-vpnc-gnome         	       0       44       39        0        5
50919 networkd-dispatcher                	       0        2        2        0        0
50920 netwox-doc                         	       0        7        0        0        7
50921 neurodebian                        	       0        1        1        0        0
50922 neurodebian-archive-keyring        	       0        9        0        0        9
50923 neurodebian-popularity-contest     	       0        2        0        0        2
50924 neuron                             	       0        2        1        1        0
50925 neutron-common                     	       0        1        1        0        0
50926 neutron-dhcp-agent                 	       0        1        1        0        0
50927 neutron-l3-agent                   	       0        1        1        0        0
50928 neutron-metadata-agent             	       0        1        1        0        0
50929 neutron-openvswitch-agent          	       0        1        1        0        0
50930 neutron-plugin-openvswitch-agent   	       0        1        0        0        1
50931 neutron-server                     	       0        1        1        0        0
50932 neutron-vpnaas-common              	       0        1        0        0        1
50933 neverball-common                   	       0       26        0        0       26
50934 neverball-data                     	       0       25        0        0       25
50935 neverputt                          	       0       17       17        0        0
50936 neverputt-data                     	       0       17        0        0       17
50937 new-session-manager                	       0        2        2        0        0
50938 newbiedoc                          	       0        2        2        0        0
50939 newlib-source                      	       0        1        0        0        1
50940 newlisp                            	       0        9        9        0        0
50941 newmoon                            	       0        1        1        0        0
50942 newrelic-daemon                    	       0        1        1        0        0
50943 newrelic-php5                      	       0        1        1        0        0
50944 newrelic-php5-common               	       0        1        1        0        0
50945 newrelic-sysmond                   	       0        1        1        0        0
50946 newsbeuter                         	       0        7        7        0        0
50947 newsx                              	       0        1        1        0        0
50948 nextcloud-client                   	       0        1        0        0        1
50949 nextcloud-desktop-common           	       0      100        0        0      100
50950 nextcloud-desktop-doc              	       0       89        0        0       89
50951 nextcloud-files                    	       0        1        1        0        0
50952 nextcloud-server                   	       0        1        1        0        0
50953 nextpnr-ecp5                       	       0        1        1        0        0
50954 nextpnr-ecp5-chipdb                	       0        1        0        0        1
50955 nextpnr-gowin                      	       0        1        1        0        0
50956 nextpnr-gowin-chipdb               	       0        2        0        0        2
50957 nextpnr-gowin-qt                   	       0        1        1        0        0
50958 nextpnr-ice40-chipdb               	       0        1        0        0        1
50959 nextpnr-ice40-qt                   	       0        2        2        0        0
50960 nexuiz-data                        	       0       16        0        0       16
50961 nexuiz-music                       	       0       16        0        0       16
50962 nexuiz-textures                    	       0       16        0        0       16
50963 nfdump                             	       0        1        1        0        0
50964 nfstrace-doc                       	       0       12        0        0       12
50965 nftlb                              	       0        1        1        0        0
50966 ng-common                          	       0        1        1        0        0
50967 ng-latin                           	       0        1        1        0        0
50968 ngetty                             	       0        3        3        0        0
50969 nghttp2-client                     	       0        1        1        0        0
50970 nginx-confgen                      	       0        3        3        0        0
50971 nginx-doc                          	       0        7        0        0        7
50972 nginx-extras                       	       0        5        3        0        2
50973 nginx-module-perl                  	       0        1        1        0        0
50974 ngircd                             	       0        1        1        0        0
50975 ngraph-gtk                         	       0        3        3        0        0
50976 ngraph-gtk-addin-import-ps         	       0        1        0        0        1
50977 ngraph-gtk-addin-tex-equation      	       0        1        0        0        1
50978 ngraph-gtk-addins                  	       0        3        0        0        3
50979 ngraph-gtk-addins-base             	       0        3        0        0        3
50980 ngraph-gtk-doc                     	       0        3        0        0        3
50981 ngspice                            	       0       41       41        0        0
50982 ngspice-dev                        	       0        8        0        0        8
50983 ngspice-doc                        	       0       14        0        0       14
50984 nhos-flash-tool                    	       0        1        0        0        1
50985 ni-avahi-client                    	       0        1        0        0        1
50986 ni-ceip                            	       0        1        0        0        1
50987 ni-euladepot                       	       0        1        0        0        1
50988 ni-help-launcher                   	       0        1        0        0        1
50989 ni-labview-2023-core               	       0        1        1        0        0
50990 ni-labview-2023-help               	       0        1        0        0        1
50991 ni-labview-2023-jammy-community    	       0        1        0        0        1
50992 ni-networkdiscoverysvc             	       0        1        0        0        1
50993 ni-python-interface                	       0        1        1        0        0
50994 ni-service-locator                 	       0        1        1        0        0
50995 ni-software-action-services        	       0        1        0        0        1
50996 ni-ssl-bin                         	       0        1        0        0        1
50997 ni-sysapi                          	       0        1        1        0        0
50998 ni-syscfg-runtime                  	       0        1        0        0        1
50999 ni-targetcfg                       	       0        1        0        0        1
51000 ni-tdms-bin                        	       0        1        0        0        1
51001 ni-wine                            	       0        1        0        0        1
51002 ni-wine-dotnet-runtime-60          	       0        1        0        0        1
51003 ni-wine-platform-support           	       0        1        0        0        1
51004 niceshaper                         	       0        1        1        0        0
51005 nickle                             	       0        7        7        0        0
51006 nicotine                           	       0       12       11        1        0
51007 nictools-nopci                     	       0        1        1        0        0
51008 nicurli                            	       0        1        0        0        1
51009 nifti-bin                          	       0        3        3        0        0
51010 nifti2dicom-data                   	       0        1        0        0        1
51011 nighthawk                          	       0        1        1        0        0
51012 nijsonmapi                         	       0        1        0        0        1
51013 nikwi                              	       0        2        2        0        0
51014 nikwi-data                         	       0        2        0        0        2
51015 nim                                	       0        4        4        0        0
51016 nim-doc                            	       0        2        0        0        2
51017 nimbus-beacon-node                 	       0        1        1        0        0
51018 nimbus-validator-client            	       0        1        1        0        0
51019 ninix-aya                          	       0        3        3        0        0
51020 nip2                               	       0        8        8        0        0
51021 nis                                	       0       12        3        1        8
51022 nisslcerts                         	       0        1        0        0        1
51023 nissli                             	       0        1        0        0        1
51024 nitdmsi                            	       0        1        0        0        1
51025 nitrocli                           	       0        2        2        0        0
51026 nitrokey-authenticator             	       0        1        1        0        0
51027 nix-bin                            	       0        3        3        0        0
51028 nix-setup-systemd                  	       0        3        3        0        0
51029 nixieclock                         	       0        1        1        0        0
51030 nixnote2                           	       0        3        3        0        0
51031 njam                               	       0        5        5        0        0
51032 njam-data                          	       0        5        0        0        5
51033 njplot                             	       0        1        1        0        0
51034 njs                                	       0        1        1        0        0
51035 nlkt                               	       0        6        6        0        0
51036 nload                              	       0       65       65        0        0
51037 nlohmann-json-dev                  	       0        1        1        0        0
51038 nmap-common                        	       0      904        0        0      904
51039 nmapfe                             	       0        1        1        0        0
51040 nmrpflash                          	       0        1        1        0        0
51041 nmux                               	       0        1        1        0        0
51042 nn                                 	       0        6        6        0        0
51043 nnedi3-weights.bin                 	       0        1        0        0        1
51044 nntp                               	       0        1        1        0        0
51045 noaa-apt                           	       0        1        1        0        0
51046 noatun-plugins-trinity             	       0       24       22        2        0
51047 noblenote                          	       0        5        5        0        0
51048 node-abab                          	       0        8        0        0        8
51049 node-abstract-leveldown            	       0        2        0        0        2
51050 node-accepts                       	       0        4        0        0        4
51051 node-agent-base                    	       0      191        0        0      191
51052 node-ajv-keywords                  	       0      168        0        0      168
51053 node-ampproject-remapping          	       0      179        0        0      179
51054 node-ansi-color-table              	       0        2        0        0        2
51055 node-ansi-colors                   	       0       31        0        0       31
51056 node-ansi-escapes                  	       0      180        0        0      180
51057 node-anymatch                      	       0      184        0        0      184
51058 node-are-we-there-yet              	       0      197        0        0      197
51059 node-arg                           	       0        2        0        0        2
51060 node-argparse                      	       0      184        0        0      184
51061 node-arr-diff                      	       0        1        0        0        1
51062 node-arr-flatten                   	       0        2        0        0        2
51063 node-arr-union                     	       0        1        0        0        1
51064 node-array-find-index              	       0       26        0        0       26
51065 node-array-flatten                 	       0        4        0        0        4
51066 node-array-from                    	       0        3        0        0        3
51067 node-array-union                   	       0        1        0        0        1
51068 node-array-uniq                    	       0        1        0        0        1
51069 node-arrify                        	       0      183        0        0      183
51070 node-asap                          	       0       55        0        0       55
51071 node-assert                        	       0      179        0        0      179
51072 node-assertion-error               	       0        2        0        0        2
51073 node-async                         	       0      182        1        0      181
51074 node-async-each                    	       0      181        0        0      181
51075 node-auto-bind                     	       0      153        0        0      153
51076 node-axios                         	       0        2        2        0        0
51077 node-babel-plugin-add-module-exports	       0      179        0        0      179
51078 node-babel-plugin-lodash           	       0      169        0        0      169
51079 node-babel-plugin-polyfill-corejs2 	       0      179        0        0      179
51080 node-babel-plugin-polyfill-corejs3 	       0      179        0        0      179
51081 node-babel-plugin-polyfill-es-shims	       0        1        0        0        1
51082 node-babel-plugin-polyfill-regenerator	       0      179        0        0      179
51083 node-babel7-debug                  	       0        1        1        0        0
51084 node-babel7-runtime                	       0      181        0        0      181
51085 node-base                          	       0      152        0        0      152
51086 node-base64-js                     	       0      161        0        0      161
51087 node-beeper                        	       0        1        0        0        1
51088 node-big.js                        	       0       27        1        0       26
51089 node-bignumber                     	       0        4        1        0        3
51090 node-binary-extensions             	       0      181        0        0      181
51091 node-bindings                      	       0        5        0        0        5
51092 node-bl                            	       0       29        0        0       29
51093 node-block-stream                  	       0        3        0        0        3
51094 node-blueimp-md5                   	       0        3        0        0        3
51095 node-body-parser                   	       0        3        3        0        0
51096 node-boolbase                      	       0        1        0        0        1
51097 node-bootstrap-sass                	       0       38        0        0       38
51098 node-braces                        	       0      184        0        0      184
51099 node-browser-stdout                	       0       30        0        0       30
51100 node-buffer-crc32                  	       0        2        0        0        2
51101 node-buffer-equal                  	       0        1        0        0        1
51102 node-bytes                         	       0       30        0        0       30
51103 node-cache-base                    	       0      152        0        0      152
51104 node-caniuse-lite                  	       0      181        0        0      181
51105 node-carto                         	       0        1        1        0        0
51106 node-change-case                   	       0        1        0        0        1
51107 node-check-error                   	       0        2        0        0        2
51108 node-cheerio                       	       0        1        1        0        0
51109 node-chokidar                      	       0      181        0        0      181
51110 node-chroma-js                     	       0        1        0        0        1
51111 node-chrome-trace-event            	       0      168        0        0      168
51112 node-ci-info                       	       0      181        0        0      181
51113 node-cjs-module-lexer              	       0      301        0        0      301
51114 node-clarinet                      	       0        2        0        0        2
51115 node-clean-css                     	       0        8        8        0        0
51116 node-clean-yaml-object             	       0        2        0        0        2
51117 node-cli-cursor                    	       0      170        0        0      170
51118 node-cli-spinners                  	       0       27        0        0       27
51119 node-cli-table                     	       0      178        0        0      178
51120 node-cli-truncate                  	       0      153        0        0      153
51121 node-cli-width                     	       0       29        0        0       29
51122 node-clipanion                     	       0        1        1        0        0
51123 node-clipboard                     	       0     1984        0        0     1984
51124 node-clone-buffer                  	       0        2        0        0        2
51125 node-clone-deep                    	       0      179        0        0      179
51126 node-clone-stats                   	       0        2        0        0        2
51127 node-cloneable-readable            	       0        2        0        0        2
51128 node-collection-visit              	       0      152        0        0      152
51129 node-color                         	       0        1        0        0        1
51130 node-color-string                  	       0        1        0        0        1
51131 node-colorspace                    	       0        1        0        0        1
51132 node-columnify                     	       0      184        0        0      184
51133 node-commander                     	       0      192        1        0      191
51134 node-commondir                     	       0      179        0        0      179
51135 node-component-emitter             	       0        1        0        0        1
51136 node-concat-with-sourcemaps        	       0        1        0        0        1
51137 node-concordance                   	       0        3        3        0        0
51138 node-configurable-http-proxy       	       0        1        1        0        0
51139 node-console-control-strings       	       0      197        0        0      197
51140 node-content-disposition           	       0        4        0        0        4
51141 node-content-type                  	       0        4        0        0        4
51142 node-convert-source-map            	       0      180        0        0      180
51143 node-cookie                        	       0        4        0        0        4
51144 node-cookie-jar                    	       0        4        1        0        3
51145 node-cookie-signature              	       0        4        0        0        4
51146 node-cookies                       	       0        2        0        0        2
51147 node-core-js                       	       0      180        0        0      180
51148 node-core-js-compat                	       0      179        0        0      179
51149 node-core-js-pure                  	       0      179        0        0      179
51150 node-core-util-is                  	       0      216        0        0      216
51151 node-cosmiconfig                   	       0        3        0        0        3
51152 node-crc                           	       0        2        1        0        1
51153 node-create-require                	       0        2        0        0        2
51154 node-cross-spawn-async             	       0        1        0        0        1
51155 node-css                           	       0        4        4        0        0
51156 node-css-loader                    	       0      161        0        0      161
51157 node-css-select                    	       0        1        1        0        0
51158 node-css-what                      	       0        1        1        0        0
51159 node-cssom                         	       0        8        0        0        8
51160 node-cssstyle                      	       0        8        8        0        0
51161 node-csstype                       	       0        1        0        0        1
51162 node-currently-unhandled           	       0       26        0        0       26
51163 node-cycle                         	       0        1        0        0        1
51164 node-d                             	       0        4        0        0        4
51165 node-d3                            	       0        3        0        0        3
51166 node-d3-array                      	       0        3        0        0        3
51167 node-d3-axis                       	       0        3        0        0        3
51168 node-d3-brush                      	       0        3        0        0        3
51169 node-d3-chord                      	       0        3        0        0        3
51170 node-d3-collection                 	       0        3        0        0        3
51171 node-d3-color                      	       0        3        0        0        3
51172 node-d3-contour                    	       0        3        0        0        3
51173 node-d3-dispatch                   	       0        3        0        0        3
51174 node-d3-drag                       	       0        3        0        0        3
51175 node-d3-dsv                        	       0        4        0        0        4
51176 node-d3-ease                       	       0        3        0        0        3
51177 node-d3-fetch                      	       0        3        0        0        3
51178 node-d3-force                      	       0        3        0        0        3
51179 node-d3-format                     	       0        3        0        0        3
51180 node-d3-geo                        	       0        3        0        0        3
51181 node-d3-hierarchy                  	       0        3        0        0        3
51182 node-d3-interpolate                	       0        3        0        0        3
51183 node-d3-path                       	       0        3        0        0        3
51184 node-d3-polygon                    	       0        3        0        0        3
51185 node-d3-quadtree                   	       0        3        0        0        3
51186 node-d3-queue                      	       0        8        0        0        8
51187 node-d3-random                     	       0        3        0        0        3
51188 node-d3-scale                      	       0        3        0        0        3
51189 node-d3-scale-chromatic            	       0        3        0        0        3
51190 node-d3-selection                  	       0        3        0        0        3
51191 node-d3-shape                      	       0        3        0        0        3
51192 node-d3-time                       	       0        3        0        0        3
51193 node-d3-time-format                	       0        3        0        0        3
51194 node-d3-timer                      	       0        3        0        0        3
51195 node-d3-transition                 	       0        3        0        0        3
51196 node-d3-voronoi                    	       0        3        0        0        3
51197 node-d3-zoom                       	       0        3        0        0        3
51198 node-dabh-diagnostics              	       0        1        0        0        1
51199 node-daemon                        	       0        1        0        0        1
51200 node-dagre-d3-renderer             	       0        1        1        0        0
51201 node-dagre-layout                  	       0        1        1        0        0
51202 node-data-uri-to-buffer            	       0      165        0        0      165
51203 node-date-time                     	       0        3        0        0        3
51204 node-dateformat                    	       0        2        0        0        2
51205 node-death                         	       0       26        0        0       26
51206 node-debbundle-acorn               	       0        5        3        0        2
51207 node-debbundle-es-to-primitive     	       0      180        0        0      180
51208 node-debug                         	       0      209        0        0      209
51209 node-debug-fabulous                	       0        1        0        0        1
51210 node-deep-eql                      	       0        2        0        0        2
51211 node-deep-equal                    	       0      181        0        0      181
51212 node-deep-is                       	       0      180        0        0      180
51213 node-deepmerge                     	       0        3        0        0        3
51214 node-define-properties             	       0      180        0        0      180
51215 node-define-property               	       0      155        0        0      155
51216 node-defined                       	       0      179        0        0      179
51217 node-del                           	       0      179        0        0      179
51218 node-detect-file                   	       0        3        0        0        3
51219 node-diacritics                    	       0        2        0        0        2
51220 node-doctrine                      	       0      169        0        0      169
51221 node-dom-serializer                	       0        1        0        0        1
51222 node-domelementtype                	       0        7        7        0        0
51223 node-domhandler                    	       0        7        7        0        0
51224 node-dompurify                     	       0        5        0        0        5
51225 node-domutils                      	       0        1        1        0        0
51226 node-duplexer                      	       0        2        1        0        1
51227 node-electron-to-chromium          	       0      182        0        0      182
51228 node-emittery                      	       0        4        0        0        4
51229 node-emoji                         	       0       27        0        0       27
51230 node-emojis-list                   	       0       27        0        0       27
51231 node-enabled                       	       0        1        0        0        1
51232 node-encodeurl                     	       0        4        0        0        4
51233 node-end-of-stream                 	       0      172        0        0      172
51234 node-enquirer                      	       0        1        1        0        0
51235 node-entities                      	       0        8        8        0        0
51236 node-envinfo                       	       0       19        0        0       19
51237 node-err-code                      	       0      184        0        0      184
51238 node-error-ex                      	       0      179        0        0      179
51239 node-es-abstract                   	       0      180        0        0      180
51240 node-es-module-lexer               	       0      168        0        0      168
51241 node-es5-ext                       	       0        4        0        0        4
51242 node-es6-error                     	       0      179        0        0      179
51243 node-es6-iterator                  	       0        4        0        0        4
51244 node-es6-symbol                    	       0        4        4        0        0
51245 node-es6-weak-map                  	       0        1        0        0        1
51246 node-escape-html                   	       0        4        2        0        2
51247 node-escape-string-regexp          	       0      211        0        0      211
51248 node-eslint-plugin-flowtype        	       0        1        1        0        0
51249 node-eslint-scope                  	       0      169        0        0      169
51250 node-eslint-utils                  	       0      169        0        0      169
51251 node-eslint-visitor-keys           	       0      169        0        0      169
51252 node-espree                        	       0      169        0        0      169
51253 node-esprima-fb                    	       0        1        1        0        0
51254 node-esquery                       	       0      169        0        0      169
51255 node-esrecurse                     	       0      169        0        0      169
51256 node-estraverse                    	       0      180        0        0      180
51257 node-estree-walker                 	       0        1        0        0        1
51258 node-esutils                       	       0      180        0        0      180
51259 node-etag                          	       0        4        0        0        4
51260 node-event-emitter                 	       0        3        0        0        3
51261 node-eventemitter2                 	       0        2        0        0        2
51262 node-eventemitter3                 	       0        1        0        0        1
51263 node-events                        	       0      172        0        0      172
51264 node-exit                          	       0        5        0        0        5
51265 node-exit-hook                     	       0        1        0        0        1
51266 node-expand-tilde                  	       0        3        0        0        3
51267 node-express                       	       0        3        3        0        0
51268 node-express-generator             	       0        1        1        0        0
51269 node-extend-shallow                	       0        2        0        0        2
51270 node-external-editor               	       0       29        0        0       29
51271 node-fancy-log                     	       0      169        0        0      169
51272 node-fast-deep-equal               	       0      204        0        0      204
51273 node-fast-levenshtein              	       0      182        0        0      182
51274 node-fast-safe-stringify           	       0        1        0        0        1
51275 node-fd-slicer                     	       0        2        1        0        1
51276 node-fecha                         	       0        1        0        0        1
51277 node-fetch                         	       0      166        0        0      166
51278 node-file-entry-cache              	       0      169        0        0      169
51279 node-filesize                      	       0        1        0        0        1
51280 node-fill-range                    	       0      184        0        0      184
51281 node-finalhandler                  	       0        4        0        0        4
51282 node-find-cache-dir                	       0      179        0        0      179
51283 node-findit2                       	       0        2        0        0        2
51284 node-findup-sync                   	       0        3        0        0        3
51285 node-fined                         	       0        3        0        0        3
51286 node-flagged-respawn               	       0        3        0        0        3
51287 node-flat-cache                    	       0      169        0        0      169
51288 node-flatted                       	       0      169        0        0      169
51289 node-flush-write-stream            	       0       14        0        0       14
51290 node-fn.name                       	       0        1        0        0        1
51291 node-follow-redirects              	       0        3        0        0        3
51292 node-for-in                        	       0      180        0        0      180
51293 node-for-own                       	       0      180        0        0      180
51294 node-foreground-child              	       0      179        0        0      179
51295 node-formidable                    	       0        1        0        0        1
51296 node-fortawesome-fontawesome-free  	       0        3        0        0        3
51297 node-fresh                         	       0        4        0        0        4
51298 node-fs-exists-sync                	       0        3        0        0        3
51299 node-fs-extra                      	       0        2        2        0        0
51300 node-fs-readdir-recursive          	       0      179        0        0      179
51301 node-fs-write-stream-atomic        	       0      190        0        0      190
51302 node-fstream                       	       0        2        1        0        1
51303 node-fstream-ignore                	       0        2        0        0        2
51304 node-function-bind                 	       0      206        0        0      206
51305 node-functional-red-black-tree     	       0      169        0        0      169
51306 node-fuzzysort                     	       0        2        0        2        0
51307 node-get-caller-file               	       0      188        0        0      188
51308 node-get-func-name                 	       0        2        0        0        2
51309 node-get-value                     	       0      153        1        0      152
51310 node-getobject                     	       0        2        0        0        2
51311 node-github-url-from-git           	       0        3        0        0        3
51312 node-glob-parent                   	       0      182        0        0      182
51313 node-glob-stream                   	       0        1        0        0        1
51314 node-global-modules                	       0        4        0        0        4
51315 node-global-prefix                 	       0        4        0        0        4
51316 node-globals                       	       0      180        0        0      180
51317 node-globby                        	       0      179        0        0      179
51318 node-glogg                         	       0        2        0        0        2
51319 node-googlediff                    	       0        1        1        0        0
51320 node-graphlibrary                  	       0        2        2        0        0
51321 node-growl                         	       0      170        0        0      170
51322 node-grunt-known-options           	       0        2        0        0        2
51323 node-grunt-legacy-log              	       0        2        0        0        2
51324 node-grunt-legacy-log-utils        	       0        2        0        0        2
51325 node-grunt-legacy-util             	       0        2        0        0        2
51326 node-gulp-plumber                  	       0        1        0        0        1
51327 node-gulp-sourcemaps               	       0        1        0        0        1
51328 node-gulp-tsb                      	       0        1        1        0        0
51329 node-gulp-util                     	       0        1        0        0        1
51330 node-gulplog                       	       0        2        0        0        2
51331 node-has-ansi                      	       0        1        0        0        1
51332 node-has-gulplog                   	       0        1        0        0        1
51333 node-has-symbol-support-x          	       0       13        0        0       13
51334 node-has-to-string-tag-x           	       0       12        0        0       12
51335 node-has-value                     	       0      152        0        0      152
51336 node-has-values                    	       0      152        0        0      152
51337 node-has-yarn                      	       0        1        0        0        1
51338 node-highlight.js                  	       0       48       47        1        0
51339 node-hooker                        	       0        2        0        0        2
51340 node-hosted-git-info               	       0      210        0        0      210
51341 node-hsluv                         	       0        1        0        0        1
51342 node-html5shiv                     	       0      132        2        0      130
51343 node-htmlparser2                   	       0        1        1        0        0
51344 node-http-errors                   	       0        4        0        0        4
51345 node-http-proxy                    	       0        1        1        0        0
51346 node-http-proxy-agent              	       0       19        0        0       19
51347 node-https-proxy-agent             	       0      190        0        0      190
51348 node-i18next                       	       0        1        0        0        1
51349 node-iconv                         	       0        2        0        0        2
51350 node-icss-utils                    	       0      161        0        0      161
51351 node-ieee754                       	       0      161        0        0      161
51352 node-ignore                        	       0      180        0        0      180
51353 node-ignore-by-default             	       0        1        0        0        1
51354 node-immediate                     	       0        6        0        0        6
51355 node-imports-loader                	       0       26        0        0       26
51356 node-indent-string                 	       0      202        0        0      202
51357 node-interpret                     	       0      169        0        0      169
51358 node-invariant                     	       0       26        0        0       26
51359 node-ip                            	       0      184        0        0      184
51360 node-ip-regex                      	       0      185        0        0      185
51361 node-ipaddr.js                     	       0        4        0        0        4
51362 node-irregular-plurals             	       0        1        0        0        1
51363 node-is-arrayish                   	       0      179        0        0      179
51364 node-is-binary-path                	       0      181        0        0      181
51365 node-is-buffer                     	       0      188        0        0      188
51366 node-is-builtin-module             	       0       38        0        0       38
51367 node-is-descriptor                 	       0      154        0        0      154
51368 node-is-extendable                 	       0      181        1        0      180
51369 node-is-extglob                    	       0      183        0        0      183
51370 node-is-generator-fn               	       0        3        0        0        3
51371 node-is-glob                       	       0      183        0        0      183
51372 node-is-negated-glob               	       0        1        0        0        1
51373 node-is-number                     	       0      184        1        0      183
51374 node-is-path-cwd                   	       0      179        0        0      179
51375 node-is-path-inside                	       0      179        0        0      179
51376 node-is-plain-obj                  	       0      190        0        0      190
51377 node-is-plain-object               	       0      182        0        0      182
51378 node-is-primitive                  	       0      152        0        0      152
51379 node-is-promise                    	       0        4        0        0        4
51380 node-is-retry-allowed              	       0       12        0        0       12
51381 node-is-unc-path                   	       0        1        0        0        1
51382 node-is-valid-glob                 	       0        1        0        0        1
51383 node-is-windows                    	       0      179        0        0      179
51384 node-isobject                      	       0      182        0        0      182
51385 node-istextorbinary                	       0        1        0        0        1
51386 node-jed                           	       0       55        0        0       55
51387 node-jest-debbundle                	       0      169        0        0      169
51388 node-jest-worker                   	       0      168        0        0      168
51389 node-jju                           	       0        4        0        0        4
51390 node-jquery                        	       0      313        1        0      312
51391 node-jquery-ui                     	       0        8        0        0        8
51392 node-js-beautify                   	       0        4        4        0        0
51393 node-js-cookie                     	       0        5        0        0        5
51394 node-js-sdsl                       	       0        2        0        0        2
51395 node-js-tokens                     	       0      182        0        0      182
51396 node-jsdom                         	       0        8        8        0        0
51397 node-json-buffer                   	       0      165        0        0      165
51398 node-json-loader                   	       0        4        0        0        4
51399 node-json-localizer                	       0        4        0        0        4
51400 node-json-parse-better-errors      	       0      211        0        0      211
51401 node-json-parse-helpfulerror       	       0        4        0        0        4
51402 node-json-schema-traverse          	       0      204        0        0      204
51403 node-json-stable-stringify         	       0      211        0        0      211
51404 node-json-stringify-safe           	       0       67        0        0       67
51405 node-json2module                   	       0        4        4        0        0
51406 node-jsonfile                      	       0        6        0        0        6
51407 node-jsonminify                    	       0        4        0        0        4
51408 node-jsonselect                    	       0        4        0        0        4
51409 node-jstimezonedetect              	       0        4        0        0        4
51410 node-jszip                         	       0        4        4        0        0
51411 node-jszip-utils                   	       0        4        0        0        4
51412 node-keese                         	       0        2        0        0        2
51413 node-keygrip                       	       0        2        0        0        2
51414 node-kind-of                       	       0      188        0        0      188
51415 node-klaw                          	       0        2        0        0        2
51416 node-kuler                         	       0        1        0        0        1
51417 node-lastfm                        	       0        2        2        0        0
51418 node-lazy-cache                    	       0        1        0        0        1
51419 node-lazystream                    	       0        1        0        0        1
51420 node-leven                         	       0       32        0        0       32
51421 node-levn                          	       0      180        0        0      180
51422 node-libpq                         	       0        1        0        0        1
51423 node-lie                           	       0        4        0        0        4
51424 node-liftoff                       	       0        3        0        0        3
51425 node-load-json-file                	       0        2        0        0        2
51426 node-loader-runner                 	       0      168        0        0      168
51427 node-loader-utils                  	       0       26       25        0        1
51428 node-lodash-packages               	       0      184        0        0      184
51429 node-log-driver                    	       0      152        0        0      152
51430 node-log4js                        	       0        1        1        0        0
51431 node-logform                       	       0        1        0        0        1
51432 node-loud-rejection                	       0       26        0        0       26
51433 node-lynx                          	       0        1        0        0        1
51434 node-macaddress                    	       0        1        1        0        0
51435 node-make-dir                      	       0      180        0        0      180
51436 node-make-error                    	       0        2        0        0        2
51437 node-map-cache                     	       0        4        0        0        4
51438 node-map-visit                     	       0      152        0        0      152
51439 node-match-at                      	       0        1        1        0        0
51440 node-matcher                       	       0        1        0        0        1
51441 node-mathjax-full                  	       0        1        1        0        0
51442 node-md5-hex                       	       0        3        0        0        3
51443 node-md5-o-matic                   	       0        3        0        0        3
51444 node-media-typer                   	       0        4        0        0        4
51445 node-memory-fs                     	       0      168        0        0      168
51446 node-merge-descriptors             	       0        7        2        0        5
51447 node-merge-stream                  	       0      170        0        0      170
51448 node-mermaid                       	       0        2        0        0        2
51449 node-mersenne                      	       0        1        0        0        1
51450 node-mess                          	       0        2        1        0        1
51451 node-methods                       	       0        4        0        0        4
51452 node-micromatch                    	       0      182        0        0      182
51453 node-minipass                      	       0      182        0        0      182
51454 node-mixin-deep                    	       0      152        0        0      152
51455 node-mj-context-menu               	       0        2        0        0        2
51456 node-ms                            	       0      209        0        0      209
51457 node-multiparty                    	       0        2        0        0        2
51458 node-music-library-index           	       0        2        0        0        2
51459 node-n3                            	       0      179        0        0      179
51460 node-nan                           	       0        2        2        0        0
51461 node-ncp                           	       0        2        2        0        0
51462 node-negotiator                    	       0      163        0        0      163
51463 node-neo-async                     	       0      179        0        0      179
51464 node-node-uuid                     	       0       12        1        0       11
51465 node-normalize-package-data        	       0      210        0        0      210
51466 node-normalize-path                	       0      185        0        0      185
51467 node-npm-bundled                   	       0      184        0        0      184
51468 node-npm-package-arg               	       0      190        0        0      190
51469 node-npm-run-path                  	       0      188        0        0      188
51470 node-nth-check                     	       0        1        0        0        1
51471 node-number-is-nan                 	       0       28        0        0       28
51472 node-object-inspect                	       0      180        0        0      180
51473 node-object-path                   	       0       26        0        0       26
51474 node-object-visit                  	       0      152        0        0      152
51475 node-on-finished                   	       0        4        2        0        2
51476 node-one-time                      	       0        1        0        0        1
51477 node-optimist                      	       0      179        0        0      179
51478 node-optionator                    	       0      180        0        0      180
51479 node-ordered-read-streams          	       0        1        0        0        1
51480 node-p-map                         	       0      202        0        0      202
51481 node-pako                          	       0        4        4        0        0
51482 node-parse-filepath                	       0        3        0        0        3
51483 node-parse-json                    	       0      179        0        0      179
51484 node-parse-ms                      	       0        1        0        0        1
51485 node-parse5                        	       0        8        0        0        8
51486 node-parse5-htmlparser2-tree-adapter	       0        1        0        0        1
51487 node-parseurl                      	       0        4        0        0        4
51488 node-pascalcase                    	       0      152        0        0      152
51489 node-path-dirname                  	       0      182        0        0      182
51490 node-path-is-absolute              	       0      215        0        0      215
51491 node-path-is-inside                	       0      187        0        0      187
51492 node-path-root                     	       0       29        1        0       28
51493 node-path-root-regex               	       0       29        0        0       29
51494 node-path-to-regexp                	       0        8        0        0        8
51495 node-path-type                     	       0      179        0        0      179
51496 node-pathval                       	       0        2        0        0        2
51497 node-pend                          	       0        2        0        0        2
51498 node-pg                            	       0        2        2        0        0
51499 node-picocolors                    	       0      181        0        0      181
51500 node-pify                          	       0      179        0        0      179
51501 node-pkg-dir                       	       0      180        0        0      180
51502 node-plugin-error                  	       0        1        0        0        1
51503 node-plur                          	       0        1        0        0        1
51504 node-postcss-modules-extract-imports	       0      161        0        0      161
51505 node-postcss-modules-values        	       0      161        0        0      161
51506 node-postcss-value-parser          	       0      161        0        0      161
51507 node-pre-gyp                       	       0        2        2        0        0
51508 node-prelude-ls                    	       0      180        0        0      180
51509 node-pretty-hrtime                 	       0        1        0        0        1
51510 node-pretty-ms                     	       0        1        0        0        1
51511 node-prismjs                       	       0     1984        0        0     1984
51512 node-process-nextick-args          	       0      215        0        0      215
51513 node-progress                      	       0      169        0        0      169
51514 node-promise                       	       0        4        0        0        4
51515 node-promise-retry                 	       0      184        0        0      184
51516 node-prompts                       	       0        3        3        0        0
51517 node-proper-lockfile               	       0       26        0        0       26
51518 node-proxy-addr                    	       0        4        0        0        4
51519 node-proxy-from-env                	       0        2        0        0        2
51520 node-pseudomap                     	       0        1        0        0        1
51521 node-psl                           	       0       64        0        0       64
51522 node-puka                          	       0       54        0        0       54
51523 node-qrcode-generator              	       0       13        0        0       13
51524 node-querystringify                	       0        3        0        0        3
51525 node-quick-lru                     	       0      179        0        0      179
51526 node-random-bytes                  	       0        2        1        0        1
51527 node-randombytes                   	       0      179        0        0      179
51528 node-range-parser                  	       0        5        0        0        5
51529 node-raw-body                      	       0        4        0        0        4
51530 node-re2                           	       0       19        0        0       19
51531 node-react                         	       0        4        0        0        4
51532 node-react-dom                     	       0        3        0        0        3
51533 node-react-is                      	       0        4        0        0        4
51534 node-react-reconciler              	       0        1        0        0        1
51535 node-react-shallow-renderer        	       0        3        0        0        3
51536 node-react-test-renderer           	       0        3        0        0        3
51537 node-read-package-json             	       0      192        0        0      192
51538 node-read-pkg                      	       0      179        0        0      179
51539 node-read-pkg-up                   	       0        1        0        0        1
51540 node-readdirp                      	       0      181        0        0      181
51541 node-rechoir                       	       0      169        0        0      169
51542 node-regenerate                    	       0      180        0        0      180
51543 node-regenerate-unicode-properties 	       0      180        0        0      180
51544 node-regenerator-runtime           	       0      181        0        0      181
51545 node-regenerator-transform         	       0      179        0        0      179
51546 node-regexpp                       	       0      169        0        0      169
51547 node-regexpu-core                  	       0      179        0        0      179
51548 node-registry-auth-token           	       0       12        0        0       12
51549 node-regjsgen                      	       0      179        0        0      179
51550 node-remark-slide                  	       0        1        0        0        1
51551 node-remove-trailing-separator     	       0        2        0        0        2
51552 node-repeat-string                 	       0      184        1        0      183
51553 node-replace-ext                   	       0        2        0        0        2
51554 node-request-capture-har           	       0       26        0        0       26
51555 node-require-from-string           	       0       20        0        0       20
51556 node-require-main-filename         	       0       12        0        0       12
51557 node-requirejs                     	       0        7        7        0        0
51558 node-requires-port                 	       0        4        0        0        4
51559 node-resolve                       	       0      205        0        0      205
51560 node-resolve-cwd                   	       0      169        0        0      169
51561 node-resolve-dir                   	       0        3        0        0        3
51562 node-restore-cursor                	       0      170        0        0      170
51563 node-resumer                       	       0      179        0        0      179
51564 node-rollup-plugin-typescript2     	       0        1        0        0        1
51565 node-rollup-pluginutils            	       0        1        0        0        1
51566 node-run-async                     	       0       29        0        0       29
51567 node-rw                            	       0        8        8        0        0
51568 node-rx                            	       0        2        1        0        1
51569 node-sane                          	       0        3        0        0        3
51570 node-sax                           	       0        2        0        0        2
51571 node-scheduler                     	       0        4        0        0        4
51572 node-schema-utils                  	       0      168        0        0      168
51573 node-sellside-emitter              	       0      152        0        0      152
51574 node-send                          	       0        3        0        0        3
51575 node-serialize-javascript          	       0      179        0        0      179
51576 node-serve-static                  	       0        3        0        0        3
51577 node-set-getter                    	       0        1        1        0        0
51578 node-set-immediate-shim            	       0      181        0        0      181
51579 node-set-value                     	       0      152        0        0      152
51580 node-setimmediate                  	       0        4        0        0        4
51581 node-setprototypeof                	       0        4        0        0        4
51582 node-shell-quote                   	       0      152        0        0      152
51583 node-sigmund                       	       0        6        0        0        6
51584 node-simple-swizzle                	       0        1        0        0        1
51585 node-sinclair-typebox              	       0        3        0        0        3
51586 node-sinon                         	       0        3        3        0        0
51587 node-slice-ansi                    	       0      187        0        0      187
51588 node-snapdragon                    	       0        1        0        0        1
51589 node-snapdragon-node               	       0        1        0        0        1
51590 node-snapdragon-util               	       0        1        0        0        1
51591 node-sort-keys                     	       0       26        1        0       25
51592 node-source-list-map               	       0      168        0        0      168
51593 node-source-map                    	       0      195        3        0      192
51594 node-source-map-resolve            	       0        4        4        0        0
51595 node-source-map-support            	       0      179        0        0      179
51596 node-sparkles                      	       0        2        0        0        2
51597 node-spdx-exceptions               	       0      204        0        0      204
51598 node-spdx-expression-parse         	       0      210        0        0      210
51599 node-spdx-license-ids              	       0      210        0        0      210
51600 node-speech-rule-engine            	       0        1        1        0        0
51601 node-split                         	       0        2        0        0        2
51602 node-split2                        	       0        1        0        0        1
51603 node-sprintf-js                    	       0      190        2        0      188
51604 node-sqlite3                       	       0        2        0        0        2
51605 node-stack-trace                   	       0        2        0        0        2
51606 node-stack-utils                   	       0      154        0        0      154
51607 node-statsd-parser                 	       0        1        0        0        1
51608 node-statuses                      	       0        4        0        0        4
51609 node-std-mocks                     	       0        1        0        0        1
51610 node-stealthy-require              	       0        2        0        0        2
51611 node-strftime                      	       0        1        0        0        1
51612 node-strict-uri-encode             	       0       26        0        0       26
51613 node-strip-bom                     	       0      182        0        0      182
51614 node-strip-json-comments           	       0      188        0        0      188
51615 node-tapable                       	       0      168        0        0      168
51616 node-tar-stream                    	       0       26        0        0       26
51617 node-text-hex                      	       0        1        0        0        1
51618 node-through2-filter               	       0        1        0        0        1
51619 node-time-stamp                    	       0      169        0        0      169
51620 node-time-zone                     	       0        3        0        0        3
51621 node-tinycolor                     	       0        3        0        0        3
51622 node-tmatch                        	       0        1        0        0        1
51623 node-tmp                           	       0       29        0        0       29
51624 node-to-absolute-glob              	       0        1        0        0        1
51625 node-to-fast-properties            	       0      179        0        0      179
51626 node-to-object-path                	       0        1        0        0        1
51627 node-to-regex-range                	       0      184        0        0      184
51628 node-toidentifier                  	       0        4        2        0        2
51629 node-transformers                  	       0        2        0        0        2
51630 node-triple-beam                   	       0        1        0        0        1
51631 node-ts-loader                     	       0        1        0        0        1
51632 node-tslib                         	       0      187        0        0      187
51633 node-tunein                        	       0        1        0        0        1
51634 node-turbolinks                    	       0        7        0        0        7
51635 node-typanion                      	       0        1        1        0        0
51636 node-type-check                    	       0      180        0        0      180
51637 node-type-detect                   	       0        3        0        0        3
51638 node-type-is                       	       0        4        0        0        4
51639 node-typedarray-to-buffer          	       0      202        0        0      202
51640 node-typescript-types              	       0        1        0        0        1
51641 node-ua-parser-js                  	       0        2        0        0        2
51642 node-uglify                        	       0        2        2        0        0
51643 node-uglify-js                     	       0        4        0        0        4
51644 node-uid-safe                      	       0        2        1        0        1
51645 node-unc-path-regex                	       0        1        0        0        1
51646 node-underscore                    	       0        4        1        0        3
51647 node-underscore.string             	       0        2        0        0        2
51648 node-unicode-15.0.0                	       0        1        0        0        1
51649 node-unicode-canonical-property-names-ecmascript	       0      179        0        0      179
51650 node-unicode-match-property-ecmascript	       0      179        0        0      179
51651 node-unicode-match-property-value-ecmascript	       0      179        0        0      179
51652 node-unicode-property-aliases-ecmascript	       0      179        0        0      179
51653 node-union-value                   	       0      152        0        0      152
51654 node-unique-stream                 	       0        1        0        0        1
51655 node-universalify                  	       0       63        0        0       63
51656 node-unset-value                   	       0      152        0        0      152
51657 node-uri-js                        	       0      204        0        0      204
51658 node-url-parse                     	       0        3        0        0        3
51659 node-url-parse-lax                 	       0       12        0        0       12
51660 node-url-to-options                	       0       13        0        0       13
51661 node-use                           	       0        1        0        0        1
51662 node-util                          	       0      180        0        0      180
51663 node-utils-merge                   	       0        4        0        0        4
51664 node-v8-compile-cache              	       0      169        0        0      169
51665 node-v8flags                       	       0      180        0        0      180
51666 node-validate-npm-package-license  	       0      210        0        0      210
51667 node-validate-npm-package-name     	       0      190        0        0      190
51668 node-vary                          	       0        4        0        0        4
51669 node-vinyl                         	       0        2        0        0        2
51670 node-vinyl-fs                      	       0        1        1        0        0
51671 node-watchpack                     	       0      168        0        0      168
51672 node-webidl-conversions            	       0        8        0        0        8
51673 node-whatwg-fetch                  	       0        1        0        0        1
51674 node-winston                       	       0        1        1        0        0
51675 node-winston-compat                	       0        1        0        0        1
51676 node-winston-transport             	       0        1        0        0        1
51677 node-wordwrap                      	       0      179        0        0      179
51678 node-write                         	       0      169        0        0      169
51679 node-write-file-atomic             	       0      208        0        0      208
51680 node-ws-iconv                      	       0        1        1        0        0
51681 node-xmlhttprequest                	       0        1        0        0        1
51682 node-yaml                          	       0      154        0        0      154
51683 node-yarn-tool-resolve-package     	       0        1        0        0        1
51684 node-yauzl                         	       0        2        0        0        2
51685 node-yazl                          	       0        2        0        0        2
51686 node-yn                            	       0       28        0        0       28
51687 node-ytdl-core                     	       0        2        0        0        2
51688 node-zkochan-cmd-shim              	       0        1        0        0        1
51689 nodeenv                            	       0        8        7        1        0
51690 nodejs-doc                         	       0      359        0        0      359
51691 nody-greeter                       	       0        1        0        0        1
51692 nohang                             	       0        1        1        0        0
51693 noi                                	       0        1        1        0        0
51694 noiz2sa                            	       0        2        2        0        0
51695 noiz2sa-data                       	       0        2        2        0        0
51696 nomachine                          	       0       22        0        0       22
51697 nomacs                             	       0       13       13        0        0
51698 nomacs-l10n                        	       0       14        0        0       14
51699 nomad                              	       0        1        1        0        0
51700 nomad-driver-podman                	       0        1        1        0        0
51701 nomnom                             	       0        2        2        0        0
51702 non-mixer                          	       0        2        2        0        0
51703 non-sequencer                      	       0        2        2        0        0
51704 non-session-manager                	       0        2        0        0        2
51705 non-timeline                       	       0        1        1        0        0
51706 nordic                             	       0        1        0        0        1
51707 nordvpn-release                    	       0       18        0        0       18
51708 normaliz                           	       0       12        0        0       12
51709 normaliz-doc                       	       0        2        0        0        2
51710 norsnet                            	       0        1        1        0        0
51711 nosexcover-build-deps              	       0        1        0        0        1
51712 nosh-service-management            	       0        1        1        0        0
51713 nosh-terminal-extras               	       0        1        1        0        0
51714 nosh-terminal-management           	       0        1        1        0        0
51715 note                               	       0        3        3        0        0
51716 notepadpp-bin32                    	       0        1        1        0        0
51717 notepadpp-common                   	       0        1        1        0        0
51718 notepadqq-common                   	       0        2        2        0        0
51719 notes                              	       0        1        1        0        0
51720 notesalexp-keyring                 	       0        1        0        0        1
51721 noteshrink                         	       0        1        1        0        0
51722 notmuch-doc                        	       0        1        0        0        1
51723 notmuch-emacs                      	       0        1        0        0        1
51724 notmuch-mutt                       	       0       14       14        0        0
51725 notmuch-vim                        	       0        4        0        0        4
51726 nova-api                           	       0        1        1        0        0
51727 nova-cert                          	       0        1        1        0        0
51728 nova-common                        	       0        2        2        0        0
51729 nova-compute                       	       0        1        1        0        0
51730 nova-compute-kvm                   	       0        1        0        0        1
51731 nova-conductor                     	       0        1        1        0        0
51732 nova-console                       	       0        1        1        0        0
51733 nova-consoleauth                   	       0        1        1        0        0
51734 nova-consoleproxy                  	       0        2        2        0        0
51735 nova-scheduler                     	       0        1        1        0        0
51736 novnc                              	       0        6        5        0        1
51737 nowebm                             	       0        1        0        0        1
51738 nox                                	       0        2        2        0        0
51739 npapi-sdk-dev                      	       0        1        1        0        0
51740 npfctl                             	       0        1        1        0        0
51741 npm2deb                            	       0        2        2        0        0
51742 nps                                	       0        1        1        0        0
51743 nq                                 	       0        2        2        0        0
51744 nqp-data                           	       0       11        0        0       11
51745 ns2                                	       0        1        1        0        0
51746 nsca                               	       0        3        3        0        0
51747 nsca-client                        	       0        5        5        0        0
51748 nscde                              	       0        1        1        0        0
51749 nsight-compute                     	       0       19       18        1        0
51750 nsight-compute-2022.2.1            	       0        1        0        0        1
51751 nsight-compute-2022.3.0            	       0        1        0        0        1
51752 nsight-compute-2024.1.0            	       0        1        1        0        0
51753 nsight-compute-2024.1.1            	       0        1        1        0        0
51754 nsight-compute-2024.3.1            	       0        1        1        0        0
51755 nsight-compute-2024.3.2            	       0        3        2        1        0
51756 nsight-compute-2025.1.0            	       0        1        1        0        0
51757 nsight-compute-2025.1.1            	       0        1        0        1        0
51758 nsight-compute-target              	       0       19        0        0       19
51759 nsight-graphics-for-l4t            	       0        1        1        0        0
51760 nsight-systems                     	       0       19       18        1        0
51761 nsight-systems-2019.6.2            	       0        1        1        0        0
51762 nsight-systems-2022.1.3            	       0        1        1        0        0
51763 nsight-systems-2023.4.4            	       0        2        2        0        0
51764 nsight-systems-2024.4.1            	       0        1        1        0        0
51765 nsight-systems-2024.4.2            	       0        1        1        0        0
51766 nsight-systems-2024.5.1            	       0        3        2        1        0
51767 nsight-systems-2024.6.2            	       0        2        1        1        0
51768 nsight-systems-cli-2023.1.1        	       0        1        1        0        0
51769 nsight-systems-target              	       0       19        0        0       19
51770 nsis-common                        	       0       10        0        0       10
51771 nsis-doc                           	       0        3        2        0        1
51772 nsis-pluginapi                     	       0        3        3        0        0
51773 nslint                             	       0        6        6        0        0
51774 nsnake                             	       0        9        9        0        0
51775 nsntrace                           	       0        2        2        0        0
51776 nss-plugin-pem                     	       0      323        0        0      323
51777 nss-tlsd                           	       0        3        3        0        0
51778 nss-updatedb                       	       0        2        2        0        0
51779 nsxiv                              	       0       17       14        3        0
51780 nted-doc                           	       0       15        0        0       15
51781 ntfs-3g-dev                        	       0        7        7        0        0
51782 ntfs2btrfs                         	       0        6        6        0        0
51783 ntfsdoc                            	       0        2        0        0        2
51784 ntfsprogs                          	       0       10        0        0       10
51785 ntldd                              	       0        2        2        0        0
51786 ntop                               	       0        2        2        0        0
51787 ntop-data                          	       0        4        0        0        4
51788 ntopng-data                        	       0        4        0        0        4
51789 ntp-doc                            	       0       71        0        0       71
51790 ntpsec-doc                         	       0       67        0        0       67
51791 ntrack-module-rtnetlink-0          	       0        2        2        0        0
51792 nttcp                              	       0        1        1        0        0
51793 nuclear                            	       0        1        1        0        0
51794 nudoku                             	       0        8        8        0        0
51795 nuget                              	       0        7        7        0        0
51796 numatop                            	       0        3        3        0        0
51797 numba-doc                          	       0       78        0        0       78
51798 numbers2ods                        	       0        3        3        0        0
51799 numconv                            	       0        3        3        0        0
51800 numdiff                            	       0        2        2        0        0
51801 numix-icon-theme                   	       0       50        0        0       50
51802 numix-icon-theme-circle            	       0       30        0        0       30
51803 numpy-stl                          	       0        4        4        0        0
51804 nunit                              	       0        1        0        0        1
51805 nunit-console                      	       0        1        1        0        0
51806 nunit-gui                          	       0        1        1        0        0
51807 nuntius                            	       0        2        2        0        0
51808 nupkg-newtonsoft.json.6.0.8        	       0        1        0        0        1
51809 nupkg-nunit.2.6.4                  	       0        1        0        0        1
51810 nupkg-nunit.mocks.2.6.4            	       0        1        0        0        1
51811 nupkg-nunit.runners.2.6.4          	       0        1        0        0        1
51812 nuspell                            	       0        2        2        0        0
51813 nut                                	       0       57        0        0       57
51814 nut-cgi                            	       0       14       13        1        0
51815 nut-doc                            	       0       14        0        0       14
51816 nut-xml                            	       0        4        4        0        0
51817 nutsqlite                          	       0        1        1        0        0
51818 nuttcp                             	       0        2        2        0        0
51819 nuvola-icon-theme                  	       0       10        0        0       10
51820 nv-codec-headers                   	       0        4        4        0        0
51821 nvclock                            	       0        5        5        0        0
51822 nvclock-gtk                        	       0        1        1        0        0
51823 nvclock-qt                         	       0        1        1        0        0
51824 nvi-doc                            	       0       47        0        0       47
51825 nvidia-alternative                 	       0      194        0        0      194
51826 nvidia-cg-dev                      	       0        4        4        0        0
51827 nvidia-cg-toolkit                  	       0        3        3        0        0
51828 nvidia-container-toolkit           	       0        5        4        1        0
51829 nvidia-cuda-gdb                    	       0       19       18        1        0
51830 nvidia-cuda-samples                	       0        1        1        0        0
51831 nvidia-cuda-toolkit-doc            	       0       19       18        1        0
51832 nvidia-cuda-toolkit-gcc            	       0        1        1        0        0
51833 nvidia-cudnn                       	       0        1        1        0        0
51834 nvidia-driver                      	       0      167        5        1      161
51835 nvidia-driver-cuda                 	       0        4        3        1        0
51836 nvidia-driver-full                 	       0        4        0        0        4
51837 nvidia-driver-libs                 	       0      173        0        0      173
51838 nvidia-driver-libs-i386            	       0        5        0        0        5
51839 nvidia-driver-libs-nonglvnd        	       0        1        0        0        1
51840 nvidia-driver-libs-nonglvnd-i386   	       0        1        0        0        1
51841 nvidia-driver-local-repo-debian12-570.86.15	       0        1        0        0        1
51842 nvidia-egl-common                  	       0      195        0        0      195
51843 nvidia-egl-icd                     	       0      174        0        0      174
51844 nvidia-egl-wayland-common          	       0        1        0        0        1
51845 nvidia-egl-wayland-icd             	       0        1        0        0        1
51846 nvidia-firmware-535-535.183.01     	       0        2        2        0        0
51847 nvidia-firmware-535-server-535.216.03	       0        2        2        0        0
51848 nvidia-firmware-550-550.120        	       0        2        2        0        0
51849 nvidia-firmware-550-server-550.127.08	       0        2        2        0        0
51850 nvidia-firmware-565-server-565.57.01	       0        2        2        0        0
51851 nvidia-kernel-4.19.0-9-amd64       	       0        1        0        0        1
51852 nvidia-kernel-5.10.0-26-amd64      	       0        1        0        0        1
51853 nvidia-kernel-open                 	       0        4        0        0        4
51854 nvidia-kernel-open-dkms            	       0        7        5        1        1
51855 nvidia-kernel-source               	       0        6        0        0        6
51856 nvidia-kernel-support              	       0      185       24        1      160
51857 nvidia-legacy-304xx-alternative    	       0        1        0        0        1
51858 nvidia-legacy-304xx-kernel-2.6.32-5-amd64	       0        1        0        0        1
51859 nvidia-legacy-340xx-alternative    	       0       10        0        0       10
51860 nvidia-legacy-340xx-driver         	       0        8        0        0        8
51861 nvidia-legacy-340xx-driver-bin     	       0        9        9        0        0
51862 nvidia-legacy-340xx-driver-libs    	       0        8        0        0        8
51863 nvidia-legacy-340xx-driver-libs-i386	       0        2        0        0        2
51864 nvidia-legacy-340xx-kernel-source  	       0        1        0        0        1
51865 nvidia-legacy-340xx-vdpau-driver   	       0       10        1        0        9
51866 nvidia-legacy-390xx-alternative    	       0       13        0        0       13
51867 nvidia-legacy-390xx-driver         	       0       12        0        0       12
51868 nvidia-legacy-390xx-driver-libs    	       0       11        0        0       11
51869 nvidia-legacy-390xx-driver-libs-i386	       0        3        0        0        3
51870 nvidia-legacy-390xx-driver-libs-nonglvnd	       0        2        0        0        2
51871 nvidia-legacy-390xx-driver-libs-nonglvnd-i386	       0        1        0        0        1
51872 nvidia-legacy-390xx-egl-icd        	       0       11        0        0       11
51873 nvidia-legacy-390xx-kernel-source  	       0        1        0        0        1
51874 nvidia-legacy-390xx-kernel-support 	       0       13        0        0       13
51875 nvidia-legacy-390xx-nonglvnd-vulkan-icd	       0        2        0        0        2
51876 nvidia-legacy-390xx-opencl-icd     	       0        1        0        0        1
51877 nvidia-legacy-390xx-vulkan-icd     	       0       11        0        0       11
51878 nvidia-legacy-check                	       0      190        0        0      190
51879 nvidia-nonglvnd-vulkan-common      	       0        2        0        0        2
51880 nvidia-nonglvnd-vulkan-icd         	       0        1        0        0        1
51881 nvidia-open                        	       0        4        0        0        4
51882 nvidia-open-560                    	       0        2        0        0        2
51883 nvidia-open-570                    	       0        1        0        0        1
51884 nvidia-open-kernel-dkms            	       0        4        4        0        0
51885 nvidia-open-kernel-source          	       0        1        0        0        1
51886 nvidia-open-kernel-support         	       0        4        0        0        4
51887 nvidia-opencl-common               	       0       39        0        0       39
51888 nvidia-opencl-dev                  	       0       18        0        0       18
51889 nvidia-opencl-icd                  	       0       40        0        0       40
51890 nvidia-openjdk-8-jre               	       0       23       23        0        0
51891 nvidia-prime                       	       0        2        2        0        0
51892 nvidia-prime-applet                	       0        2        2        0        0
51893 nvidia-primus-vk-common            	       0        6        0        0        6
51894 nvidia-primus-vk-wrapper           	       0        6        0        0        6
51895 nvidia-profiler                    	       0       22       21        1        0
51896 nvidia-settings-legacy-304xx       	       0        1        1        0        0
51897 nvidia-settings-tesla-450          	       0        2        2        0        0
51898 nvidia-tesla-450-alternative       	       0        2        0        0        2
51899 nvidia-tesla-450-driver            	       0        2        0        0        2
51900 nvidia-tesla-450-driver-bin        	       0        2        2        0        0
51901 nvidia-tesla-450-driver-libs       	       0        2        0        0        2
51902 nvidia-tesla-450-egl-icd           	       0        2        0        0        2
51903 nvidia-tesla-450-kernel-dkms       	       0        2        2        0        0
51904 nvidia-tesla-450-kernel-source     	       0        1        0        0        1
51905 nvidia-tesla-450-kernel-support    	       0        2        0        0        2
51906 nvidia-tesla-450-opencl-icd        	       0        1        0        0        1
51907 nvidia-tesla-450-smi               	       0        2        2        0        0
51908 nvidia-tesla-450-vdpau-driver      	       0        2        0        0        2
51909 nvidia-tesla-450-vulkan-icd        	       0        2        0        0        2
51910 nvidia-tesla-470-alternative       	       0       19        0        0       19
51911 nvidia-tesla-470-driver            	       0       15        0        0       15
51912 nvidia-tesla-470-driver-libs       	       0       15        0        0       15
51913 nvidia-tesla-470-egl-icd           	       0       15        0        0       15
51914 nvidia-tesla-470-kernel-source     	       0        1        0        0        1
51915 nvidia-tesla-470-kernel-support    	       0       16       15        1        0
51916 nvidia-tesla-470-vulkan-icd        	       0       15        0        0       15
51917 nvidia-tesla-535-alternative       	       0        1        0        0        1
51918 nvidia-tesla-alternative           	       0        2        0        0        2
51919 nvidia-tesla-driver                	       0        1        0        0        1
51920 nvidia-tesla-kernel-support        	       0        1        0        0        1
51921 nvidia-tesla-legacy-check          	       0        2        0        0        2
51922 nvidia-tesla-smi                   	       0        1        1        0        0
51923 nvidia-tesla-vdpau-driver          	       0        1        0        0        1
51924 nvidia-visual-profiler             	       0       21       20        1        0
51925 nvidia-vulkan-common               	       0      190        0        0      190
51926 nvidia-vulkan-icd                  	       0      173        0        0      173
51927 nvidia-xconfig                     	       0       33       30        3        0
51928 nvitop                             	       0        1        0        1        0
51929 nvu                                	       0        1        1        0        0
51930 nwatch                             	       0        1        1        0        0
51931 nwg-look                           	       0        1        0        1        0
51932 nwjs-downloader                    	       0        1        0        0        1
51933 nwqt4                              	       0        1        1        0        0
51934 nx-x11-common                      	       0       71        0        0       71
51935 nx-x11proto-composite-dev          	       0        1        1        0        0
51936 nx-x11proto-core-dev               	       0        1        1        0        0
51937 nx-x11proto-damage-dev             	       0        1        1        0        0
51938 nx-x11proto-randr-dev              	       0        1        1        0        0
51939 nx-x11proto-render-dev             	       0        1        1        0        0
51940 nx-x11proto-scrnsaver-dev          	       0        1        1        0        0
51941 nx-x11proto-xext-dev               	       0        1        1        0        0
51942 nx-x11proto-xfixes-dev             	       0        1        1        0        0
51943 nx-x11proto-xinerama-dev           	       0        1        1        0        0
51944 nxclient                           	       0        2        2        0        0
51945 nxdialog                           	       0        1        1        0        0
51946 nxlibs                             	       0        1        0        0        1
51947 nxnode                             	       0        1        1        0        0
51948 nxserver                           	       0        1        1        0        0
51949 nyacc                              	       0        1        0        0        1
51950 nyancat                            	       0        4        4        0        0
51951 nyxt                               	       0        1        1        0        0
51952 o3read                             	       0        1        1        0        0
51953 oaklisp                            	       0        1        1        0        0
51954 oaklisp-doc                        	       0        1        0        0        1
51955 oar-node                           	       0        1        1        0        0
51956 oar-restful-api                    	       0        1        1        0        0
51957 oar-server-pgsql                   	       0        1        0        0        1
51958 oar-user-pgsql                     	       0        1        0        0        1
51959 oasis                              	       0        1        1        0        0
51960 obconf-qt                          	       0        6        6        0        0
51961 obconf-qt-l10n                     	       0        4        0        0        4
51962 obdautodoctor                      	       0        1        1        0        0
51963 obdgpslogger                       	       0        1        1        0        0
51964 obexd-client                       	       0        3        3        0        0
51965 obexfs                             	       0        8        8        0        0
51966 obexpushd                          	       0        7        7        0        0
51967 obfsproxy                          	       0        2        2        0        0
51968 obfuscate                          	       0        2        2        0        0
51969 obkey                              	       0        4        4        0        0
51970 obmenu                             	       0        5        5        0        0
51971 obs-advanced-scene-switcher        	       0        8        0        0        8
51972 obs-advanced-scene-switcher-data   	       0        8        0        0        8
51973 obs-ashmanix-countdown             	       0        3        0        0        3
51974 obs-backgroundremoval              	       0        1        0        0        1
51975 obs-build                          	       0        6        5        1        0
51976 obs-cli                            	       0        4        4        0        0
51977 obs-detect                         	       0        1        0        0        1
51978 obs-downstream-keyer               	       0        2        0        0        2
51979 obs-gradient-source                	       0        6        0        0        6
51980 obs-localvocal                     	       0        1        0        0        1
51981 obs-midi-mg                        	       0        1        1        0        0
51982 obs-move-transition                	       0        5        0        0        5
51983 obs-ndi                            	       0        2        1        0        1
51984 obs-pipewire-audio-capture         	       0        1        0        0        1
51985 obs-plugin-looking-glass           	       0        3        0        0        3
51986 obs-productconverter               	       0        1        1        0        0
51987 obs-scene-as-transition            	       0        1        0        0        1
51988 obs-scene-collection-manager       	       0        7        0        0        7
51989 obs-scene-notes-dock               	       0        5        0        0        5
51990 obs-source-clone                   	       0        6        0        0        6
51991 obs-source-copy                    	       0        5        0        0        5
51992 obs-time-source                    	       0        1        0        0        1
51993 obs-transition-table               	       0        7        0        0        7
51994 obs-vintage-filter                 	       0        1        0        0        1
51995 obs-websocket                      	       0        1        1        0        0
51996 obsidian-icon-theme                	       0       25        0        0       25
51997 obxd-lv2                           	       0        1        1        0        0
51998 ocaml-book-en                      	       0        2        0        0        2
51999 ocaml-doc                          	       0        5        0        0        5
52000 ocaml-dune                         	       0        3        3        0        0
52001 ocaml-findlib                      	       0       40       40        0        0
52002 ocaml-man                          	       0       61        0        0       61
52003 ocaml-mode                         	       0        2        0        0        2
52004 ocaml-tools                        	       0        1        1        0        0
52005 ocamlbuild                         	       0        1        1        0        0
52006 ocamlviz                           	       0        1        1        0        0
52007 occt-draw                          	       0        4        4        0        0
52008 occt-misc                          	       0      165        0        0      165
52009 oce-draw                           	       0        4        3        1        0
52010 ocean-sound-theme                  	       0       33        0        0       33
52011 ocenaudio                          	       0        2        2        0        0
52012 ocl-icd-dev                        	       0        4        4        0        0
52013 ocl-icd-libopencl1-amdgpu-pro      	       0        2        0        0        2
52014 ocl-icd-opencl-dev                 	       0       57        0        0       57
52015 oclgrind                           	       0        1        1        0        0
52016 ocproxy                            	       0        3        3        0        0
52017 ocqt5152-libqt5core5a              	       0        2        2        0        0
52018 ocqt5152-libqt5dbus5               	       0        2        2        0        0
52019 ocqt5152-libqt5gui5                	       0        2        2        0        0
52020 ocqt5152-libqt5keychain1           	       0        2        2        0        0
52021 ocqt5152-libqt5network5            	       0        2        2        0        0
52022 ocqt5152-libqt5sql5                	       0        2        0        0        2
52023 ocqt5152-libqt5sql5-sqlite         	       0        2        0        0        2
52024 ocqt5152-libqt5widgets5            	       0        2        2        0        0
52025 ocqt5152-libqt5xml5                	       0        1        0        0        1
52026 ocqt5152-qtsvg5                    	       0        2        2        0        0
52027 ocqt5152-qttranslations5           	       0        2        2        0        0
52028 ocqt5152-qtwayland5                	       0        2        0        0        2
52029 ocrmypdf-doc                       	       0       20        0        0       20
52030 ocs-url                            	       0        6        6        0        0
52031 ocserv                             	       0        1        1        0        0
52032 ocsinventory-agent                 	       0        1        1        0        0
52033 ocsinventory-server                	       0        1        1        0        0
52034 octave-astra-toolbox               	       0        1        1        0        0
52035 octave-audio                       	       0        2        0        0        2
52036 octave-bim                         	       0        1        0        0        1
52037 octave-bsltl                       	       0        1        0        0        1
52038 octave-cgi                         	       0        1        0        0        1
52039 octave-common                      	       0       91        0        0       91
52040 octave-control                     	       0        8        0        0        8
52041 octave-data-smoothing              	       0        1        0        0        1
52042 octave-dataframe                   	       0        1        0        0        1
52043 octave-dev                         	       0       11       10        1        0
52044 octave-dicom                       	       0        1        0        0        1
52045 octave-divand                      	       0        1        0        0        1
52046 octave-doc                         	       0       82        0        0       82
52047 octave-doctest                     	       0        1        0        0        1
52048 octave-econometrics                	       0        1        0        0        1
52049 octave-financial                   	       0        1        0        0        1
52050 octave-fits                        	       0        1        0        0        1
52051 octave-fpl                         	       0        1        0        0        1
52052 octave-ga                          	       0        1        0        0        1
52053 octave-general                     	       0        4        0        0        4
52054 octave-geometry                    	       0        3        0        0        3
52055 octave-gsl                         	       0        3        0        0        3
52056 octave-image                       	       0        4        0        0        4
52057 octave-info                        	       0        3        0        0        3
52058 octave-instrument-control          	       0        1        0        0        1
52059 octave-io                          	       0        8        0        0        8
52060 octave-jsonlab                     	       0        1        0        0        1
52061 octave-linear-algebra              	       0        4        0        0        4
52062 octave-lssa                        	       0        1        0        0        1
52063 octave-mapping                     	       0        2        0        0        2
52064 octave-matgeom                     	       0        4        0        0        4
52065 octave-miscellaneous               	       0        5        0        0        5
52066 octave-missing-functions           	       0        2        0        0        2
52067 octave-msh                         	       0        1        0        0        1
52068 octave-openems                     	       0        4        0        0        4
52069 octave-optics                      	       0        1        0        0        1
52070 octave-optim                       	       0        4        0        0        4
52071 octave-pfstools                    	       0        1        0        0        1
52072 octave-psychtoolbox-3              	       0        1        1        0        0
52073 octave-quaternion                  	       0        1        0        0        1
52074 octave-signal                      	       0        7        0        0        7
52075 octave-sockets                     	       0        1        0        0        1
52076 octave-sparsersb                   	       0        1        0        0        1
52077 octave-specfun                     	       0        1        0        0        1
52078 octave-splines                     	       0        2        0        0        2
52079 octave-statistics                  	       0       11        0        0       11
52080 octave-strings                     	       0        2        0        0        2
52081 octave-struct                      	       0        6        0        0        6
52082 octave-symbolic                    	       0        2        0        0        2
52083 octave-vlfeat                      	       0        1        0        0        1
52084 octave-zmat                        	       0        2        0        0        2
52085 octave3.0-doc                      	       0        1        0        0        1
52086 octave3.0-htmldoc                  	       0        1        0        0        1
52087 octave3.2-common                   	       0        2        0        0        2
52088 octicons                           	       0        2        0        0        2
52089 octomap-tools                      	       0        2        2        0        0
52090 octovis                            	       0        2        2        0        0
52091 odadrawingsexplorer                	       0        2        2        0        0
52092 odafileconverter                   	       0        4        4        0        0
52093 odamex                             	       0        1        1        0        0
52094 odaviewer                          	       0        1        1        0        0
52095 odb                                	       0        1        1        0        0
52096 odbc-mariadb                       	       0       10        2        0        8
52097 odbc-mdbtools                      	       0        3        0        0        3
52098 odbc-postgresql                    	       0       17        0        0       17
52099 odbcinst1debian2                   	       0      226        2        0      224
52100 odc                                	       0        1        1        0        0
52101 oddjob-mkhomedir                   	       0       14        0        0       14
52102 odil                               	       0        2        2        0        0
52103 odin                               	       0        2        2        0        0
52104 odin2                              	       0        1        1        0        0
52105 odin2-synth                        	       0        1        1        0        0
52106 odr-dabmod                         	       0        2        2        0        0
52107 odr-dabmux                         	       0        2        2        0        0
52108 odr-padenc                         	       0        2        2        0        0
52109 odroid-base                        	       0        2        2        0        0
52110 ods2tsv                            	       0        1        0        1        0
52111 oem-pulseaudio-alsamixer-control-files	       0        1        1        0        0
52112 office2003-schemas                 	       0        3        0        0        3
52113 officebib                          	       0        1        1        0        0
52114 offlineimap                        	       0       13        1        0       12
52115 offpunk                            	       0        1        1        0        0
52116 ofimatica-vw                       	       0        1        0        0        1
52117 ofono-dev                          	       0        1        1        0        0
52118 ofono-scripts                      	       0        2        0        0        2
52119 ofr                                	       0        1        1        0        0
52120 ofx                                	       0        3        3        0        0
52121 ofxstatement                       	       0        3        3        0        0
52122 ofxstatement-plugins               	       0        3        3        0        0
52123 ogamesim                           	       0        7        7        0        0
52124 ogdi-bin                           	       0       15       15        0        0
52125 oggz-tools                         	       0       10        9        1        0
52126 ogmrip-dirac                       	       0        1        0        0        1
52127 ogmrip-doc                         	       0       13        0        0       13
52128 ogmrip-flac                        	       0        1        0        0        1
52129 ogmrip-mpeg                        	       0        1        1        0        0
52130 ogmrip-oggz                        	       0        1        0        0        1
52131 ogmrip-plugins                     	       0       10        0        0       10
52132 ogmrip-webm                        	       0        1        0        0        1
52133 ognibuild                          	       0        1        1        0        0
52134 ogre-1.9-doc                       	       0        1        0        0        1
52135 ogre-1.9-tools                     	       0        1        1        0        0
52136 ohcount                            	       0        2        2        0        0
52137 oidc-agent-cli                     	       0        1        1        0        0
52138 oidua                              	       0        1        1        0        0
52139 okteta-dev                         	       0        1        0        0        1
52140 okular-backend-odp                 	       0       16        0        0       16
52141 okular-backend-odt                 	       0       17        1        0       16
52142 okular-dev                         	       0        3        2        1        0
52143 okular-doc                         	       0       25        0        0       25
52144 okular-extra-backends              	       0       82        4        0       78
52145 ola-python                         	       0        1        1        0        0
52146 olinuxino-overlays                 	       0        1        1        0        0
52147 olinuxino-rtk-hciattach            	       0        1        1        0        0
52148 olinuxino-tools                    	       0        1        1        0        0
52149 olive                              	       0        1        1        0        0
52150 ollama                             	       0        1        1        0        0
52151 olsrd                              	       0        1        1        0        0
52152 olsrd-plugins                      	       0        1        1        0        0
52153 olvwm                              	       0        2        2        0        0
52154 olwm                               	       0        1        1        0        0
52155 omake                              	       0        2        2        0        0
52156 omake-doc                          	       0        2        0        0        2
52157 omaque                             	       0        1        1        0        0
52158 omc                                	       0        1        1        0        0
52159 omc-common                         	       0        1        1        0        0
52160 omc-doc                            	       0        1        0        0        1
52161 omedit                             	       0        1        1        0        0
52162 omegat                             	       0        4        4        0        0
52163 omins                              	       0       15        0        0       15
52164 omlibrary                          	       0        1        0        0        1
52165 omniorb                            	       0        1        1        0        0
52166 omniorb-idl                        	       0        1        0        0        1
52167 omnotebook                         	       0        1        1        0        0
52168 omodscan                           	       0        1        1        0        0
52169 omplapp-dev                        	       0        1        0        0        1
52170 omplot                             	       0        1        1        0        0
52171 omshell                            	       0        1        1        0        0
52172 omshell-terminal                   	       0        1        1        0        0
52173 omsimulator                        	       0        1        1        0        0
52174 onboard-data                       	       0       46        0        0       46
52175 ondir                              	       0        1        1        0        0
52176 oneisenough                        	       0        1        1        0        0
52177 oneko                              	       0       25       25        0        0
52178 onevpl-tools                       	       0        1        1        0        0
52179 onionbalance                       	       0        2        2        0        0
52180 onioncircuits                      	       0        4        4        0        0
52181 onionprobe                         	       0        2        2        0        0
52182 onionshare                         	       0        9        8        1        0
52183 onionshare-cli                     	       0        7        6        1        0
52184 onnxruntime-tools                  	       0        1        1        0        0
52185 onscripter                         	       0        4        4        0        0
52186 ontospy                            	       0        1        1        0        0
52187 onvif-device-tool                  	       0        1        1        0        0
52188 onvif-tools                        	       0        5        5        0        0
52189 oofem                              	       0        1        1        0        0
52190 oofem-tests                        	       0        1        0        0        1
52191 oolite                             	       0        2        2        0        0
52192 oolite-build-deps                  	       0        1        0        0        1
52193 oolite-data                        	       0        3        0        0        3
52194 oolite-data-sounds                 	       0        3        0        0        3
52195 oolite-dbgsym                      	       0        1        1        0        0
52196 oolite-doc                         	       0        3        0        0        3
52197 oolitestarter                      	       0        2        2        0        0
52198 oomd                               	       0        1        1        0        0
52199 ooniprobe-cli                      	       0        1        1        0        0
52200 oonsoo                             	       0        1        1        0        0
52201 ooobasis3.0-base                   	       0        1        0        0        1
52202 ooobasis3.0-binfilter              	       0        1        0        0        1
52203 ooobasis3.0-calc                   	       0        1        0        0        1
52204 ooobasis3.0-core01                 	       0        1        0        0        1
52205 ooobasis3.0-core02                 	       0        1        0        0        1
52206 ooobasis3.0-core03                 	       0        1        0        0        1
52207 ooobasis3.0-core04                 	       0        1        0        0        1
52208 ooobasis3.0-core05                 	       0        1        0        0        1
52209 ooobasis3.0-core06                 	       0        1        0        0        1
52210 ooobasis3.0-core07                 	       0        1        0        0        1
52211 ooobasis3.0-draw                   	       0        1        0        0        1
52212 ooobasis3.0-extension-pdf-import   	       0        1        0        0        1
52213 ooobasis3.0-extension-presentation-minimizer	       0        1        0        0        1
52214 ooobasis3.0-gnome-integration      	       0        1        0        0        1
52215 ooobasis3.0-graphicfilter          	       0        1        0        0        1
52216 ooobasis3.0-images                 	       0        1        0        0        1
52217 ooobasis3.0-impress                	       0        1        0        0        1
52218 ooobasis3.0-javafilter             	       0        1        0        0        1
52219 ooobasis3.0-kde-integration        	       0        1        0        0        1
52220 ooobasis3.0-math                   	       0        1        0        0        1
52221 ooobasis3.0-onlineupdate           	       0        1        0        0        1
52222 ooobasis3.0-ooofonts               	       0        1        0        0        1
52223 ooobasis3.0-ooolinguistic          	       0        1        0        0        1
52224 ooobasis3.0-pyuno                  	       0        1        0        0        1
52225 ooobasis3.0-ru                     	       0        1        0        0        1
52226 ooobasis3.0-ru-base                	       0        1        0        0        1
52227 ooobasis3.0-ru-binfilter           	       0        1        0        0        1
52228 ooobasis3.0-ru-calc                	       0        1        0        0        1
52229 ooobasis3.0-ru-draw                	       0        1        0        0        1
52230 ooobasis3.0-ru-help                	       0        1        0        0        1
52231 ooobasis3.0-ru-impress             	       0        1        0        0        1
52232 ooobasis3.0-ru-math                	       0        1        0        0        1
52233 ooobasis3.0-ru-res                 	       0        1        0        0        1
52234 ooobasis3.0-ru-writer              	       0        1        0        0        1
52235 ooobasis3.0-testtool               	       0        1        0        0        1
52236 ooobasis3.0-writer                 	       0        1        0        0        1
52237 ooobasis3.0-xsltfilter             	       0        1        0        0        1
52238 ooohg                              	       0        8        0        0        8
52239 oorexx                             	       0        1        1        0        0
52240 opal-prd                           	       0        1        1        0        0
52241 opal-utils                         	       0        1        1        0        0
52242 opam-doc                           	       0        8        0        0        8
52243 opam-installer                     	       0       16       16        0        0
52244 opaque-store                       	       0        1        1        0        0
52245 opari2                             	       0        1        1        0        0
52246 open-adventure                     	       0        8        8        0        0
52247 open-ecard-app                     	       0        1        1        0        0
52248 open-eid                           	       0        1        0        0        1
52249 open-font-design-toolkit           	       0        2        0        0        2
52250 open-invaders                      	       0       17       17        0        0
52251 open-invaders-data                 	       0       17        0        0       17
52252 open-jtalk                         	       0        3        3        0        0
52253 open-jtalk-mecab-naist-jdic        	       0        3        0        0        3
52254 open-roms                          	       0        2        0        0        2
52255 open-vm-dkms                       	       0        1        1        0        0
52256 open-vm-tools-containerinfo        	       0        1        0        0        1
52257 open-vm-tools-dev                  	       0        1        1        0        0
52258 open-vm-tools-dkms                 	       0        2        2        0        0
52259 openafs-doc                        	       0        1        0        0        1
52260 openafs-fuse                       	       0        1        1        0        0
52261 openafs-modules-dkms               	       0        6        6        0        0
52262 openais                            	       0        2        2        0        0
52263 openal-info                        	       0        7        7        0        0
52264 openal-tools                       	       0        1        1        0        0
52265 openapi-specification              	       0        2        0        0        2
52266 openaptx                           	       0        1        1        0        0
52267 openaptx-utils                     	       0        1        1        0        0
52268 openarena-081-maps                 	       0       34        0        0       34
52269 openarena-081-misc                 	       0       34        0        0       34
52270 openarena-081-players              	       0       34        0        0       34
52271 openarena-081-players-mature       	       0       34        0        0       34
52272 openarena-081-textures             	       0       34        0        0       34
52273 openarena-085-data                 	       0       34        0        0       34
52274 openarena-088-data                 	       0       34        0        0       34
52275 openarena-data                     	       0       34        0        0       34
52276 openarena-server                   	       0        1        1        0        0
52277 openaudible                        	       0        1        1        0        0
52278 openbabel-gui                      	       0        2        2        0        0
52279 openbazaar2                        	       0        1        1        0        0
52280 openbazaarclient                   	       0        1        1        0        0
52281 openbios-ppc                       	       0       25        0        0       25
52282 openbios-sparc                     	       0       24        0        0       24
52283 openbmap-logger                    	       0        1        1        0        0
52284 openboard                          	       0        5        5        0        0
52285 openboard-common                   	       0        5        0        0        5
52286 openboard-fonts-nonfree            	       0        2        0        0        2
52287 openboardview                      	       0        2        2        0        0
52288 openbox-dev                        	       0        1        1        0        0
52289 openbox-gnome-session              	       0        3        3        0        0
52290 openbox-kde-session                	       0        2        1        1        0
52291 openbox-menu                       	       0       20       20        0        0
52292 openbox-themes                     	       0        2        0        0        2
52293 openbve                            	       0        3        3        0        0
52294 openbve-data                       	       0        1        1        0        0
52295 opencbm                            	       0        1        0        1        0
52296 opencc                             	       0       11       10        1        0
52297 opencfu                            	       0        1        1        0        0
52298 openchrome-tool                    	       0        2        2        0        0
52299 opencity-data                      	       0        9        0        0        9
52300 opencl-1.2-html-doc                	       0        1        0        0        1
52301 opencl-1.2-man-doc                 	       0        1        0        0        1
52302 opencl-clhpp-headers               	       0       69        0        0       69
52303 opencl-clhpp-headers-doc           	       0        2        0        0        2
52304 opencl-headers                     	       0       29        0        0       29
52305 opencl-orca-amdgpu-pro-icd         	       0        1        0        0        1
52306 openclipart                        	       0       21        0        0       21
52307 openclipart-png                    	       0       54        0        0       54
52308 openclipart-svg                    	       0       29        0        0       29
52309 openclipart2                       	       0        2        0        0        2
52310 openclipart2-libreoffice           	       0        5        5        0        0
52311 openclipart2-png                   	       0        5        0        0        5
52312 openclipart2-svg                   	       0        2        0        0        2
52313 openclonk                          	       0       10       10        0        0
52314 openclonk-data                     	       0       10        0        0       10
52315 opencollada-dev                    	       0        5        5        0        0
52316 opencollada-tools                  	       0        4        4        0        0
52317 opencolorio-doc                    	       0        4        0        0        4
52318 opencolorio-tools                  	       0        3        3        0        0
52319 openconcerto                       	       0        1        0        0        1
52320 opencpn                            	       0        6        6        0        0
52321 opencpn-data                       	       0        6        0        0        6
52322 opencryptoki                       	       0        5        5        0        0
52323 opencu                             	       0        3        3        0        0
52324 opencubicplayer-doc                	       0       27        0        0       27
52325 opencv-data                        	       0      129        0        0      129
52326 opencv-dev-2.4.6.1                 	       0        1        1        0        0
52327 opencv-doc                         	       0        5        0        0        5
52328 opendbx-utils                      	       0        2        2        0        0
52329 opendnssec                         	       0        4        0        0        4
52330 opendnssec-common                  	       0        4        4        0        0
52331 opendnssec-doc                     	       0        1        0        0        1
52332 opendnssec-enforcer                	       0        4        4        0        0
52333 opendnssec-enforcer-sqlite3        	       0        4        4        0        0
52334 opendnssec-signer                  	       0        4        4        0        0
52335 openems                            	       0        6        6        0        0
52336 openexr                            	       0       11       11        0        0
52337 openexr-viewers                    	       0        4        4        0        0
52338 openfire                           	       0        6        0        0        6
52339 openfoam                           	       0        2        2        0        0
52340 openfoam-examples                  	       0        2        0        0        2
52341 openfpgaloader                     	       0        1        1        0        0
52342 opengl-4-man-doc                   	       0        1        0        0        1
52343 openglad                           	       0        1        1        0        0
52344 openhab                            	       0        3        3        0        0
52345 openhab-addons                     	       0        2        0        0        2
52346 openhackware                       	       0       31        0        0       31
52347 openhantek                         	       0        7        7        0        0
52348 openhpid                           	       0        8        8        0        0
52349 openimageio-tools                  	       0        5        5        0        0
52350 openjazz                           	       0        1        1        0        0
52351 openjdk-10-jdk-headless            	       0        1        0        0        1
52352 openjdk-10-jre                     	       0        3        0        0        3
52353 openjdk-10-jre-headless            	       0        6        6        0        0
52354 openjdk-11-dbg                     	       0        4        3        1        0
52355 openjdk-11-demo                    	       0        9        0        0        9
52356 openjdk-11-doc                     	       0       16        0        0       16
52357 openjdk-11-jdk                     	       0      128        7        1      120
52358 openjdk-11-jdk-headless            	       0      159        0        0      159
52359 openjdk-11-jre-dcevm               	       0        2        0        0        2
52360 openjdk-11-jre-zero                	       0        2        0        0        2
52361 openjdk-11-source                  	       0        8        7        1        0
52362 openjdk-15-jdk                     	       0        2        0        0        2
52363 openjdk-15-jdk-headless            	       0        3        0        0        3
52364 openjdk-15-jre                     	       0        2        0        0        2
52365 openjdk-15-jre-headless            	       0        4        4        0        0
52366 openjdk-16-jre                     	       0        2        0        0        2
52367 openjdk-16-jre-headless            	       0        2        2        0        0
52368 openjdk-17-dbg                     	       0        8        7        1        0
52369 openjdk-17-demo                    	       0        7        0        0        7
52370 openjdk-17-doc                     	       0       36        0        0       36
52371 openjdk-17-jdk                     	       0      224       10        1      213
52372 openjdk-17-jdk-headless            	       0      263        0        0      263
52373 openjdk-17-jre-zero                	       0        4        0        0        4
52374 openjdk-17-source                  	       0       11       10        1        0
52375 openjdk-18-jdk                     	       0        1        0        0        1
52376 openjdk-18-jdk-headless            	       0        1        0        0        1
52377 openjdk-18-jre                     	       0        2        0        0        2
52378 openjdk-18-jre-headless            	       0        2        2        0        0
52379 openjdk-19-jre                     	       0        1        0        0        1
52380 openjdk-19-jre-headless            	       0        1        1        0        0
52381 openjdk-21-doc                     	       0        6        0        0        6
52382 openjdk-21-jdk                     	       0       16        0        1       15
52383 openjdk-21-jdk-headless            	       0       18        0        0       18
52384 openjdk-21-source                  	       0        1        0        1        0
52385 openjdk-22-jdk                     	       0        1        0        0        1
52386 openjdk-22-jdk-headless            	       0        2        0        0        2
52387 openjdk-22-jre                     	       0        2        0        0        2
52388 openjdk-22-jre-headless            	       0        2        2        0        0
52389 openjdk-23-demo                    	       0        1        0        0        1
52390 openjdk-23-jdk                     	       0        2        1        0        1
52391 openjdk-23-jdk-headless            	       0        2        0        0        2
52392 openjdk-23-jre                     	       0        2        0        0        2
52393 openjdk-23-jre-headless            	       0        2        2        0        0
52394 openjdk-23-source                  	       0        1        1        0        0
52395 openjdk-24-dbg                     	       0        1        0        1        0
52396 openjdk-24-demo                    	       0        1        0        0        1
52397 openjdk-24-doc                     	       0        2        0        0        2
52398 openjdk-24-jdk                     	       0        3        0        1        2
52399 openjdk-24-jdk-headless            	       0        3        0        0        3
52400 openjdk-24-jre                     	       0        4        0        0        4
52401 openjdk-24-jre-headless            	       0        4        2        2        0
52402 openjdk-24-jre-zero                	       0        1        0        0        1
52403 openjdk-24-source                  	       0        1        0        1        0
52404 openjdk-25-jdk                     	       0        1        0        0        1
52405 openjdk-25-jdk-headless            	       0        1        0        0        1
52406 openjdk-25-jre                     	       0        2        0        0        2
52407 openjdk-25-jre-headless            	       0        2        1        1        0
52408 openjdk-7-doc                      	       0        3        0        0        3
52409 openjdk-7-jdk                      	       0        1        0        0        1
52410 openjdk-7-jre                      	       0       16        0        0       16
52411 openjdk-7-jre-headless             	       0       16       16        0        0
52412 openjdk-7-jre-lib                  	       0        5        0        0        5
52413 openjdk-8-demo                     	       0        2        0        0        2
52414 openjdk-8-doc                      	       0        5        0        0        5
52415 openjdk-8-jdk                      	       0       41        0        0       41
52416 openjdk-8-jre                      	       0      165        0        0      165
52417 openjdk-8-jre-zero                 	       0        1        0        0        1
52418 openjdk-8-source                   	       0        2        2        0        0
52419 openjdk-9-jdk                      	       0        1        0        0        1
52420 openjdk-9-jdk-headless             	       0        1        0        0        1
52421 openjdk-9-jre                      	       0        2        0        0        2
52422 openjdk-9-jre-headless             	       0        5        5        0        0
52423 openjfx                            	       0      106        0        0      106
52424 openjfx-source                     	       0       89        0        0       89
52425 openjpeg-doc                       	       0        1        0        0        1
52426 openlogic-openjdk-8-hotspot        	       0        1        1        0        0
52427 openlogic-openjdk-8-hotspot-jre    	       0        1        1        0        0
52428 openlp                             	       0        5        4        1        0
52429 openlugaru                         	       0        1        1        0        0
52430 openlugaru-data                    	       0        1        0        0        1
52431 openmodelica                       	       0        1        0        0        1
52432 openmotor                          	       0        1        1        0        0
52433 openmp-extras-dev                  	       0        4        4        0        0
52434 openmp-extras-runtime              	       0       14       14        0        0
52435 openmpi-common                     	       0      198        0        0      198
52436 openmpi-doc                        	       0        7        0        0        7
52437 openmpt123                         	       0        3        3        0        0
52438 openmsx                            	       0       13       13        0        0
52439 openmsx-catapult                   	       0        7        7        0        0
52440 openmsx-data                       	       0       13        0        0       13
52441 openmsx-debugger                   	       0        4        4        0        0
52442 openmw                             	       0       15       14        1        0
52443 openmw-cs                          	       0        7        7        0        0
52444 openmw-cs-dbgsym                   	       0        1        1        0        0
52445 openmw-data                        	       0       16        0        0       16
52446 openmw-dbgsym                      	       0        1        1        0        0
52447 openmw-launcher                    	       0       15       14        1        0
52448 openmw-launcher-dbgsym             	       0        1        1        0        0
52449 openmx                             	       0        1        1        0        0
52450 openmx-data                        	       0        1        0        0        1
52451 opennebula                         	       0        1        1        0        0
52452 opennebula-common                  	       0        1        1        0        0
52453 opennebula-common-onescape         	       0        1        0        0        1
52454 opennebula-flow                    	       0        1        1        0        0
52455 opennebula-gate                    	       0        1        1        0        0
52456 opennebula-migration               	       0        1        1        0        0
52457 opennebula-rubygems                	       0        1        1        0        0
52458 opennebula-sunstone                	       0        1        1        0        0
52459 opennebula-tools                   	       0        1        1        0        0
52460 openni-doc                         	       0        1        0        0        1
52461 openni-utils                       	       0        5        5        0        0
52462 openni2-doc                        	       0        2        0        0        2
52463 openni2-utils                      	       0        4        4        0        0
52464 opennlp                            	       0        1        1        0        0
52465 openoffice-base                    	       0       13        0        0       13
52466 openoffice-brand-base              	       0       13        0        0       13
52467 openoffice-brand-calc              	       0       13        0        0       13
52468 openoffice-brand-de                	       0        1        0        0        1
52469 openoffice-brand-draw              	       0       13        0        0       13
52470 openoffice-brand-en-gb             	       0        2        0        0        2
52471 openoffice-brand-en-us             	       0        7        0        0        7
52472 openoffice-brand-es                	       0        2        0        0        2
52473 openoffice-brand-impress           	       0       13        0        0       13
52474 openoffice-brand-math              	       0       11        0        0       11
52475 openoffice-brand-writer            	       0       13        0        0       13
52476 openoffice-core06                  	       0       14        0        0       14
52477 openoffice-core07                  	       0       14        0        0       14
52478 openoffice-de                      	       0        1        0        0        1
52479 openoffice-de-base                 	       0        1        0        0        1
52480 openoffice-de-calc                 	       0        1        0        0        1
52481 openoffice-de-draw                 	       0        1        0        0        1
52482 openoffice-de-help                 	       0        1        0        0        1
52483 openoffice-de-impress              	       0        1        0        0        1
52484 openoffice-de-math                 	       0        1        0        0        1
52485 openoffice-de-res                  	       0        1        0        0        1
52486 openoffice-de-writer               	       0        1        0        0        1
52487 openoffice-draw                    	       0       13        0        0       13
52488 openoffice-en-gb                   	       0        2        0        0        2
52489 openoffice-en-gb-base              	       0        2        0        0        2
52490 openoffice-en-gb-calc              	       0        2        0        0        2
52491 openoffice-en-gb-draw              	       0        2        0        0        2
52492 openoffice-en-gb-help              	       0        2        0        0        2
52493 openoffice-en-gb-impress           	       0        2        0        0        2
52494 openoffice-en-gb-math              	       0        2        0        0        2
52495 openoffice-en-gb-res               	       0        2        0        0        2
52496 openoffice-en-gb-writer            	       0        2        0        0        2
52497 openoffice-en-us                   	       0        8        0        0        8
52498 openoffice-en-us-base              	       0        8        0        0        8
52499 openoffice-en-us-calc              	       0        8        0        0        8
52500 openoffice-en-us-draw              	       0        8        0        0        8
52501 openoffice-en-us-help              	       0        8        0        0        8
52502 openoffice-en-us-impress           	       0        8        0        0        8
52503 openoffice-en-us-math              	       0        8        0        0        8
52504 openoffice-en-us-res               	       0        8        0        0        8
52505 openoffice-en-us-writer            	       0        8        0        0        8
52506 openoffice-es                      	       0        2        0        0        2
52507 openoffice-es-base                 	       0        2        0        0        2
52508 openoffice-es-calc                 	       0        2        0        0        2
52509 openoffice-es-draw                 	       0        2        0        0        2
52510 openoffice-es-help                 	       0        2        0        0        2
52511 openoffice-es-impress              	       0        2        0        0        2
52512 openoffice-es-math                 	       0        2        0        0        2
52513 openoffice-es-res                  	       0        2        0        0        2
52514 openoffice-es-writer               	       0        2        0        0        2
52515 openoffice-fr                      	       0        1        0        0        1
52516 openoffice-fr-writer               	       0        1        0        0        1
52517 openoffice-gnome-integration       	       0       10        0        0       10
52518 openoffice-graphicfilter           	       0       13        0        0       13
52519 openoffice-images                  	       0       14        0        0       14
52520 openoffice-impress                 	       0       13        0        0       13
52521 openoffice-javafilter              	       0       11        0        0       11
52522 openoffice-math                    	       0       11        0        0       11
52523 openoffice-ogltrans                	       0       11        0        0       11
52524 openoffice-ooofonts                	       0       13        0        0       13
52525 openoffice-ooolinguistic           	       0       12        2        0       10
52526 openoffice-pyuno                   	       0       13       13        0        0
52527 openoffice-xsltfilter              	       0       13        0        0       13
52528 openoffice.org                     	       0        3        0        0        3
52529 openoffice.org-base                	       0        1        1        0        0
52530 openoffice.org-base-core           	       0        1        1        0        0
52531 openoffice.org-calc                	       0        3        3        0        0
52532 openoffice.org-core                	       0        1        1        0        0
52533 openoffice.org-draw                	       0        4        4        0        0
52534 openoffice.org-dtd-officedocument1.0	       0        3        3        0        0
52535 openoffice.org-emailmerge          	       0        1        0        0        1
52536 openoffice.org-evolution           	       0        1        0        0        1
52537 openoffice.org-filter-binfilter    	       0        1        1        0        0
52538 openoffice.org-filter-mobiledev    	       0        1        1        0        0
52539 openoffice.org-gcj                 	       0        1        1        0        0
52540 openoffice.org-gnome               	       0        1        0        0        1
52541 openoffice.org-gtk                 	       0        1        1        0        0
52542 openoffice.org-headless            	       0        1        1        0        0
52543 openoffice.org-help-en-gb          	       0        2        1        0        1
52544 openoffice.org-help-en-us          	       0        4        1        0        3
52545 openoffice.org-help-ru             	       0        1        0        0        1
52546 openoffice.org-hyphenation-de      	       0        1        0        0        1
52547 openoffice.org-hyphenation-en-us   	       0        2        0        0        2
52548 openoffice.org-hyphenation-fr      	       0        1        0        0        1
52549 openoffice.org-impress             	       0        4        4        0        0
52550 openoffice.org-java-common         	       0        5        1        0        4
52551 openoffice.org-kde                 	       0        1        1        0        0
52552 openoffice.org-l10n-de             	       0        2        0        0        2
52553 openoffice.org-l10n-en-gb          	       0        1        1        0        0
52554 openoffice.org-l10n-ru             	       0        1        0        0        1
52555 openoffice.org-l10n-sv             	       0        1        0        0        1
52556 openoffice.org-math                	       0        3        3        0        0
52557 openoffice.org-officebean          	       0        1        1        0        0
52558 openoffice.org-report-builder-bin  	       0        1        1        0        0
52559 openoffice.org-style-andromeda     	       0        1        1        0        0
52560 openoffice.org-style-crystal       	       0        1        1        0        0
52561 openoffice.org-style-tango         	       0        1        1        0        0
52562 openoffice.org-thesaurus-en-us     	       0        3        0        0        3
52563 openoffice.org-ure                 	       0        1        0        0        1
52564 openoffice.org-writer              	       0        5        5        0        0
52565 openoffice.org-writer2latex        	       0        1        1        0        0
52566 openoffice.org3                    	       0        1        0        0        1
52567 openoffice.org3-base               	       0        1        0        0        1
52568 openoffice.org3-calc               	       0        1        0        0        1
52569 openoffice.org3-dict-de            	       0        1        0        0        1
52570 openoffice.org3-dict-en            	       0        1        0        0        1
52571 openoffice.org3-dict-ru            	       0        1        0        0        1
52572 openoffice.org3-dict-uk            	       0        1        0        0        1
52573 openoffice.org3-draw               	       0        1        0        0        1
52574 openoffice.org3-impress            	       0        1        0        0        1
52575 openoffice.org3-math               	       0        1        0        0        1
52576 openoffice.org3-ru                 	       0        1        0        0        1
52577 openoffice.org3-writer             	       0        1        0        0        1
52578 openorienteering-mapper            	       0        1        1        0        0
52579 openpaperwork-core-doc             	       0        1        0        0        1
52580 openpaperwork-gtk-doc              	       0        1        0        0        1
52581 openpref                           	       0       10       10        0        0
52582 openprinting-ppds-postscript-epson 	       0        1        0        0        1
52583 openprinting-ppds-postscript-kyocera	       0        1        0        0        1
52584 openprinting-ppds-postscript-ricoh 	       0        1        0        0        1
52585 openprinting-ppds-postscript-xerox 	       0        1        0        0        1
52586 openprinting-ppds-pxlcolor-ricoh   	       0        1        0        0        1
52587 openprinting-ppds-pxlmono-samsung  	       0        1        0        0        1
52588 openproject                        	       0        3        3        0        0
52589 openra                             	       0        3        3        0        0
52590 openrazer-meta                     	       0        1        0        0        1
52591 openrct2                           	       0        4        3        1        0
52592 openrct2-data                      	       0        4        0        0        4
52593 openrct2-objects                   	       0        4        0        0        4
52594 openrct2-openmsx                   	       0        1        0        0        1
52595 openrct2-opensfx                   	       0        1        0        0        1
52596 openrct2-title-sequences           	       0        4        0        0        4
52597 openrefine                         	       0        2        2        0        0
52598 openresty                          	       0        1        1        0        0
52599 openresty-openssl3                 	       0        1        1        0        0
52600 openresty-opm                      	       0        1        1        0        0
52601 openresty-pcre2                    	       0        1        1        0        0
52602 openresty-resty                    	       0        1        1        0        0
52603 openresty-zlib                     	       0        1        1        0        0
52604 openrocket                         	       0        1        1        0        0
52605 opensaml-tools                     	       0        1        1        0        0
52606 opensbi                            	       0       26        0        0       26
52607 openscad-dbgsym                    	       0        1        1        0        0
52608 openscad-mcad                      	       0       76        0        0       76
52609 openscad-testing                   	       0        3        3        0        0
52610 openscad-testing-data              	       0        6        0        0        6
52611 openscap-common                    	       0        1        0        0        1
52612 openscap-scanner                   	       0        1        1        0        0
52613 openscap-utils                     	       0        1        1        0        0
52614 openscenegraph                     	       0        6        6        0        0
52615 opense-basic                       	       0       10        0        0       10
52616 openseachest                       	       0        4        4        0        0
52617 openshift-imagebuilder             	       0        1        1        0        0
52618 openshot                           	       0       57        3        0       54
52619 openshot-doc                       	       0       10        0        0       10
52620 openshot-qt-doc                    	       0       24        0        0       24
52621 openslide-tools                    	       0        3        3        0        0
52622 opensmtpd-extras                   	       0        3        0        0        3
52623 opensmtpd-filter-dkimsign          	       0        1        1        0        0
52624 opensmtpd-filter-rspamd            	       0        1        1        0        0
52625 opensong                           	       0        1        0        0        1
52626 openspades                         	       0        1        0        0        1
52627 openssh-blacklist                  	       0       31        0        0       31
52628 openssh-blacklist-extra            	       0       26        0        0       26
52629 openssh-client-gssapi              	       0       12        0        0       12
52630 openssh-client-ssh1                	       0        5        5        0        0
52631 openssh-server-gssapi              	       0       12        0        0       12
52632 openssh-tests                      	       0        1        0        1        0
52633 openssl-blacklist-extra            	       0        6        0        0        6
52634 openssl-build-deps                 	       0        1        0        0        1
52635 openssl-dbgsym                     	       0        1        1        0        0
52636 openssn                            	       0        2        2        0        0
52637 openssn-data                       	       0        2        0        0        2
52638 opensta                            	       0        4        4        0        0
52639 openstack-dashboard                	       0        1        0        0        1
52640 openstack-dashboard-apache         	       0        1        0        0        1
52641 openstack-debian-images            	       0        1        1        0        0
52642 openstack-deploy                   	       0        1        1        0        0
52643 openstack-pkg-tools                	       0        2        2        0        0
52644 openstereogram                     	       0        1        1        0        0
52645 openstreetmap-map-icons-classic    	       0        2        0        0        2
52646 openstreetmap-map-icons-scalable   	       0        1        0        0        1
52647 openstreetmap-map-icons-square     	       0        2        0        0        2
52648 openswan                           	       0        1        1        0        0
52649 opensync-plugin-irmc               	       0        1        1        0        0
52650 opentabletdriver                   	       0        1        1        0        0
52651 openthesaurus-de-text              	       0        1        0        0        1
52652 opentmpfiles                       	       0        1        1        0        0
52653 opentracker                        	       0        1        1        0        0
52654 openttd-data                       	       0       49        0        0       49
52655 openttd-opengfx                    	       0       47        0        0       47
52656 openttd-openmsx                    	       0       47        0        0       47
52657 openttd-opensfx                    	       0       44        0        0       44
52658 openturns-common                   	       0        3        0        0        3
52659 opentyrian                         	       0        2        2        0        0
52660 openuniverse-common                	       0       10        0        0       10
52661 openvas-cli                        	       0        1        1        0        0
52662 openvas-manager                    	       0        1        1        0        0
52663 openvas-manager-common             	       0        1        1        0        0
52664 openvpn-auth-ldap                  	       0        3        3        0        0
52665 openvpn-auth-radius                	       0        5        5        0        0
52666 openvpn-blacklist                  	       0        3        3        0        0
52667 openvpn-dbgsym                     	       0        1        1        0        0
52668 openvpn-dco-dkms                   	       0        7        7        0        0
52669 openvpn-nl                         	       0        1        1        0        0
52670 openvpn3                           	       0        1        0        1        0
52671 openvswitch-datapath-source        	       0        1        0        0        1
52672 openvswitch-ipsec                  	       0        1        1        0        0
52673 openvswitch-switch                 	       0        4        4        0        0
52674 openwebrx                          	       0        1        1        0        0
52675 openwince-include                  	       0        1        1        0        0
52676 openwince-jtag                     	       0        2        2        0        0
52677 openyahtzee                        	       0        5        5        0        0
52678 opera                              	       0        2        2        0        0
52679 opera-developer                    	       0        1        1        0        0
52680 opera-legacy                       	       0        1        1        0        0
52681 opgpcard                           	       0        3        3        0        0
52682 opie-client                        	       0        1        1        0        0
52683 opie-server                        	       0        1        1        0        0
52684 opl3-soundfont                     	       0       16        0        0       16
52685 oprofile                           	       0        1        1        0        0
52686 opt                                	       0        4        4        0        0
52687 opticalraytracer                   	       0        3        3        0        0
52688 opuscomment                        	       0        1        1        0        0
52689 opusfile-build-deps-depends        	       0        1        0        0        1
52690 opustags                           	       0        1        1        0        0
52691 oracle-instantclient11.2-basic     	       0        1        1        0        0
52692 oracle-instantclient11.2-devel     	       0        1        1        0        0
52693 oracle-instantclient11.2-sqlplus   	       0        1        1        0        0
52694 oracle-j2sdk1.7                    	       0        2        2        0        0
52695 oracle-java6-jdk                   	       0        1        1        0        0
52696 oracle-java7-installer             	       0        1        0        0        1
52697 oracle-java7-jdk                   	       0        1        1        0        0
52698 oracle-java7-jre                   	       0        1        1        0        0
52699 oracle-java8-doc                   	       0        1        0        0        1
52700 oracle-java8-installer             	       0        3        0        0        3
52701 oracle-java8-jdk                   	       0        3        3        0        0
52702 oracle-java8-jre                   	       0        3        3        0        0
52703 oracle-java8-set-default           	       0        3        0        0        3
52704 orange                             	       0        2        2        0        0
52705 orbit2                             	       0        7        7        0        0
52706 orbital-eunuchs-sniper             	       0        2        2        0        0
52707 orbital-eunuchs-sniper-data        	       0        2        0        0        2
52708 orca-sops                          	       0        1        1        0        0
52709 orchis-gtk-theme                   	       0       18        0        0       18
52710 oregano                            	       0       16       16        0        0
52711 org-mode                           	       0       13        0        0       13
52712 org-mode-doc                       	       0       10        0        0       10
52713 org-roam-doc                       	       0        2        0        0        2
52714 organize                           	       0        1        1        0        0
52715 origami-pdf                        	       0        4        4        0        0
52716 oroborus                           	       0        5        5        0        0
52717 orosound-link                      	       0        1        1        0        0
52718 orpie                              	       0       10       10        0        0
52719 orthanc-imagej                     	       0        1        0        0        1
52720 orthanc-wsi                        	       0        2        2        0        0
52721 os-brick-common                    	       0        2        0        0        2
52722 os-uninstaller                     	       0        1        1        0        0
52723 os8                                	       0        1        1        0        0
52724 osc                                	       0        7        6        1        0
52725 oscar                              	       0        1        1        0        0
52726 osdclock                           	       0        8        8        0        0
52727 osdlyrics                          	       0        6        5        1        0
52728 osdsh                              	       0        6        6        0        0
52729 oselas.toolchain-2016.06.1-i586-unknown-linux-gnu-gcc-5.4.0-glibc-2.23-binutils-2.26-kernel-4.6-sanitized	       0        1        1        0        0
52730 osgearth-data                      	       0        1        0        0        1
52731 osinfo-db                          	       0      638        0        0      638
52732 osinfo-db-tools                    	       0        6        6        0        0
52733 osk-sdl                            	       0        1        1        0        0
52734 osmid                              	       0        4        4        0        0
52735 osmo-fl2k                          	       0        1        1        0        0
52736 osmos                              	       0        2        2        0        0
52737 osmose-emulator                    	       0        3        3        0        0
52738 osmpbf-bin                         	       0        4        4        0        0
52739 ospics                             	       0        2        0        0        2
52740 osquery                            	       0        2        2        0        0
52741 oss-preserve                       	       0        1        1        0        0
52742 oss4-dev                           	       0        2        2        0        0
52743 ossec-hids-agent                   	       0        1        0        0        1
52744 ossec-hids-server                  	       0        1        0        0        1
52745 ossim-core                         	       0        1        1        0        0
52746 osslsigncode                       	       0        4        4        0        0
52747 osspd-alsa                         	       0        3        3        0        0
52748 osspd-pulseaudio                   	       0       33       30        3        0
52749 ostree                             	       0       19       17        2        0
52750 otb-bin                            	       0        2        2        0        0
52751 otb-bin-qt                         	       0        2        2        0        0
52752 otb-i18n                           	       0        2        0        0        2
52753 otb-qgis                           	       0        2        2        0        0
52754 otb-testdriver                     	       0        3        3        0        0
52755 otf-freefont                       	       0        4        0        0        4
52756 otf-ipaexfont                      	       0        1        0        0        1
52757 otf-ipaexfont-gothic               	       0        1        0        0        1
52758 otf-ipaexfont-mincho               	       0        1        0        0        1
52759 otf-ipafont                        	       0        1        0        0        1
52760 otf-ipafont-gothic                 	       0        1        0        0        1
52761 otf-ipafont-mincho                 	       0        1        0        0        1
52762 otf-stix                           	       0        2        0        0        2
52763 otf-symbols-circos                 	       0        1        0        0        1
52764 otf2bdf                            	       0        3        3        0        0
52765 othman                             	       0        1        1        0        0
52766 otpw-bin                           	       0        1        1        0        0
52767 ots                                	       0        1        1        0        0
52768 out-of-order                       	       0        5        5        0        0
52769 overgod                            	       0        3        3        0        0
52770 overgod-data                       	       0        3        0        0        3
52771 overlay-boot                       	       0        5        5        0        0
52772 ovftool                            	       0        1        1        0        0
52773 ovh-rtm-metrics-toolkit            	       0        2        0        0        2
52774 ovmf                               	       0      552        0        0      552
52775 ovmf-ia32                          	       0        3        0        0        3
52776 ovtr                               	       0        1        0        0        1
52777 ow-shell                           	       0        1        1        0        0
52778 owfs                               	       0        3        0        0        3
52779 owfs-common                        	       0       12        0        0       12
52780 owncloud                           	       0        1        0        0        1
52781 owncloud-client-cmd                	       0        2        2        0        0
52782 owncloud-client-data               	       0        1        0        0        1
52783 owncloud-client-doc                	       0        1        0        0        1
52784 owncloud-client-dolphin            	       0        3        1        0        2
52785 owncloud-client-l10n               	       0        2        0        0        2
52786 owncloud-client-overlays-icons     	       0        3        0        0        3
52787 owncloud-complete-files            	       0        1        1        0        0
52788 owncloud-deps-php5                 	       0        1        0        0        1
52789 owncloud-files                     	       0        2        1        0        1
52790 owrx-connector                     	       0        1        0        0        1
52791 oxefmsynth                         	       0        1        1        0        0
52792 oxen-electron-wallet               	       0        1        1        0        0
52793 oxref                              	       0        2        2        0        0
52794 oxygen-icon-theme                  	       0      488        0        0      488
52795 oxygen-sounds                      	       0      569        0        0      569
52796 oxygencursors                      	       0       34        0        0       34
52797 oz                                 	       0        2        2        0        0
52798 p0f                                	       0       12       12        0        0
52799 p11-kit-doc                        	       0        8        0        0        8
52800 pacemaker                          	       0        6        6        0        0
52801 pacemaker-cli-utils                	       0        6        6        0        0
52802 pacemaker-common                   	       0        6        0        0        6
52803 pacemaker-resource-agents          	       0        6        6        0        0
52804 pachi-data                         	       0        2        0        0        2
52805 pack-cli                           	       0        1        1        0        0
52806 packagekit-backend-aptcc           	       0        1        0        0        1
52807 packagekit-command-not-found       	       0        3        0        0        3
52808 packagekit-docs                    	       0        1        0        0        1
52809 packagekit-gtk3-module             	       0        6        0        0        6
52810 packagekit-gtk3-module-dbgsym      	       0        3        3        0        0
52811 packages-microsoft-prod            	       0       39        0        0       39
52812 packagesearch                      	       0       11       10        1        0
52813 packaging-dev                      	       0        9        0        0        9
52814 packaging-tutorial                 	       0        9        0        0        9
52815 packer                             	       0        6        6        0        0
52816 packettracer                       	       0       12       11        0        1
52817 pacman.c                           	       0        1        1        0        0
52818 paconvert                          	       0        1        1        0        0
52819 pacpl                              	       0       14       14        0        0
52820 padevchooser                       	       0        1        1        0        0
52821 padthv1-common                     	       0        1        0        0        1
52822 padthv1-lv2                        	       0        1        1        0        0
52823 paexec                             	       0        1        1        0        0
52824 pageedit                           	       0        7        6        1        0
52825 pagein                             	       0        1        1        0        0
52826 pagekite                           	       0        1        1        0        0
52827 pagemon                            	       0        2        2        0        0
52828 pages2epub                         	       0        1        1        0        0
52829 pages2odt                          	       0        1        1        0        0
52830 pagetools                          	       0        4        4        0        0
52831 pairs                              	       0        1        1        0        0
52832 pajackconnect                      	       0        1        1        0        0
52833 pakcs                              	       0        2        2        0        0
52834 paketto                            	       0        1        1        0        0
52835 pal                                	       0        5        5        0        0
52836 pal2nal                            	       0        2        2        0        0
52837 palapeli                           	       0       63       62        1        0
52838 palapeli-data                      	       0       65        0        0       65
52839 palbart                            	       0        1        1        0        0
52840 palemoon-nonsse2                   	       0        1        1        0        0
52841 palp                               	       0       12       12        0        0
52842 pam-dbus-notify                    	       0        1        0        0        1
52843 pam-mkhomedir                      	       0        5        0        0        5
52844 paman                              	       0       16       16        0        0
52845 paml                               	       0        2        2        0        0
52846 pampi                              	       0        2        2        0        0
52847 pandoc-citeproc                    	       0        8        8        0        0
52848 pandoc-citeproc-preamble           	       0        5        5        0        0
52849 pandoc-data                        	       0      309        0        0      309
52850 pandoc-filter-diagram              	       0        1        0        0        1
52851 pandoc-plantuml-filter             	       0        8        6        2        0
52852 pandoc-sidenote                    	       0        6        6        0        0
52853 pango1.0-tests                     	       0        2        1        0        1
52854 pangoterm                          	       0        2        2        0        0
52855 pangzero                           	       0        3        3        0        0
52856 papaya                             	       0        1        1        0        0
52857 paper-icon-theme                   	       0       37        0        0       37
52858 papers-common                      	       0        2        0        0        2
52859 paperwork-backend-doc              	       0        3        0        0        3
52860 paperwork-gtk-l10n-de              	       0        4        0        0        4
52861 paperwork-gtk-l10n-en              	       0        3        0        0        3
52862 paperwork-gtk-l10n-es              	       0        2        0        0        2
52863 paperwork-gtk-l10n-fr              	       0        2        0        0        2
52864 paperwork-gtk-l10n-uk              	       0        1        0        0        1
52865 paperwork-shell                    	       0        2        2        0        0
52866 papirus-icon-theme                 	       0      365        0        0      365
52867 paps                               	       0        9        9        0        0
52868 paq8                               	       0        1        1        0        0
52869 paq9                               	       0        1        1        0        0
52870 paraclu                            	       0        2        2        0        0
52871 parafly                            	       0        1        1        0        0
52872 paranoia                           	       0        1        1        0        0
52873 paratext-resources-8.0             	       0        1        1        0        0
52874 paraview                           	       0        3        3        0        0
52875 paraview-doc                       	       0        5        0        0        5
52876 parchives                          	       0        6        0        0        6
52877 pari-elldata                       	       0       16        0        0       16
52878 pari-galdata                       	       0       17        0        0       17
52879 pari-galpol                        	       0       13        0        0       13
52880 pari-seadata                       	       0       17        0        0       17
52881 parlatype                          	       0        3        3        0        0
52882 parlatype-common                   	       0        1        0        0        1
52883 parley-data                        	       0       51        0        0       51
52884 parmetis-doc                       	       0        2        0        0        2
52885 parmetis-test                      	       0        1        1        0        0
52886 parole-dev                         	       0        1        1        0        0
52887 parolottero                        	       0        4        4        0        0
52888 parolottero-data-sv                	       0        3        0        0        3
52889 parolottero-data-us                	       0        1        0        0        1
52890 parprouted                         	       0        3        3        0        0
52891 parsec                             	       0        2        2        0        0
52892 parsec47                           	       0        5        5        0        0
52893 parsec47-data                      	       0        5        0        0        5
52894 parsero                            	       0        1        1        0        0
52895 parsinsert                         	       0        1        1        0        0
52896 partclone-utils                    	       0        1        1        0        0
52897 parted-doc                         	       0       29        0        0       29
52898 partimage-doc                      	       0        7        0        0        7
52899 partimage-server                   	       0        3        3        0        0
52900 partlibrary                        	       0        1        0        0        1
52901 pasdoc                             	       0        2        2        0        0
52902 pasmo                              	       0        5        5        0        0
52903 pass-extension-otp                 	       0       14        2        0       12
52904 pass-extension-tail                	       0        2        2        0        0
52905 pass-extension-tomb                	       0        2        2        0        0
52906 pass-extension-update              	       0        1        1        0        0
52907 pass-git-helper                    	       0        1        1        0        0
52908 passage                            	       0        1        1        0        0
52909 passenger-doc                      	       0        3        0        0        3
52910 passepartout                       	       0        1        1        0        0
52911 passwdqc                           	       0        6        6        0        0
52912 passwin                            	       0        7        0        0        7
52913 passwordgenerator-stt              	       0        1        1        0        0
52914 passwordmaker-cli                  	       0        4        4        0        0
52915 passwordsafe-common                	       0       22        0        0       22
52916 pat                                	       0        3        3        0        0
52917 patat                              	       0        2        2        0        0
52918 patchage                           	       0       10       10        0        0
52919 pathogen                           	       0        9        9        0        0
52920 pathological                       	       0       10       10        0        0
52921 patman                             	       0        1        1        0        0
52922 patroneo                           	       0        1        1        0        0
52923 paul                               	       0        1        1        0        0
52924 paulstretch                        	       0        2        2        0        0
52925 pavucontrol-qt-l10n                	       0      195        0        0      195
52926 pavuk                              	       0        2        2        0        0
52927 paw++                              	       0        1        1        0        0
52928 paw-common                         	       0        1        1        0        0
52929 paw-demos                          	       0        1        1        0        0
52930 pax-britannica                     	       0        7        7        0        0
52931 pax-britannica-data                	       0        7        0        0        7
52932 paxctl                             	       0        1        1        0        0
52933 pbbamtools                         	       0        1        1        0        0
52934 pbis-open                          	       0        1        1        0        0
52935 pbis-open-upgrade                  	       0        1        0        0        1
52936 pcal                               	       0       12       12        0        0
52937 pcalendar                          	       0        1        1        0        0
52938 pcb                                	       0       22        0        0       22
52939 pcb-common                         	       0       23        0        0       23
52940 pcb-gtk                            	       0       23       23        0        0
52941 pcb-lesstif                        	       0        3        3        0        0
52942 pcb-rnd                            	       0       16        1        0       15
52943 pcb-rnd-auto                       	       0       15       15        0        0
52944 pcb-rnd-cloud                      	       0       15       15        0        0
52945 pcb-rnd-core                       	       0       15       15        0        0
52946 pcb-rnd-doc                        	       0       16       10        0        6
52947 pcb-rnd-export                     	       0       15       15        0        0
52948 pcb-rnd-export-extra               	       0       15       15        0        0
52949 pcb-rnd-export-sim                 	       0       15       15        0        0
52950 pcb-rnd-extra                      	       0       15       15        0        0
52951 pcb-rnd-hid-gtk2-gdk               	       0        3        3        0        0
52952 pcb-rnd-hid-gtk2-gl                	       0        3        3        0        0
52953 pcb-rnd-import-geo                 	       0        2        2        0        0
52954 pcb-rnd-import-net                 	       0       15       15        0        0
52955 pcb-rnd-io-alien                   	       0       15       15        0        0
52956 pcb-rnd-io-standard                	       0       15       15        0        0
52957 pcb-rnd-lib-gl                     	       0        3        3        0        0
52958 pcb-rnd-lib-gtk                    	       0        3        3        0        0
52959 pcb-rnd-lib-gui                    	       0       15       15        0        0
52960 pcb-rnd-lib-io                     	       0       15       15        0        0
52961 pcb2gcode                          	       0        4        4        0        0
52962 pccts                              	       0        1        1        0        0
52963 pchar                              	       0        4        4        0        0
52964 pci.ids                            	       0     3668        0        0     3668
52965 pcm                                	       0        3        3        0        0
52966 pcmanfm-dbg                        	       0        1        0        0        1
52967 pcmanfm-dbgsym                     	       0        1        1        0        0
52968 pconf-detect                       	       0        3        3        0        0
52969 pconsole                           	       0        4        4        0        0
52970 pcp-conf                           	       0        7        6        0        1
52971 pcp-doc                            	       0        1        0        0        1
52972 pcp-gui                            	       0        2        2        0        0
52973 pcregrep                           	       0       11       10        1        0
52974 pcs                                	       0        3        3        0        0
52975 pcsc-lite                          	       0        1        1        0        0
52976 pcsc-tools                         	       0       49       49        0        0
52977 pcsx2                              	       0        3        3        0        0
52978 pcsxr                              	       0       15       14        1        0
52979 pct-scanner-scripts                	       0        3        3        0        0
52980 pcvatfreebridge                    	       0        1        1        0        0
52981 pd-3dp                             	       0        2        2        0        0
52982 pd-ableton-link                    	       0        5        5        0        0
52983 pd-ambix                           	       0        3        3        0        0
52984 pd-arraysize                       	       0        2        2        0        0
52985 pd-autopreset                      	       0        3        3        0        0
52986 pd-bassemu                         	       0        3        3        0        0
52987 pd-beatpipe                        	       0        3        3        0        0
52988 pd-boids                           	       0        3        3        0        0
52989 pd-bsaylor                         	       0        2        2        0        0
52990 pd-chaos                           	       0        2        2        0        0
52991 pd-cmos                            	       0        2        2        0        0
52992 pd-comport                         	       0        5        5        0        0
52993 pd-creb                            	       0        2        2        0        0
52994 pd-csound                          	       0        3        3        0        0
52995 pd-cxc                             	       0        2        2        0        0
52996 pd-cyclone                         	       0        7        7        0        0
52997 pd-deken                           	       0        3        3        0        0
52998 pd-deken-apt                       	       0        3        0        0        3
52999 pd-earplug                         	       0        2        2        0        0
53000 pd-ekext                           	       0        2        2        0        0
53001 pd-ext13                           	       0        2        2        0        0
53002 pd-extendedview                    	       0        4        4        0        0
53003 pd-fftease                         	       0        2        2        0        0
53004 pd-flext-dev                       	       0        4        4        0        0
53005 pd-flext-doc                       	       0        5        0        0        5
53006 pd-flite                           	       0        2        2        0        0
53007 pd-freeverb                        	       0        3        3        0        0
53008 pd-ggee                            	       0        7        7        0        0
53009 pd-gil                             	       0        4        4        0        0
53010 pd-hcs                             	       0        3        3        0        0
53011 pd-hexloader                       	       0        2        2        0        0
53012 pd-hid                             	       0        3        3        0        0
53013 pd-iem                             	       0        3        3        0        0
53014 pd-iemambi                         	       0        2        2        0        0
53015 pd-iemguts                         	       0        2        2        0        0
53016 pd-iemlib                          	       0        3        3        0        0
53017 pd-iemmatrix                       	       0        2        2        0        0
53018 pd-iemnet                          	       0        7        7        0        0
53019 pd-iemutils                        	       0        3        3        0        0
53020 pd-jmmmp                           	       0        3        3        0        0
53021 pd-jsusfx                          	       0        2        2        0        0
53022 pd-kollabs                         	       0        5        5        0        0
53023 pd-lib-builder                     	       0        5        0        0        5
53024 pd-libdir                          	       0       12       12        0        0
53025 pd-list-abs                        	       0        7        7        0        0
53026 pd-log                             	       0        2        2        0        0
53027 pd-lua                             	       0        2        2        0        0
53028 pd-lyonpotpourri                   	       0        2        2        0        0
53029 pd-mapping                         	       0        4        4        0        0
53030 pd-markex                          	       0        2        2        0        0
53031 pd-maxlib                          	       0        4        4        0        0
53032 pd-mediasettings                   	       0        2        2        0        0
53033 pd-mjlib                           	       0        2        2        0        0
53034 pd-moonlib                         	       0        3        3        0        0
53035 pd-motex                           	       0        2        2        0        0
53036 pd-mrpeach                         	       0        3        3        0        0
53037 pd-mrpeach-net                     	       0        2        2        0        0
53038 pd-nusmuk                          	       0        2        2        0        0
53039 pd-osc                             	       0        6        6        0        0
53040 pd-pan                             	       0        3        3        0        0
53041 pd-pddp                            	       0        7        7        0        0
53042 pd-pdogg                           	       0        2        2        0        0
53043 pd-pdp                             	       0        2        2        0        0
53044 pd-pdstring                        	       0        2        2        0        0
53045 pd-pduino                          	       0        4        4        0        0
53046 pd-plugin                          	       0        2        2        0        0
53047 pd-pmpd                            	       0        2        2        0        0
53048 pd-pool                            	       0        2        2        0        0
53049 pd-puremapping                     	       0        3        3        0        0
53050 pd-purepd                          	       0        5        5        0        0
53051 pd-purest-json                     	       0        2        2        0        0
53052 pd-readanysf                       	       0        2        2        0        0
53053 pd-rtclib                          	       0        4        4        0        0
53054 pd-scaf                            	       0        2        2        0        0
53055 pd-sigpack                         	       0        2        2        0        0
53056 pd-slip                            	       0        2        2        0        0
53057 pd-smlib                           	       0        2        2        0        0
53058 pd-syslog                          	       0        3        3        0        0
53059 pd-tclpd                           	       0        2        2        0        0
53060 pd-testtools                       	       0        3        3        0        0
53061 pd-unauthorized                    	       0        2        2        0        0
53062 pd-upp                             	       0        3        3        0        0
53063 pd-vbap                            	       0        2        2        0        0
53064 pd-wiimote                         	       0        2        2        0        0
53065 pd-windowing                       	       0        2        2        0        0
53066 pd-xbee                            	       0        2        2        0        0
53067 pd-xsample                         	       0        2        2        0        0
53068 pd-zexy                            	       0        7        7        0        0
53069 pdf-presenter-console              	       0        6        6        0        0
53070 pdf-redact-tools                   	       0        3        3        0        0
53071 pdf.js-common                      	       0        8        0        0        8
53072 pdf2htmlex                         	       0        1        1        0        0
53073 pdfbooklet                         	       0        1        1        0        0
53074 pdfcook                            	       0        1        1        0        0
53075 pdfcrack-dbgsym                    	       0        1        1        0        0
53076 pdfcube                            	       0       15       15        0        0
53077 pdfedit                            	       0        3        3        0        0
53078 pdfjam                             	       0        3        0        0        3
53079 pdfminer-data                      	       0        8        0        0        8
53080 pdfmixtool                         	       0        1        1        0        0
53081 pdfmod-dbg                         	       0        1        1        0        0
53082 pdfposter                          	       0       29       29        0        0
53083 pdfproctools                       	       0        9        9        0        0
53084 pdfsam-visual                      	       0        1        1        0        0
53085 pdfsandwich                        	       0       15       15        0        0
53086 pdfshuffler                        	       0       16        1        0       15
53087 pdfstudioviewer                    	       0        1        1        0        0
53088 pdftk                              	       0      252        8        0      244
53089 pdftoipe                           	       0        5        5        0        0
53090 pdk                                	       0        1        1        0        0
53091 pdmenu                             	       0        4        4        0        0
53092 pdns-backend-lua2                  	       0        1        0        0        1
53093 pdns-backend-odbc                  	       0        1        0        0        1
53094 pdns-backend-pgsql                 	       0        2        1        0        1
53095 pdns-backend-pipe                  	       0        1        0        0        1
53096 pdns-backend-tinydns               	       0        2        0        0        2
53097 pdns-tools                         	       0        5        5        0        0
53098 peazip                             	       0        8        8        0        0
53099 pebble                             	       0        2        2        0        0
53100 peco                               	       0        2        2        0        0
53101 peek                               	       0       12       12        0        0
53102 peercast-handlers                  	       0        1        1        0        0
53103 peerunity                          	       0        1        1        0        0
53104 peg                                	       0        2        2        0        0
53105 peg-e                              	       0        3        3        0        0
53106 peg-solitaire                      	       0        3        3        0        0
53107 pegasus-frontend                   	       0        1        1        0        0
53108 pegsolitaire                       	       0        2        2        0        0
53109 pekka-kana-2                       	       0        3        3        0        0
53110 pekka-kana-2-data                  	       0        3        0        0        3
53111 pekwm-themes                       	       0        3        0        0        3
53112 pelican                            	       0        7        7        0        0
53113 pelican-doc                        	       0        3        0        0        3
53114 pem                                	       0        1        1        0        0
53115 pencil                             	       0        3        0        0        3
53116 pencil2d                           	       0       15       15        0        0
53117 penguin-command                    	       0        3        3        0        0
53118 penguin-subtitle-player            	       0        1        1        0        0
53119 pengupop                           	       0        1        1        0        0
53120 pentobi                            	       0        2        2        0        0
53121 peony-admin                        	       0        1        0        0        1
53122 peony-common                       	       0        6        0        0        6
53123 peony-extension-computer-view      	       0        5        0        0        5
53124 peony-extensions                   	       0        5        0        0        5
53125 peony-extensions-common            	       0        1        0        0        1
53126 peony-open-terminal                	       0        5        0        0        5
53127 peony-set-wallpaper                	       0        5        0        0        5
53128 peony-share                        	       0        4        0        0        4
53129 pep8                               	       0        6        6        0        0
53130 pepperflashplugin-nonfree          	       0       25       25        0        0
53131 perccli                            	       0        2        0        0        2
53132 perceptualdiff                     	       0        2        2        0        0
53133 percol                             	       0        1        1        0        0
53134 percona-release                    	       0        2        2        0        0
53135 percona-server-common-5.7          	       0        3        3        0        0
53136 percona-server-rocksdb-5.7         	       0        1        1        0        0
53137 percona-server-tokudb-5.7          	       0        1        1        0        0
53138 percona-toolkit                    	       0        5        5        0        0
53139 percona-xtrabackup-24              	       0        1        1        0        0
53140 percona-zabbix-templates           	       0        1        1        0        0
53141 perf-tools-unstable                	       0        5        5        0        0
53142 performous                         	       0       10       10        0        0
53143 performous-composer                	       0        2        2        0        0
53144 performous-tools                   	       0        4        4        0        0
53145 perl-byacc                         	       0        1        1        0        0
53146 perl-debug                         	       0        1        1        0        0
53147 perl-depends                       	       0        3        3        0        0
53148 perl-doc-html                      	       0        2        0        0        2
53149 perl-modules-5.26                  	       0        3        3        0        0
53150 perl-modules-5.30                  	       0        9        9        0        0
53151 perl-modules-5.34                  	       0       16       16        0        0
53152 perl-stacktrace                    	       0        1        1        0        0
53153 perl6                              	       0        1        0        0        1
53154 perl6-readline                     	       0        1        1        0        0
53155 perl6-zef                          	       0        1        1        0        0
53156 perlbal                            	       0        1        1        0        0
53157 perlbrew                           	       0        6        6        0        0
53158 perlimports                        	       0        1        1        0        0
53159 perlmagick                         	       0       58        0        0       58
53160 perlprimer                         	       0        4        4        0        0
53161 perlprimer-doc                     	       0        5        0        0        5
53162 perlrdf                            	       0        3        3        0        0
53163 perlsgml                           	       0        1        1        0        0
53164 perm                               	       0        1        1        0        0
53165 peruse                             	       0        6        6        0        0
53166 peruse-common                      	       0        6        0        0        6
53167 pescetti                           	       0        9        9        0        0
53168 pesign                             	       0        2        2        0        0
53169 pet                                	       0        1        1        0        0
53170 petit                              	       0        1        1        0        0
53171 petri-foo                          	       0        2        2        0        0
53172 petris                             	       0       17       17        0        0
53173 pex                                	       0        1        1        0        0
53174 pexec                              	       0        2        2        0        0
53175 pflask                             	       0        1        1        0        0
53176 pfm                                	       0        5        5        0        0
53177 pforth                             	       0        3        3        0        0
53178 pfring-dkms                        	       0        1        1        0        0
53179 pfsglview                          	       0        3        3        0        0
53180 pfstmo                             	       0        3        3        0        0
53181 pfstools                           	       0        3        3        0        0
53182 pfsview                            	       0        3        3        0        0
53183 pftools                            	       0        2        2        0        0
53184 pg-bsd-indent                      	       0        1        1        0        0
53185 pg-gvm                             	       0        1        1        0        0
53186 pg-top                             	       0        1        1        0        0
53187 pgaccess                           	       0        1        1        0        0
53188 pgadmin3-data                      	       0       32        0        0       32
53189 pgadmin4                           	       0        2        0        0        2
53190 pgadmin4-desktop                   	       0       13       11        2        0
53191 pgadmin4-web                       	       0        3        3        0        0
53192 pgcli                              	       0        4        3        1        0
53193 pgdesigner                         	       0        1        1        0        0
53194 pgdg-keyring                       	       0        4        0        0        4
53195 pgdocs-pdf-a4                      	       0        1        0        0        1
53196 pgf                                	       0        6        0        0        6
53197 pgmfindclip                        	       0        1        1        0        0
53198 pgmodeler-common                   	       0        8        0        0        8
53199 pgn-extract                        	       0        5        5        0        0
53200 pgn2web                            	       0        4        4        0        0
53201 pgplot5                            	       0        3        3        0        0
53202 pgsnap                             	       0        1        1        0        0
53203 pgstaging                          	       0        1        1        0        0
53204 pgstaging-client                   	       0        1        0        0        1
53205 pgtap-doc                          	       0        1        0        0        1
53206 pgtune                             	       0        1        1        0        0
53207 pgxnclient                         	       0        1        1        0        0
53208 phalanx                            	       0        9        9        0        0
53209 pharo6-64                          	       0        1        1        0        0
53210 pharo6-64-dbgsym                   	       0        1        1        0        0
53211 pharo6-64-ui                       	       0        1        1        0        0
53212 pharo6-64-ui-dbgsym                	       0        1        1        0        0
53213 pharo6-sources-files               	       0        1        0        0        1
53214 pharo6-ui-common                   	       0        1        0        0        1
53215 phasex                             	       0        3        3        0        0
53216 phat-utils                         	       0        1        1        0        0
53217 phatch                             	       0        1        1        0        0
53218 phatch-cli                         	       0        1        1        0        0
53219 phatch-doc                         	       0        1        0        0        1
53220 phidutil2                          	       0        1        1        0        0
53221 phipack                            	       0        1        1        0        0
53222 phlipple                           	       0       11       11        0        0
53223 phlipple-data                      	       0       11        0        0       11
53224 phlipple-dbg                       	       0        1        1        0        0
53225 phnxdeco                           	       0        3        3        0        0
53226 pho                                	       0        1        1        0        0
53227 phoenixtsi-cloud                   	       0        2        2        0        0
53228 phonon                             	       0       46        0        0       46
53229 phonon-backend-gstreamer-common    	       0       83        0        0       83
53230 phonon-backend-vlc                 	       0       10        0        0       10
53231 phonon-backend-vlc-common          	       0       70        0        0       70
53232 phonon4qt5                         	       0      956        0        0      956
53233 phonon4qt5-backend-gstreamer       	       0       26        1        0       25
53234 phonon4qt5-backend-null            	       0        9        0        0        9
53235 phonon4qt5settings                 	       0        8        8        0        0
53236 phonon4qt6                         	       0       41        0        0       41
53237 phonon4qt6-backend-vlc             	       0       41        0        0       41
53238 phoronix-test-suite                	       0        5        5        0        0
53239 phosh-doc                          	       0        1        0        0        1
53240 photocollage                       	       0        7        7        0        0
53241 photofilmstrip                     	       0       10       10        0        0
53242 photoflare                         	       0        6        6        0        0
53243 photoflow                          	       0        2        2        0        0
53244 photoquick                         	       0        1        1        0        0
53245 photoquick-plugins                 	       0        1        0        0        1
53246 phototonic                         	       0       15       15        0        0
53247 php                                	       0      209        0        0      209
53248 php-all-dev                        	       0        4        0        0        4
53249 php-apc                            	       0        4        0        0        4
53250 php-auth-http                      	       0        1        1        0        0
53251 php-bacon-qr-code                  	       0        2        2        0        0
53252 php-bcmath                         	       0       60        0        0       60
53253 php-brick-math                     	       0        1        1        0        0
53254 php-bz2                            	       0       84        0        0       84
53255 php-cache-tag-interop              	       0        1        1        0        0
53256 php-cas                            	       0        3        3        0        0
53257 php-christianriesen-base32         	       0        1        1        0        0
53258 php-christianriesen-otp            	       0        1        1        0        0
53259 php-cli-prompt                     	       0        1        1        0        0
53260 php-code-lts-u2f-php-server        	       0        1        1        0        0
53261 php-codecoverage                   	       0        3        3        0        0
53262 php-codeigniter-framework-doc      	       0        1        0        0        1
53263 php-codesniffer                    	       0        5        5        0        0
53264 php-console-commandline            	       0        2        2        0        0
53265 php-crypt-blowfish                 	       0        1        1        0        0
53266 php-crypt-gpg                      	       0        1        1        0        0
53267 php-curl                           	       0      187        0        0      187
53268 php-dasprid-enum                   	       0        2        2        0        0
53269 php-deepcopy                       	       0        3        3        0        0
53270 php-dev                            	       0       25       19        6        0
53271 php-dflydev-dot-access-data        	       0        1        1        0        0
53272 php-doc                            	       0        2        0        0        2
53273 php-doctrine-annotations           	       0        2        2        0        0
53274 php-doctrine-cache                 	       0        1        1        0        0
53275 php-doctrine-collections           	       0        1        1        0        0
53276 php-doctrine-common                	       0        1        1        0        0
53277 php-doctrine-dbal                  	       0        1        1        0        0
53278 php-doctrine-deprecations          	       0        5        5        0        0
53279 php-doctrine-event-manager         	       0        3        2        1        0
53280 php-doctrine-inflector             	       0        2        2        0        0
53281 php-doctrine-instantiator          	       0        4        4        0        0
53282 php-doctrine-lexer                 	       0        6        5        1        0
53283 php-doctrine-persistence           	       0        3        2        1        0
53284 php-dompdf                         	       0        4        4        0        0
53285 php-dompdf-svg-lib                 	       0        2        2        0        0
53286 php-dragonmantank-cron-expression  	       0        1        1        0        0
53287 php-elisp                          	       0        2        1        0        1
53288 php-eluceo-ical                    	       0        1        1        0        0
53289 php-email-validator                	       0        6        5        1        0
53290 php-enchant                        	       0        3        0        0        3
53291 php-file-iterator                  	       0        5        5        0        0
53292 php-font-lib                       	       0        5        5        0        0
53293 php-fpm                            	       0       74        0        0       74
53294 php-fxsl                           	       0        2        2        0        0
53295 php-gd                             	       0      202        0        0      202
53296 php-gearman                        	       0        1        1        0        0
53297 php-geshi                          	       0       10       10        0        0
53298 php-getid3                         	       0       15       15        0        0
53299 php-gmagick                        	       0        3        0        0        3
53300 php-gmp                            	       0       56        0        0       56
53301 php-gnupg                          	       0        3        0        0        3
53302 php-graham-campbell-result-type    	       0        1        1        0        0
53303 php-gregwar-captcha                	       0        1        1        0        0
53304 php-horde-css-parser               	       0        2        2        0        0
53305 php-html-safe                      	       0        1        1        0        0
53306 php-http                           	       0        3        0        0        3
53307 php-http-request2                  	       0        1        1        0        0
53308 php-illuminate-auth                	       0        1        1        0        0
53309 php-illuminate-broadcasting        	       0        1        1        0        0
53310 php-illuminate-bus                 	       0        1        1        0        0
53311 php-illuminate-cache               	       0        1        1        0        0
53312 php-illuminate-collections         	       0        1        1        0        0
53313 php-illuminate-config              	       0        1        1        0        0
53314 php-illuminate-console             	       0        1        1        0        0
53315 php-illuminate-container           	       0        1        1        0        0
53316 php-illuminate-contracts           	       0        1        1        0        0
53317 php-illuminate-cookie              	       0        1        1        0        0
53318 php-illuminate-database            	       0        1        1        0        0
53319 php-illuminate-encryption          	       0        1        1        0        0
53320 php-illuminate-events              	       0        1        1        0        0
53321 php-illuminate-filesystem          	       0        1        1        0        0
53322 php-illuminate-hashing             	       0        1        1        0        0
53323 php-illuminate-http                	       0        1        1        0        0
53324 php-illuminate-log                 	       0        1        1        0        0
53325 php-illuminate-macroable           	       0        1        1        0        0
53326 php-illuminate-mail                	       0        1        1        0        0
53327 php-illuminate-notifications       	       0        1        1        0        0
53328 php-illuminate-pagination          	       0        1        1        0        0
53329 php-illuminate-pipeline            	       0        1        1        0        0
53330 php-illuminate-queue               	       0        1        1        0        0
53331 php-illuminate-redis               	       0        1        1        0        0
53332 php-illuminate-routing             	       0        1        1        0        0
53333 php-illuminate-session             	       0        1        1        0        0
53334 php-illuminate-support             	       0        1        1        0        0
53335 php-illuminate-testing             	       0        1        1        0        0
53336 php-illuminate-translation         	       0        1        1        0        0
53337 php-illuminate-validation          	       0        1        1        0        0
53338 php-illuminate-view                	       0        1        1        0        0
53339 php-image-text                     	       0        2        2        0        0
53340 php-imap                           	       0       30        0        0       30
53341 php-intl                           	       0      153        0        0      153
53342 php-invoker                        	       0        3        3        0        0
53343 php-jshrink                        	       0        1        1        0        0
53344 php-json                           	       0       62        0        0       62
53345 php-laravel-framework              	       0        1        1        0        0
53346 php-laravel-serializable-closure   	       0        1        1        0        0
53347 php-ldap                           	       0       62        0        0       62
53348 php-league-commonmark              	       0        1        1        0        0
53349 php-league-config                  	       0        1        1        0        0
53350 php-league-flysystem               	       0        1        1        0        0
53351 php-league-mime-type-detection     	       0        1        1        0        0
53352 php-letodms-core                   	       0        1        1        0        0
53353 php-letodms-lucene                 	       0        1        1        0        0
53354 php-lz4                            	       0        1        0        0        1
53355 php-mailparse                      	       0        1        0        0        1
53356 php-mailparse-all-dev              	       0        1        0        0        1
53357 php-markdown                       	       0        2        2        0        0
53358 php-matthiasmullie-minify          	       0        1        1        0        0
53359 php-matthiasmullie-path-converter  	       0        1        1        0        0
53360 php-mbstring                       	       0      233        0        0      233
53361 php-mcrypt                         	       0       21        0        0       21
53362 php-mdb2-driver-pgsql              	       0        1        1        0        0
53363 php-mdb2-schema                    	       0        1        1        0        0
53364 php-mongodb                        	       0        1        1        0        0
53365 php-monolog                        	       0        4        3        1        0
53366 php-mysql                          	       0      214        0        0      214
53367 php-nesbot-carbon                  	       0        1        1        0        0
53368 php-net-dns2                       	       0        2        2        0        0
53369 php-net-idna2                      	       0        2        2        0        0
53370 php-net-ipv6                       	       0        1        1        0        0
53371 php-net-ldap2                      	       0        4        4        0        0
53372 php-net-ldap3                      	       0        4        4        0        0
53373 php-net-nntp                       	       0        1        1        0        0
53374 php-net-whois                      	       0        1        1        0        0
53375 php-nette-schema                   	       0        1        1        0        0
53376 php-nette-utils                    	       0        1        1        0        0
53377 php-nrk-predis                     	       0        1        1        0        0
53378 php-oauth                          	       0        1        0        0        1
53379 php-odbc                           	       0        3        0        0        3
53380 php-opis-closure                   	       0        1        1        0        0
53381 php-parsedown                      	       0        1        1        0        0
53382 php-parser                         	       0        5        5        0        0
53383 php-patchwork-utf8                 	       0        1        1        0        0
53384 php-pgsql                          	       0       48        0        0       48
53385 php-phar-io-manifest               	       0        4        4        0        0
53386 php-phar-io-version                	       0        5        5        0        0
53387 php-phpdbg                         	       0        2        2        0        0
53388 php-phpdocumentor-reflection-common	       0        2        2        0        0
53389 php-phpdocumentor-reflection-docblock	       0        2        2        0        0
53390 php-phpdocumentor-type-resolver    	       0        2        2        0        0
53391 php-phpoption                      	       0        1        1        0        0
53392 php-phpspec-prophecy               	       0        2        2        0        0
53393 php-pimple                         	       0        2        2        0        0
53394 php-predis                         	       0        1        1        0        0
53395 php-proxy-manager                  	       0        1        1        0        0
53396 php-pspell                         	       0       15        0        0       15
53397 php-psr-clock                      	       0        1        0        1        0
53398 php-psr-event-dispatcher           	       0        5        4        1        0
53399 php-psr-link                       	       0        2        1        1        0
53400 php-ramsey-collection              	       0        1        1        0        0
53401 php-ramsey-uuid                    	       0        1        1        0        0
53402 php-raphf                          	       0        3        0        0        3
53403 php-readline                       	       0       12        0        0       12
53404 php-recode                         	       0        2        0        0        2
53405 php-sabre-dav                      	       0        2        2        0        0
53406 php-sabre-dav-2.1                  	       0        1        1        0        0
53407 php-sabre-event                    	       0        1        1        0        0
53408 php-sabre-http-3                   	       0        1        1        0        0
53409 php-sabre-vobject                  	       0        2        2        0        0
53410 php-sabre-vobject-3                	       0        1        1        0        0
53411 php-sabredav                       	       0        1        0        0        1
53412 php-smbclient-all-dev              	       0        1        0        0        1
53413 php-snmp                           	       0        5        0        0        5
53414 php-soap                           	       0       45        0        0       45
53415 php-sql-formatter                  	       0        1        1        0        0
53416 php-sqlite3                        	       0       47        0        0       47
53417 php-swiftmailer                    	       0        2        2        0        0
53418 php-symfony                        	       0        1        1        0        0
53419 php-symfony-amqp-messenger         	       0        1        1        0        0
53420 php-symfony-asset                  	       0        1        1        0        0
53421 php-symfony-browser-kit            	       0        1        1        0        0
53422 php-symfony-class-loader           	       0        3        3        0        0
53423 php-symfony-contracts              	       0        1        1        0        0
53424 php-symfony-css-selector           	       0        3        2        1        0
53425 php-symfony-debug                  	       0        5        5        0        0
53426 php-symfony-debug-bundle           	       0        1        1        0        0
53427 php-symfony-doctrine-bridge        	       0        1        1        0        0
53428 php-symfony-doctrine-messenger     	       0        1        1        0        0
53429 php-symfony-dom-crawler            	       0        3        3        0        0
53430 php-symfony-dotenv                 	       0        1        1        0        0
53431 php-symfony-error-handler          	       0        2        2        0        0
53432 php-symfony-event-dispatcher       	       0        4        4        0        0
53433 php-symfony-event-dispatcher-contracts	       0        4        4        0        0
53434 php-symfony-form                   	       0        1        1        0        0
53435 php-symfony-framework-bundle       	       0        1        1        0        0
53436 php-symfony-http-client            	       0        1        1        0        0
53437 php-symfony-http-client-contracts  	       0        1        1        0        0
53438 php-symfony-http-foundation        	       0        2        2        0        0
53439 php-symfony-http-kernel            	       0        2        2        0        0
53440 php-symfony-inflector              	       0        1        1        0        0
53441 php-symfony-intl                   	       0        1        1        0        0
53442 php-symfony-ldap                   	       0        1        1        0        0
53443 php-symfony-lock                   	       0        1        1        0        0
53444 php-symfony-mailer                 	       0        2        2        0        0
53445 php-symfony-messenger              	       0        1        1        0        0
53446 php-symfony-mime                   	       0        4        3        1        0
53447 php-symfony-monolog-bridge         	       0        1        1        0        0
53448 php-symfony-notifier               	       0        1        1        0        0
53449 php-symfony-options-resolver       	       0        1        1        0        0
53450 php-symfony-password-hasher        	       0        1        1        0        0
53451 php-symfony-polyfill-php55         	       0        1        1        0        0
53452 php-symfony-polyfill-php81         	       0        1        1        0        0
53453 php-symfony-polyfill-php84         	       0        1        0        1        0
53454 php-symfony-property-access        	       0        2        2        0        0
53455 php-symfony-property-info          	       0        1        1        0        0
53456 php-symfony-proxy-manager-bridge   	       0        1        1        0        0
53457 php-symfony-rate-limiter           	       0        1        1        0        0
53458 php-symfony-redis-messenger        	       0        1        1        0        0
53459 php-symfony-routing                	       0        3        3        0        0
53460 php-symfony-security-bundle        	       0        1        1        0        0
53461 php-symfony-security-core          	       0        1        1        0        0
53462 php-symfony-security-csrf          	       0        1        1        0        0
53463 php-symfony-security-guard         	       0        1        1        0        0
53464 php-symfony-security-http          	       0        1        1        0        0
53465 php-symfony-semaphore              	       0        1        1        0        0
53466 php-symfony-serializer             	       0        2        2        0        0
53467 php-symfony-stopwatch              	       0        1        1        0        0
53468 php-symfony-templating             	       0        1        1        0        0
53469 php-symfony-translation            	       0        2        2        0        0
53470 php-symfony-translation-contracts  	       0        2        2        0        0
53471 php-symfony-twig-bridge            	       0        1        1        0        0
53472 php-symfony-twig-bundle            	       0        1        1        0        0
53473 php-symfony-uid                    	       0        1        1        0        0
53474 php-symfony-validator              	       0        1        1        0        0
53475 php-symfony-var-dumper             	       0        2        2        0        0
53476 php-symfony-web-link               	       0        1        1        0        0
53477 php-symfony-web-profiler-bundle    	       0        1        1        0        0
53478 php-symfony-workflow               	       0        1        1        0        0
53479 php-text-password                  	       0        1        1        0        0
53480 php-text-template                  	       0        4        4        0        0
53481 php-tidy                           	       0        9        0        0        9
53482 php-tijsverkoyen-css-to-inline-styles	       0        2        2        0        0
53483 php-timer                          	       0        4        4        0        0
53484 php-token-stream                   	       0        2        2        0        0
53485 php-tokenizer                      	       0        5        5        0        0
53486 php-twig-cache-extra               	       0        1        1        0        0
53487 php-twig-cssinliner-extra          	       0        1        1        0        0
53488 php-twig-doc                       	       0        2        0        0        2
53489 php-twig-extensions                	       0        2        2        0        0
53490 php-twig-html-extra                	       0        1        1        0        0
53491 php-uuid                           	       0        6        0        0        6
53492 php-validate                       	       0        1        1        0        0
53493 php-vlucas-phpdotenv               	       0        1        1        0        0
53494 php-voku-portable-ascii            	       0        1        1        0        0
53495 php-wpcli                          	       0        1        1        0        0
53496 php-xdebug                         	       0       11        2        0        9
53497 php-xml                            	       0      262        0        0      262
53498 php-xml-dtd                        	       0        1        1        0        0
53499 php-xml-htmlsax3                   	       0        1        1        0        0
53500 php-xml-svg                        	       0        3        3        0        0
53501 php-xmlrpc                         	       0        9        0        0        9
53502 php-xmlrpc-all-dev                 	       0        1        0        0        1
53503 php-zend-code                      	       0        3        2        1        0
53504 php-zend-eventmanager              	       0        1        1        0        0
53505 php-zend-hydrator                  	       0        1        1        0        0
53506 php-zend-stdlib                    	       0        1        1        0        0
53507 php-zip                            	       0      138        0        0      138
53508 php-zmq                            	       0        1        0        0        1
53509 php5                               	       0       35        0        0       35
53510 php5-dev                           	       0        4        4        0        0
53511 php5-imagick                       	       0        3        3        0        0
53512 php5-ldap                          	       0        4        4        0        0
53513 php5-mysqlnd                       	       0        2        2        0        0
53514 php5-sasl                          	       0        1        1        0        0
53515 php5-snmp                          	       0        1        1        0        0
53516 php5-sqlite                        	       0        7        7        0        0
53517 php5-ssh2                          	       0        1        1        0        0
53518 php5-tidy                          	       0        3        3        0        0
53519 php5-xcache                        	       0        2        2        0        0
53520 php5-xmlrpc                        	       0        2        2        0        0
53521 php5.6                             	       0        6        0        0        6
53522 php5.6-bcmath                      	       0        1        1        0        0
53523 php5.6-dba                         	       0        1        1        0        0
53524 php5.6-enchant                     	       0        1        1        0        0
53525 php5.6-gmp                         	       0        1        1        0        0
53526 php5.6-imagick                     	       0        1        1        0        0
53527 php5.6-ldap                        	       0        3        3        0        0
53528 php5.6-mbstring-dbgsym             	       0        1        1        0        0
53529 php5.6-odbc                        	       0        1        1        0        0
53530 php5.6-pgsql                       	       0        3        3        0        0
53531 php5.6-recode                      	       0        1        1        0        0
53532 php5.6-snmp                        	       0        1        1        0        0
53533 php5.6-soap                        	       0        1        1        0        0
53534 php5.6-sqlite3                     	       0        1        1        0        0
53535 php5.6-sqlite3-dbgsym              	       0        1        1        0        0
53536 php5.6-tidy                        	       0        1        1        0        0
53537 php5.6-xsl                         	       0        3        0        0        3
53538 php5.6-yaml                        	       0        1        1        0        0
53539 php7.0                             	       0       21        0        0       21
53540 php7.0-cgi                         	       0        6        6        0        0
53541 php7.0-dba                         	       0        1        1        0        0
53542 php7.0-dev                         	       0        2        2        0        0
53543 php7.0-geoip                       	       0        1        1        0        0
53544 php7.0-igbinary                    	       0        1        1        0        0
53545 php7.0-memcached                   	       0        1        1        0        0
53546 php7.0-msgpack                     	       0        1        1        0        0
53547 php7.0-phpdbg                      	       0        2        2        0        0
53548 php7.0-xsl                         	       0        4        0        0        4
53549 php7.1                             	       0        2        0        0        2
53550 php7.1-cgi                         	       0        2        2        0        0
53551 php7.1-curl                        	       0        2        2        0        0
53552 php7.1-fpm                         	       0        2        2        0        0
53553 php7.1-gd                          	       0        3        3        0        0
53554 php7.1-imagick                     	       0        1        1        0        0
53555 php7.1-imap                        	       0        1        1        0        0
53556 php7.1-mbstring                    	       0        3        3        0        0
53557 php7.1-phpdbg                      	       0        2        2        0        0
53558 php7.1-soap                        	       0        1        1        0        0
53559 php7.1-xdebug                      	       0        1        1        0        0
53560 php7.1-xml                         	       0        4        4        0        0
53561 php7.1-xmlrpc                      	       0        1        1        0        0
53562 php7.1-xsl                         	       0        1        0        0        1
53563 php7.2                             	       0        2        0        0        2
53564 php7.2-bcmath                      	       0        1        1        0        0
53565 php7.2-bz2                         	       0        1        1        0        0
53566 php7.2-cgi                         	       0        2        2        0        0
53567 php7.2-curl                        	       0        1        1        0        0
53568 php7.2-fpm                         	       0        1        1        0        0
53569 php7.2-gd                          	       0        3        3        0        0
53570 php7.2-imap                        	       0        2        2        0        0
53571 php7.2-intl                        	       0        2        2        0        0
53572 php7.2-mbstring                    	       0        4        4        0        0
53573 php7.2-mysql                       	       0        4        4        0        0
53574 php7.2-phpdbg                      	       0        1        1        0        0
53575 php7.2-pspell                      	       0        2        2        0        0
53576 php7.2-recode                      	       0        2        2        0        0
53577 php7.2-soap                        	       0        1        1        0        0
53578 php7.2-sqlite3                     	       0        2        2        0        0
53579 php7.2-tidy                        	       0        1        1        0        0
53580 php7.2-xml                         	       0        4        4        0        0
53581 php7.2-xmlrpc                      	       0        2        2        0        0
53582 php7.2-xsl                         	       0        2        0        0        2
53583 php7.2-zip                         	       0        4        4        0        0
53584 php7.3                             	       0       31        0        0       31
53585 php7.3-apcu-bc                     	       0        1        1        0        0
53586 php7.3-dev                         	       0        1        1        0        0
53587 php7.3-igbinary                    	       0        2        2        0        0
53588 php7.3-imagick                     	       0        1        1        0        0
53589 php7.3-memcache                    	       0        1        1        0        0
53590 php7.3-memcached                   	       0        1        1        0        0
53591 php7.3-msgpack                     	       0        1        1        0        0
53592 php7.3-sqlite3-dbgsym              	       0        1        1        0        0
53593 php7.3-xsl                         	       0        6        0        0        6
53594 php7.4                             	       0       60        0        0       60
53595 php7.4-dba                         	       0        1        1        0        0
53596 php7.4-enchant                     	       0        1        1        0        0
53597 php7.4-interbase                   	       0        1        1        0        0
53598 php7.4-memcache                    	       0        1        1        0        0
53599 php7.4-memcached                   	       0        2        2        0        0
53600 php7.4-msgpack                     	       0        2        2        0        0
53601 php7.4-snmp                        	       0        1        1        0        0
53602 php7.4-sybase                      	       0        1        1        0        0
53603 php7.4-xsl                         	       0       12        0        0       12
53604 php8.0                             	       0       11        0        0       11
53605 php8.0-cgi                         	       0        8        8        0        0
53606 php8.0-dev                         	       0        2        2        0        0
53607 php8.0-memcache                    	       0        1        1        0        0
53608 php8.0-memcached                   	       0        1        1        0        0
53609 php8.0-msgpack                     	       0        1        1        0        0
53610 php8.0-xsl                         	       0        7        0        0        7
53611 php8.1                             	       0       10        0        0       10
53612 php8.1-apcu-dbgsym                 	       0        1        1        0        0
53613 php8.1-bcmath-dbgsym               	       0        1        1        0        0
53614 php8.1-bz2-dbgsym                  	       0        1        1        0        0
53615 php8.1-cgi                         	       0        5        5        0        0
53616 php8.1-cgi-dbgsym                  	       0        1        1        0        0
53617 php8.1-cli-dbgsym                  	       0        1        1        0        0
53618 php8.1-common-dbgsym               	       0        1        1        0        0
53619 php8.1-curl-dbgsym                 	       0        1        1        0        0
53620 php8.1-dba                         	       0        1        1        0        0
53621 php8.1-dba-dbgsym                  	       0        1        1        0        0
53622 php8.1-dev                         	       0        1        1        0        0
53623 php8.1-enchant                     	       0        1        1        0        0
53624 php8.1-enchant-dbgsym              	       0        1        1        0        0
53625 php8.1-fpm-dbgsym                  	       0        1        1        0        0
53626 php8.1-gd-dbgsym                   	       0        1        1        0        0
53627 php8.1-gmp-dbgsym                  	       0        1        1        0        0
53628 php8.1-igbinary-dbgsym             	       0        1        1        0        0
53629 php8.1-imap                        	       0        8        8        0        0
53630 php8.1-imap-dbgsym                 	       0        1        1        0        0
53631 php8.1-interbase                   	       0        1        1        0        0
53632 php8.1-interbase-dbgsym            	       0        1        1        0        0
53633 php8.1-intl-dbgsym                 	       0        1        1        0        0
53634 php8.1-ldap                        	       0        2        2        0        0
53635 php8.1-ldap-dbgsym                 	       0        1        1        0        0
53636 php8.1-maxminddb                   	       0        1        1        0        0
53637 php8.1-maxminddb-dbgsym            	       0        1        1        0        0
53638 php8.1-mbstring-dbgsym             	       0        1        1        0        0
53639 php8.1-mysql-dbgsym                	       0        1        1        0        0
53640 php8.1-odbc                        	       0        1        1        0        0
53641 php8.1-odbc-dbgsym                 	       0        1        1        0        0
53642 php8.1-opcache-dbgsym              	       0        1        1        0        0
53643 php8.1-pgsql-dbgsym                	       0        1        1        0        0
53644 php8.1-phpdbg                      	       0        1        1        0        0
53645 php8.1-phpdbg-dbgsym               	       0        1        1        0        0
53646 php8.1-pspell-dbgsym               	       0        1        1        0        0
53647 php8.1-readline-dbgsym             	       0        1        1        0        0
53648 php8.1-redis                       	       0        2        2        0        0
53649 php8.1-redis-dbgsym                	       0        1        1        0        0
53650 php8.1-snmp-dbgsym                 	       0        1        1        0        0
53651 php8.1-soap                        	       0        7        7        0        0
53652 php8.1-soap-dbgsym                 	       0        1        1        0        0
53653 php8.1-sqlite3-dbgsym              	       0        1        1        0        0
53654 php8.1-sybase                      	       0        1        1        0        0
53655 php8.1-sybase-dbgsym               	       0        1        1        0        0
53656 php8.1-tidy                        	       0        5        5        0        0
53657 php8.1-tidy-dbgsym                 	       0        1        1        0        0
53658 php8.1-xdebug                      	       0        1        1        0        0
53659 php8.1-xml-dbgsym                  	       0        1        1        0        0
53660 php8.1-xsl                         	       0        5        0        0        5
53661 php8.1-yaml-dbgsym                 	       0        1        1        0        0
53662 php8.1-zip-dbgsym                  	       0        1        1        0        0
53663 php8.2                             	       0      162        0        0      162
53664 php8.2-apcu-dbgsym                 	       0        1        1        0        0
53665 php8.2-dba                         	       0        1        1        0        0
53666 php8.2-dev                         	       0       25       21        4        0
53667 php8.2-ds                          	       0        1        1        0        0
53668 php8.2-enchant                     	       0        2        2        0        0
53669 php8.2-gmagick                     	       0        3        3        0        0
53670 php8.2-gnupg-dbgsym                	       0        1        1        0        0
53671 php8.2-http                        	       0        6        6        0        0
53672 php8.2-igbinary-dbgsym             	       0        1        1        0        0
53673 php8.2-interbase                   	       0        1        1        0        0
53674 php8.2-lz4                         	       0        1        1        0        0
53675 php8.2-maxminddb                   	       0        1        1        0        0
53676 php8.2-odbc                        	       0        2        2        0        0
53677 php8.2-sybase                      	       0        1        1        0        0
53678 php8.2-tideways                    	       0        1        1        0        0
53679 php8.2-xsl                         	       0       12        0        0       12
53680 php8.2-yaml-dbgsym                 	       0        1        1        0        0
53681 php8.3                             	       0        8        0        0        8
53682 php8.3-cgi                         	       0        1        1        0        0
53683 php8.3-lz4                         	       0        1        1        0        0
53684 php8.3-memcache                    	       0        2        2        0        0
53685 php8.3-memcached                   	       0        1        1        0        0
53686 php8.3-msgpack                     	       0        1        1        0        0
53687 php8.3-phpdbg                      	       0        4        2        2        0
53688 php8.3-snmp                        	       0        1        1        0        0
53689 php8.3-xdebug                      	       0        2        1        1        0
53690 php8.4                             	       0        6        0        0        6
53691 php8.4-cgi                         	       0        2        1        1        0
53692 php8.4-dev                         	       0        2        0        2        0
53693 php8.4-gmp                         	       0        1        0        1        0
53694 php8.4-libvirt-php                 	       0        1        1        0        0
53695 php8.4-phpdbg                      	       0        6        4        2        0
53696 php8.4-xsl                         	       0        1        0        0        1
53697 phpliteadmin                       	       0        3        3        0        0
53698 phpqrcode                          	       0        3        3        0        0
53699 phpunit                            	       0        3        3        0        0
53700 phpunit-cli-parser                 	       0        3        3        0        0
53701 phpunit-code-unit                  	       0        3        3        0        0
53702 phpunit-code-unit-reverse-lookup   	       0        3        3        0        0
53703 phpunit-comparator                 	       0        4        4        0        0
53704 phpunit-complexity                 	       0        3        3        0        0
53705 phpunit-diff                       	       0        4        4        0        0
53706 phpunit-environment                	       0        4        4        0        0
53707 phpunit-exporter                   	       0        4        4        0        0
53708 phpunit-global-state               	       0        4        4        0        0
53709 phpunit-lines-of-code              	       0        3        3        0        0
53710 phpunit-object-enumerator          	       0        3        3        0        0
53711 phpunit-object-reflector           	       0        4        4        0        0
53712 phpunit-recursion-context          	       0        4        4        0        0
53713 phpunit-resource-operations        	       0        3        3        0        0
53714 phpunit-type                       	       0        3        3        0        0
53715 phpunit-version                    	       0        4        4        0        0
53716 phybin                             	       0        1        1        0        0
53717 phylip                             	       0        4        4        0        0
53718 phyml                              	       0        5        5        0        0
53719 physlock                           	       0        2        1        1        0
53720 phyutility                         	       0        1        1        0        0
53721 pi                                 	       0        6        6        0        0
53722 pianobar                           	       0        2        2        0        0
53723 picard-tools                       	       0        5        5        0        0
53724 picasm                             	       0        1        1        0        0
53725 pick                               	       0        1        1        0        0
53726 picmi                              	       0       56       55        1        0
53727 pico-sdk-source                    	       0        1        1        0        0
53728 picolibc-arm-none-eabi             	       0        2        0        0        2
53729 picolibc-riscv64-unknown-elf       	       0        3        0        0        3
53730 picolisp                           	       0        6        6        0        0
53731 picon-domains                      	       0        2        2        0        0
53732 picon-misc                         	       0        2        2        0        0
53733 picon-news                         	       0        1        0        0        1
53734 picon-unknown                      	       0        2        0        0        2
53735 picon-usenix                       	       0        1        0        0        1
53736 picon-users                        	       0        2        0        0        2
53737 picon-weather                      	       0        3        0        0        3
53738 picosat                            	       0        1        1        0        0
53739 picoscope                          	       0        4        4        0        0
53740 picotool                           	       0        1        1        0        0
53741 picprog                            	       0        1        1        0        0
53742 pidcat                             	       0        1        1        0        0
53743 pidentd-des                        	       0        1        0        0        1
53744 pidgin-audacious                   	       0        5        0        0        5
53745 pidgin-awayonlock                  	       0        5        0        0        5
53746 pidgin-build-deps                  	       0        1        0        0        1
53747 pidgin-dbgsym                      	       0        1        1        0        0
53748 pidgin-mattermost                  	       0        1        1        0        0
53749 pidgin-mpris                       	       0        2        2        0        0
53750 pidgin-openfetion                  	       0        1        1        0        0
53751 pidgin-openpgp                     	       0        3        3        0        0
53752 pidgin-privacy-please              	       0       11        1        0       10
53753 pidgin-skype                       	       0        6        1        0        5
53754 pidgin-themes                      	       0       17        0        0       17
53755 piglit                             	       0        1        1        0        0
53756 pigpio                             	       0        1        0        0        1
53757 pigpio-tools                       	       0        2        2        0        0
53758 pigpiod                            	       0        1        1        0        0
53759 pikchr                             	       0        1        1        0        0
53760 pike7.8                            	       0        1        0        0        1
53761 pike7.8-core                       	       0        2        2        0        0
53762 pike7.8-dev                        	       0        1        1        0        0
53763 pike7.8-doc                        	       0        2        0        0        2
53764 pike7.8-gdbm                       	       0        1        1        0        0
53765 pike7.8-image                      	       0        2        2        0        0
53766 pike7.8-manual                     	       0        2        0        0        2
53767 pike7.8-mysql                      	       0        1        1        0        0
53768 pike7.8-pcre                       	       0        1        1        0        0
53769 pike7.8-pg                         	       0        1        1        0        0
53770 pike7.8-reference                  	       0        2        0        0        2
53771 pike7.8-sdl                        	       0        1        1        0        0
53772 pike7.8-svg                        	       0        1        1        0        0
53773 pike8.0                            	       0        5        0        0        5
53774 pike8.0-core                       	       0        9        9        0        0
53775 pike8.0-dev                        	       0        6        6        0        0
53776 pike8.0-doc                        	       0        4        0        0        4
53777 pike8.0-fuse                       	       0        1        1        0        0
53778 pike8.0-gdbm                       	       0        5        5        0        0
53779 pike8.0-gtk                        	       0        1        1        0        0
53780 pike8.0-image                      	       0        7        7        0        0
53781 pike8.0-mysql                      	       0        5        5        0        0
53782 pike8.0-pcre                       	       0        5        5        0        0
53783 pike8.0-pg                         	       0        5        5        0        0
53784 pike8.0-sdl                        	       0        1        1        0        0
53785 pikopixel.app                      	       0        3        3        0        0
53786 piler                              	       0        1        1        0        0
53787 pinball-data                       	       0       18        0        0       18
53788 pinball-table-gnu                  	       0        5        0        0        5
53789 pinball-table-gnu-data             	       0        5        0        0        5
53790 pinball-table-hurd                 	       0        5        0        0        5
53791 pinball-table-hurd-data            	       0        5        0        0        5
53792 pinentry-doc                       	       0       19        0        0       19
53793 pinentry-fltk                      	       0        6        6        0        0
53794 pinentry-qt4                       	       0        9        9        0        0
53795 pinentry-tqt                       	       0       15       13        2        0
53796 pinentry-x2go                      	       0        3        3        0        0
53797 pingus-data                        	       0       33        0        0       33
53798 pinhole                            	       0        1        1        0        0
53799 pink-pony                          	       0        2        2        0        0
53800 pink-pony-data                     	       0        2        0        0        2
53801 pinokio                            	       0        3        3        0        0
53802 pinot                              	       0        1        1        0        0
53803 pinpoint                           	       0        4        4        0        0
53804 pinta                              	       0        8        8        0        0
53805 pinthread                          	       0        3        0        0        3
53806 pioasm                             	       0        1        1        0        0
53807 pioneers                           	       0       14       14        0        0
53808 pioneers-console                   	       0       14       14        0        0
53809 pioneers-console-data              	       0       14        1        0       13
53810 pioneers-data                      	       0       14        0        0       14
53811 pioneers-meta-server               	       0        1        0        0        1
53812 pioneers-metaserver                	       0        3        3        0        0
53813 pip-check-reqs                     	       0        6        6        0        0
53814 pipenightdreams                    	       0        9        9        0        0
53815 pipenightdreams-data               	       0        9        0        0        9
53816 piper                              	       0        9        9        0        0
53817 pipes-sh                           	       0        3        3        0        0
53818 pipette-stt                        	       0        2        2        0        0
53819 pipewalker                         	       0       11       11        0        0
53820 pipewire-audio                     	       0      169        0        0      169
53821 pipewire-audio-client-libraries    	       0       16        2        0       14
53822 pipewire-doc                       	       0       21        0        0       21
53823 pipewire-libcamera                 	       0       18        9        0        9
53824 pipewire-media-session             	       0       26       26        0        0
53825 pipewire-media-session-pulseaudio  	       0        1        0        0        1
53826 pipewire-module-xrdp               	       0        7        0        0        7
53827 pipewire-tests                     	       0       13        0        0       13
53828 pipewire-v4l2                      	       0       24       22        2        0
53829 pipsi                              	       0        3        2        1        0
53830 piratewallet-lite                  	       0        1        1        0        0
53831 pirs                               	       0        1        1        0        0
53832 pirs-profiles                      	       0        1        0        0        1
53833 pitcheddelay-lv2                   	       0        1        1        0        0
53834 pithos                             	       0        3        3        0        0
53835 piu-piu                            	       0        4        4        0        0
53836 piuparts                           	       0       23       23        0        0
53837 piuparts-common                    	       0       23       23        0        0
53838 piuparts-slave                     	       0        1        1        0        0
53839 pixbros                            	       0        1        1        0        0
53840 pixelize                           	       0        2        2        0        0
53841 pixelmed-apps                      	       0        2        2        0        0
53842 pixfrogger                         	       0        1        1        0        0
53843 pixmap                             	       0        4        4        0        0
53844 pizmidi-plugins                    	       0        1        1        0        0
53845 pk-update-icon                     	       0        3        1        0        2
53846 pkcs11-data                        	       0        2        2        0        0
53847 pkg                                	       0        2        2        0        0
53848 pkg-components                     	       0        1        1        0        0
53849 pkg-js-autopkgtest                 	       0        1        0        0        1
53850 pkg-js-tools                       	       0        1        1        0        0
53851 pkg-mozilla-archive-keyring        	       0       14        0        0       14
53852 pkg-my-archive-keyring             	       0        1        0        0        1
53853 pkg-perl-tools                     	       0        1        1        0        0
53854 pkglab                             	       0        1        1        0        0
53855 pktools                            	       0        4        4        0        0
53856 pktools-dev                        	       0        2        2        0        0
53857 pktstat                            	       0        5        5        0        0
53858 pkwalify                           	       0        2        2        0        0
53859 placnet                            	       0        1        1        0        0
53860 plakativ                           	       0        4        4        0        0
53861 plan                               	       0        6        6        0        0
53862 planarity                          	       0       10       10        0        0
53863 planetblupi                        	       0        3        3        0        0
53864 planetblupi-common                 	       0        3        0        0        3
53865 planetblupi-music-ogg              	       0        3        0        0        3
53866 planetpenguin-racer                	       0        1        0        0        1
53867 planetpenguin-racer-extras         	       0        1        0        0        1
53868 planner-data                       	       0       30        0        0       30
53869 planner-dev                        	       0        1        1        0        0
53870 planner-doc                        	       0       28        0        0       28
53871 plantuml                           	       0       30       29        1        0
53872 plasma-applet-redshift-control     	       0        5        1        0        4
53873 plasma-bigscreen                   	       0        2        2        0        0
53874 plasma-calendar-addons             	       0        6        0        0        6
53875 plasma-containments-addons         	       0        3        3        0        0
53876 plasma-desktop-dev                 	       0        7        0        0        7
53877 plasma-desktop-doc                 	       0       27        0        0       27
53878 plasma-desktopthemes-artwork       	       0        4        0        0        4
53879 plasma-discover-backend-fwupd      	       0      424       15        0      409
53880 plasma-discover-backend-snap       	       0        6        6        0        0
53881 plasma-firewall                    	       0       34       29        5        0
53882 plasma-framework-dev               	       0        1        0        0        1
53883 plasma-gamemode                    	       0        6        4        0        2
53884 plasma-gmailfeed                   	       0        1        0        0        1
53885 plasma-kdevelop                    	       0       12        2        0       10
53886 plasma-marble                      	       0        3        1        0        2
53887 plasma-mediacenter                 	       0        4        0        0        4
53888 plasma-nano                        	       0        2        0        0        2
53889 plasma-netbook                     	       0        1        1        0        0
53890 plasma-pass                        	       0        1        1        0        0
53891 plasma-runner-installer            	       0        9        1        0        8
53892 plasma-runner-telepathy-contact    	       0        8        3        0        5
53893 plasma-scriptengine-googlegadgets  	       0        1        1        0        0
53894 plasma-scriptengine-javascript     	       0       40       40        0        0
53895 plasma-scriptengine-python         	       0        2        2        0        0
53896 plasma-scriptengine-qedje          	       0        1        1        0        0
53897 plasma-scriptengine-ruby           	       0        3        0        0        3
53898 plasma-scriptengine-superkaramba   	       0        4        4        0        0
53899 plasma-scriptengine-webkit         	       0        5        5        0        0
53900 plasma-scriptengines               	       0        2        0        0        2
53901 plasma-theme-oxygen                	       0       40        0        0       40
53902 plasma-wallpapers-addons           	       0      494       16        1      477
53903 plasma-wayland-protocols           	       0       13        0        0       13
53904 plasma-widget-networkmanagement    	       0        1        0        0        1
53905 plasma-widget-x2go                 	       0        1        0        0        1
53906 plasma-workspace-dev               	       0        9        8        1        0
53907 plasma-workspace-doc               	       0       29        0        0       29
53908 plasma-workspace-wallpapers        	       0       59        0        0       59
53909 plasmidomics                       	       0        1        1        0        0
53910 plaso                              	       0       14        1        0       13
53911 plast                              	       0        1        1        0        0
53912 plastex                            	       0        3        3        0        0
53913 plastic                            	       0        1        1        0        0
53914 plastimatch                        	       0        2        2        0        0
53915 plater                             	       0        6        6        0        0
53916 platformio                         	       0        1        1        0        0
53917 platformio-doc                     	       0        1        0        0        1
53918 play.it                            	       0        1        1        0        0
53919 playdeb                            	       0        1        0        0        1
53920 playit                             	       0        2        1        1        0
53921 playitslowly                       	       0        2        2        0        0
53922 plc-utils                          	       0        1        1        0        0
53923 plee-the-bear                      	       0        4        4        0        0
53924 plee-the-bear-data                 	       0        4        0        0        4
53925 plextor-tool                       	       0        1        1        0        0
53926 plink                              	       0        2        2        0        0
53927 plink1.9                           	       0        1        1        0        0
53928 ploop                              	       0        1        1        0        0
53929 plopfolio.app                      	       0        1        1        0        0
53930 plotdrop                           	       0        1        1        0        0
53931 ploticus                           	       0        3        3        0        0
53932 plotnetcfg                         	       0        1        1        0        0
53933 plou                               	       0        1        1        0        0
53934 plover                             	       0        2        2        0        0
53935 plowshare                          	       0        2        2        0        0
53936 plowshare-modules                  	       0        1        0        0        1
53937 plowshare4                         	       0        1        0        0        1
53938 plplot-doc                         	       0        2        0        0        2
53939 plplot-driver-cairo                	       0        4        0        0        4
53940 plplot-driver-qt                   	       0        5        0        0        5
53941 plplot-driver-wxwidgets            	       0        5        0        0        5
53942 plplot-driver-xwin                 	       0        6        0        0        6
53943 plplot-examples                    	       0        1        1        0        0
53944 plplot-tcl                         	       0        4        0        0        4
53945 plplot-tcl-bin                     	       0        4        4        0        0
53946 plplot-tcl-dev                     	       0        2        2        0        0
53947 plptools                           	       0        3        3        0        0
53948 pluginlib-dev                      	       0        1        0        0        1
53949 pluma-dev                          	       0        1        1        0        0
53950 pluma-doc                          	       0        7        0        0        7
53951 pluma-plugin-synctex               	       0        1        0        0        1
53952 pluma-plugins-common               	       0        1        0        0        1
53953 plume-creator                      	       0        4        4        0        0
53954 pluto-keyring                      	       0        1        0        0        1
53955 plutonia-wad                       	       0        3        0        0        3
53956 plymouth-label                     	       0       31        0        0       31
53957 plymouth-theme-breeze              	       0       14        0        0       14
53958 plymouth-theme-hamara              	       0        2        0        0        2
53959 plymouth-themes                    	       0       29        0        0       29
53960 plymouth-x11                       	       0        6        0        0        6
53961 pmailq                             	       0        1        1        0        0
53962 pmars                              	       0        2        2        0        0
53963 pmbootstrap                        	       0        1        1        0        0
53964 pmccabe                            	       0        7        7        0        0
53965 pms                                	       0        3        3        0        0
53966 pmtools                            	       0        2        2        0        0
53967 pmw                                	       0        1        1        0        0
53968 pmx                                	       0        2        1        0        1
53969 pnc                                	       0        2        2        0        0
53970 png-definitive-guide               	       0       15        0        0       15
53971 png22pnm                           	       0        1        1        0        0
53972 png23d                             	       0        5        5        0        0
53973 png2html                           	       0        5        5        0        0
53974 png2pdf                            	       0        1        1        0        0
53975 pngmcposterize                     	       0        1        1        0        0
53976 pngnq-s9                           	       0        1        1        0        0
53977 pngoptimizer                       	       0        1        1        0        0
53978 pngout                             	       0        1        1        0        0
53979 pngphoon                           	       0        5        5        0        0
53980 pngquadtree                        	       0        1        1        0        0
53981 pngtools                           	       0       19       19        0        0
53982 pnm2ppa                            	       0        6        0        0        6
53983 pnmtodjvurle                       	       0        1        1        0        0
53984 pnp.ids                            	       0       14        0        0       14
53985 pnp4nagios                         	       0        1        0        0        1
53986 pnp4nagios-web-config-nagios3      	       0        1        1        0        0
53987 pnpbios-tools                      	       0        1        0        0        1
53988 pnputils                           	       0        1        1        0        0
53989 po4a                               	       0       27       27        0        0
53990 po4a-build-deps                    	       0        2        0        0        2
53991 poa                                	       0        3        3        0        0
53992 poc-streamer                       	       0        3        3        0        0
53993 pocketsphinx-en-us                 	       0     2825        0        0     2825
53994 pocketsphinx-testdata              	       0        1        0        0        1
53995 pocl-doc                           	       0        1        0        0        1
53996 pocl-opencl-icd                    	       0       53        0        0       53
53997 pod2pandoc                         	       0        3        3        0        0
53998 pod2pdf                            	       0        3        3        0        0
53999 podman-desktop-companion           	       0        1        0        0        1
54000 podman-remote                      	       0        1        0        1        0
54001 podman-toolbox                     	       0        3        3        0        0
54002 podpisgov                          	       0        1        1        0        0
54003 podsleuth                          	       0        1        1        0        0
54004 poe.app                            	       0        1        1        0        0
54005 poedit                             	       0       14       13        1        0
54006 poedit-common                      	       0       14        0        0       14
54007 poezio                             	       0        1        1        0        0
54008 poke                               	       0        2        0        2        0
54009 poke-elf                           	       0        2        0        0        2
54010 pokerth                            	       0       16       16        0        0
54011 pokerth-data                       	       0       17        0        0       17
54012 polari                             	       0       14       14        0        0
54013 policy-rcd-declarative             	       0        1        1        0        0
54014 policy-rcd-declarative-deny-all    	       0        1        0        0        1
54015 policycoreutils-dbus               	       0        1        0        0        1
54016 policycoreutils-dev                	       0        4        3        1        0
54017 policycoreutils-gui                	       0        1        1        0        0
54018 policycoreutils-python-utils       	       0        4        3        1        0
54019 policykit                          	       0        1        1        0        0
54020 policykit-1-dbgsym                 	       0        1        1        0        0
54021 policykit-1-doc                    	       0       16        0        0       16
54022 policykit-1-gnome-dbgsym           	       0        1        1        0        0
54023 policykit-gnome                    	       0        1        1        0        0
54024 polychromatic                      	       0        2        0        0        2
54025 polychromatic-cli                  	       0        2        2        0        0
54026 polychromatic-controller           	       0        2        2        0        0
54027 polygen                            	       0        6        6        0        0
54028 polygen-data                       	       0        6        6        0        0
54029 polyglot-linear-a                  	       0        1        1        0        0
54030 polylib-utils                      	       0        1        1        0        0
54031 polymake                           	       0        3        3        0        0
54032 polymake-common                    	       0        3        3        0        0
54033 polyml                             	       0        2        2        0        0
54034 polyml-modules                     	       0        2        0        0        2
54035 polyorb-servers                    	       0        1        1        0        0
54036 polyphone                          	       0        7        7        0        0
54037 pommed                             	       0        2        2        0        0
54038 pomodoro-logger                    	       0        1        1        0        0
54039 pong2                              	       0        7        7        0        0
54040 poorman-ids                        	       0        1        1        0        0
54041 popa3d                             	       0        1        1        0        0
54042 popcorn-time                       	       0        2        2        0        0
54043 populations                        	       0        1        1        0        0
54044 poretools                          	       0        1        1        0        0
54045 porg                               	       0        3        3        0        0
54046 portaudio19-doc                    	       0        1        1        0        0
54047 portmap                            	       0        1        1        0        0
54048 portmaster                         	       0        1        0        0        1
54049 portofino                          	       0        1        0        0        1
54050 portproton                         	       0        2        2        0        0
54051 posh                               	       0        4        4        0        0
54052 positron                           	       0        1        1        0        0
54053 posixtestsuite                     	       0        1        0        0        1
54054 post-el                            	       0        1        1        0        0
54055 postal                             	       0        1        1        0        0
54056 postbird                           	       0        1        0        0        1
54057 postfix-doc                        	       0       36        0        0       36
54058 postfix-ldap                       	       0        9        9        0        0
54059 postfix-lmdb                       	       0        4        4        0        0
54060 postfwd                            	       0        1        1        0        0
54061 postgis-doc                        	       0       21        0        0       21
54062 postgis-gui                        	       0        1        1        0        0
54063 postgrespro-1c-13                  	       0        1        0        0        1
54064 postgrespro-1c-13-contrib          	       0        1        1        0        0
54065 postgrespro-1c-13-libs             	       0        1        0        0        1
54066 postgresql                         	       0      185        0        0      185
54067 postgresql-10-postgis-2.4          	       0        1        1        0        0
54068 postgresql-10-postgis-2.4-scripts  	       0        1        0        0        1
54069 postgresql-11-postgis-2.5-scripts  	       0        4        0        0        4
54070 postgresql-11-repmgr               	       0        1        1        0        0
54071 postgresql-11-rum                  	       0        1        1        0        0
54072 postgresql-11-slony1-2             	       0        1        1        0        0
54073 postgresql-12-ip4r                 	       0        1        1        0        0
54074 postgresql-12-postgis-3            	       0        1        1        0        0
54075 postgresql-12-postgis-3-scripts    	       0        1        0        0        1
54076 postgresql-13-cron                 	       0        1        1        0        0
54077 postgresql-13-postgis-3            	       0        7        7        0        0
54078 postgresql-13-postgis-3-scripts    	       0        7        0        0        7
54079 postgresql-13-repmgr               	       0        1        1        0        0
54080 postgresql-13-slony1-2             	       0        1        1        0        0
54081 postgresql-14-debversion           	       0        1        1        0        0
54082 postgresql-14-first-last-agg       	       0        1        1        0        0
54083 postgresql-14-ip4r                 	       0        1        1        0        0
54084 postgresql-14-mimeo                	       0        1        1        0        0
54085 postgresql-14-mysql-fdw            	       0        1        1        0        0
54086 postgresql-14-numeral              	       0        1        1        0        0
54087 postgresql-14-orafce               	       0        1        1        0        0
54088 postgresql-14-pgrouting            	       0        1        1        0        0
54089 postgresql-14-pgrouting-doc        	       0        1        0        0        1
54090 postgresql-14-pgrouting-scripts    	       0        1        0        0        1
54091 postgresql-14-pldebugger           	       0        1        1        0        0
54092 postgresql-14-postgis-3            	       0        2        2        0        0
54093 postgresql-14-postgis-3-dbgsym     	       0        1        1        0        0
54094 postgresql-14-postgis-3-scripts    	       0        2        0        0        2
54095 postgresql-14-rum                  	       0        1        1        0        0
54096 postgresql-15-ip4r                 	       0        1        1        0        0
54097 postgresql-15-jsquery              	       0        1        1        0        0
54098 postgresql-15-plpgsql-check        	       0        1        1        0        0
54099 postgresql-15-postgis-3-scripts    	       0        9        0        0        9
54100 postgresql-15-repmgr               	       0        1        1        0        0
54101 postgresql-15-slony1-2             	       0        1        1        0        0
54102 postgresql-16-auto-failover        	       0        1        1        0        0
54103 postgresql-16-timescaledb          	       0        1        1        0        0
54104 postgresql-17-pgvector             	       0        1        1        0        0
54105 postgresql-17-postgis-3            	       0        2        2        0        0
54106 postgresql-17-postgis-3-scripts    	       0        2        0        0        2
54107 postgresql-9.3                     	       0        2        2        0        0
54108 postgresql-9.4-postgis-scripts     	       0        1        0        0        1
54109 postgresql-9.6-postgis-2.3         	       0        2        2        0        0
54110 postgresql-9.6-postgis-2.3-scripts 	       0        2        0        0        2
54111 postgresql-9.6-preprepare          	       0        1        1        0        0
54112 postgresql-all                     	       0        5        0        0        5
54113 postgresql-autodoc                 	       0        4        4        0        0
54114 postgresql-client                  	       0       90        0        0       90
54115 postgresql-client-8.3              	       0        1        1        0        0
54116 postgresql-client-8.4              	       0        2        2        0        0
54117 postgresql-client-9.3              	       0        2        2        0        0
54118 postgresql-contrib                 	       0       32        0        0       32
54119 postgresql-contrib-8.4             	       0        1        1        0        0
54120 postgresql-contrib-9.1             	       0        2        2        0        0
54121 postgresql-doc                     	       0       25        0        0       25
54122 postgresql-doc-10                  	       0        1        0        0        1
54123 postgresql-doc-11                  	       0        7        0        0        7
54124 postgresql-doc-13                  	       0       13        0        0       13
54125 postgresql-doc-15                  	       0       20        0        0       20
54126 postgresql-doc-16                  	       0        5        0        0        5
54127 postgresql-doc-17                  	       0        5        0        0        5
54128 postgresql-doc-9.1                 	       0        3        0        0        3
54129 postgresql-doc-9.4                 	       0        5        0        0        5
54130 postgresql-doc-9.6                 	       0        8        0        0        8
54131 postgresql-filedump                	       0        3        3        0        0
54132 postgresql-filedump-8.3            	       0        1        1        0        0
54133 postgresql-pgsphere                	       0        2        2        0        0
54134 postgresql-plperl-12               	       0        1        1        0        0
54135 postgresql-plperl-13               	       0        5        5        0        0
54136 postgresql-plperl-15               	       0        3        2        1        0
54137 postgresql-plperl-9.1              	       0        1        1        0        0
54138 postgresql-plpython-11             	       0        1        1        0        0
54139 postgresql-plpython3-10            	       0        1        1        0        0
54140 postgresql-plpython3-11            	       0        3        3        0        0
54141 postgresql-plpython3-12            	       0        1        1        0        0
54142 postgresql-plpython3-13            	       0        4        4        0        0
54143 postgresql-plpython3-15            	       0        4        3        1        0
54144 postgresql-pltcl-12                	       0        1        1        0        0
54145 postgresql-pltcl-13                	       0        4        4        0        0
54146 postgresql-pltcl-15                	       0        4        3        1        0
54147 postgresql-pltcl-17                	       0        1        0        1        0
54148 postgresql-pltcl-8.4               	       0        1        1        0        0
54149 postgresql-pltcl-9.1               	       0        2        2        0        0
54150 postgresql-pltcl-9.4               	       0        1        1        0        0
54151 postgresql-postgis                 	       0        8        0        0        8
54152 postgresql-postgis-scripts         	       0        9        0        0        9
54153 postgresql-q3c                     	       0        2        2        0        0
54154 postgresql-server-dev-10           	       0        1        1        0        0
54155 postgresql-server-dev-12           	       0        2        2        0        0
54156 postgresql-server-dev-14           	       0        1        1        0        0
54157 postgresql-server-dev-15           	       0        9        8        1        0
54158 postgresql-server-dev-16           	       0        1        1        0        0
54159 postgresql-server-dev-9.4          	       0        1        1        0        0
54160 postgresql-server-dev-9.6          	       0        1        1        0        0
54161 postgresql-server-dev-all          	       0       10        9        1        0
54162 potion                             	       0        1        1        0        0
54163 potool                             	       0        3        3        0        0
54164 povray-doc                         	       0       13        0        0       13
54165 povray-examples                    	       0       16        0        0       16
54166 povray-includes                    	       0       27        0        0       27
54167 powder                             	       0        3        3        0        0
54168 power-calibrate                    	       0        5        5        0        0
54169 powercap-utils                     	       0        4        4        0        0
54170 powerdebug                         	       0        2        2        0        0
54171 powerdevil-dev                     	       0        2        0        0        2
54172 powerkit                           	       0        4        4        0        0
54173 powerline-doc                      	       0        3        0        0        3
54174 powerline-gitstatus                	       0        3        0        0        3
54175 powerline-go                       	       0        2        0        2        0
54176 powerman                           	       0        2        2        0        0
54177 powermanga                         	       0       12       12        0        0
54178 powermanga-data                    	       0       12        0        0       12
54179 powerpc-ibm-utils                  	       0        3        3        0        0
54180 powerpc-utils                      	       0        3        0        0        3
54181 powershell                         	       0       10       10        0        0
54182 powershell-lts                     	       0        1        1        0        0
54183 powershell-preview                 	       0        1        0        1        0
54184 powerstat                          	       0       17       16        1        0
54185 powersupply-gtk                    	       0        1        1        0        0
54186 powertweak                         	       0        1        0        0        1
54187 powertweak-gtk                     	       0        1        1        0        0
54188 poxml                              	       0       44       44        0        0
54189 poxml-trinity                      	       0        1        1        0        0
54190 pp-popularity-contest              	       0        1        1        0        0
54191 ppa-purge                          	       0        1        1        0        0
54192 ppcoin                             	       0        1        1        0        0
54193 ppd-files-dkaes                    	       0        3        0        0        3
54194 ppdfilt                            	       0        9        9        0        0
54195 ppdfilt-dbgsym                     	       0        1        1        0        0
54196 ppl-dev                            	       0        9        9        0        0
54197 ppmdescreen                        	       0        1        1        0        0
54198 ppp-dev                            	       0        7        6        1        0
54199 ppp-gatekeeper                     	       0        1        1        0        0
54200 pppdcapiplugin                     	       0        1        1        0        0
54201 pprompt                            	       0        1        0        0        1
54202 pptpd                              	       0        5        5        0        0
54203 pptview                            	       0        1        1        0        0
54204 pqiv                               	       0       21       21        0        0
54205 pr3287                             	       0        1        1        0        0
54206 praat                              	       0       10       10        0        0
54207 prads                              	       0        2        2        0        0
54208 prank                              	       0        4        4        0        0
54209 praw-doc                           	       0        2        0        0        2
54210 prayer-templates-dev               	       0        1        1        0        0
54211 prayer-templates-src               	       0        1        0        0        1
54212 prboom                             	       0        1        1        0        0
54213 prboom-plus                        	       0       26       10        0       16
54214 prboom-plus-game-server            	       0        4        3        0        1
54215 pre-commit                         	       0        6        5        1        0
54216 predict                            	       0        1        1        0        0
54217 predict-gsat                       	       0        1        1        0        0
54218 predictnls                         	       0        1        1        0        0
54219 prelude-utils                      	       0        4        4        0        0
54220 premail                            	       0        1        1        0        0
54221 premake4                           	       0        5        5        0        0
54222 presage                            	       0       25       25        0        0
54223 presentty                          	       0        1        1        0        0
54224 prevent-networkmanager             	       0        1        0        0        1
54225 prevent-puppet                     	       0        1        0        0        1
54226 prevent-ruby                       	       0        1        0        0        1
54227 prevent-systemd-running            	       0        1        0        0        1
54228 prevent-unattended-upgrades        	       0        1        0        0        1
54229 preview-latex-style                	       0      445        0        0      445
54230 preview.app                        	       0        3        3        0        0
54231 previsat                           	       0        1        1        0        0
54232 prime-phylo                        	       0        1        1        0        0
54233 primecount-bin                     	       0        9        9        0        0
54234 primer3                            	       0        4        4        0        0
54235 primesieve-bin                     	       0       13       13        0        0
54236 primesieve-doc                     	       0        1        0        0        1
54237 primrose                           	       0        8        8        0        0
54238 primus                             	       0       12       12        0        0
54239 primus-libs                        	       0       20        0        0       20
54240 primus-libs-ia32                   	       0        1        0        0        1
54241 primus-nvidia                      	       0        6        0        0        6
54242 primus-vk                          	       0        2        2        0        0
54243 primus-vk-nvidia                   	       0        2        0        0        2
54244 prince                             	       0        1        1        0        0
54245 printconf                          	       0        1        1        0        0
54246 printcore                          	       0        9        9        0        0
54247 printemf                           	       0        1        1        0        0
54248 printer-driver-all                 	       0      562        0        0      562
54249 printer-driver-all-enforce         	       0        4        0        0        4
54250 printfilters-ppd                   	       0        2        2        0        0
54251 printmyfonts-stt                   	       0        2        2        0        0
54252 printop                            	       0        2        2        0        0
54253 printrun                           	       0        7        1        0        6
54254 printrun-common                    	       0       10       10        0        0
54255 prips                              	       0        1        1        0        0
54256 prismstumbler                      	       0        1        1        0        0
54257 pristine-lfs                       	       0        3        3        0        0
54258 pritunl-client-electron            	       0        1        1        0        0
54259 privatenotes                       	       0        1        1        0        0
54260 prl-nettool                        	       0        1        1        0        0
54261 proalign                           	       0        1        1        0        0
54262 probabel                           	       0        1        1        0        0
54263 probalign                          	       0        4        4        0        0
54264 probcons                           	       0        4        4        0        0
54265 procmail-lib                       	       0        4        4        0        0
54266 procmon                            	       0        1        1        0        0
54267 procs                              	       0        1        1        0        0
54268 procserv                           	       0        3        3        0        0
54269 proda                              	       0        4        4        0        0
54270 prodigal                           	       0        1        1        0        0
54271 profanity-light                    	       0        1        1        0        0
54272 profile-sync-daemon                	       0        2        2        0        0
54273 profisis                           	       0        1        1        0        0
54274 profnet-bval                       	       0        1        1        0        0
54275 profnet-chop                       	       0        1        1        0        0
54276 profnet-con                        	       0        1        1        0        0
54277 profnet-isis                       	       0        1        1        0        0
54278 profnet-md                         	       0        1        1        0        0
54279 profnet-norsnet                    	       0        1        1        0        0
54280 profnet-prof                       	       0        1        1        0        0
54281 profnet-snapfun                    	       0        1        1        0        0
54282 profphd                            	       0        1        1        0        0
54283 profphd-net                        	       0        1        1        0        0
54284 profphd-utils                      	       0        1        1        0        0
54285 proftmb                            	       0        1        1        0        0
54286 proftpd-doc                        	       0       33        0        0       33
54287 proftpd-mod-clamav                 	       0        1        0        1        0
54288 proftpd-mod-geoip                  	       0        2        2        0        0
54289 proftpd-mod-ldap                   	       0        2        2        0        0
54290 proftpd-mod-mysql                  	       0        3        3        0        0
54291 proftpd-mod-odbc                   	       0        1        1        0        0
54292 proftpd-mod-pgsql                  	       0        2        2        0        0
54293 proftpd-mod-snmp                   	       0        1        1        0        0
54294 proftpd-mod-sqlite                 	       0        1        1        0        0
54295 proguard                           	       0        1        0        0        1
54296 proguard-gui                       	       0        3        3        0        0
54297 progvis                            	       0        1        1        0        0
54298 progvis-examples                   	       0        1        0        0        1
54299 proj                               	       0        2        0        0        2
54300 proj-data                          	       0      718        0        0      718
54301 proj-ps-doc                        	       0        1        0        0        1
54302 projectcenter.app                  	       0        1        1        0        0
54303 projectl                           	       0        1        1        0        0
54304 projectlibre                       	       0        3        3        0        0
54305 projectm-data                      	       0       52        0        0       52
54306 projectm-jack                      	       0       11       11        0        0
54307 projectm-sdl                       	       0        4        4        0        0
54308 proll                              	       0        2        0        0        2
54309 prometheus-apache-exporter         	       0        1        1        0        0
54310 prometheus-bind-exporter           	       0        1        1        0        0
54311 prometheus-nginx-exporter          	       0        1        1        0        0
54312 prometheus-node-exporter-collectors	       0        5        5        0        0
54313 prometheus-postgres-exporter       	       0        2        2        0        0
54314 promoe                             	       0        1        1        0        0
54315 pronsole                           	       0        9        9        0        0
54316 pronterface                        	       0        8        8        0        0
54317 proofgeneral                       	       0        1        1        0        0
54318 propaganda-debian                  	       0        2        0        0        2
54319 prosody-modules                    	       0        1        1        0        0
54320 prosper                            	       0       26        0        0       26
54321 proteinortho                       	       0        1        1        0        0
54322 protobuf-compiler-grpc             	       0        4        4        0        0
54323 protobuf-compiler-grpc-java-plugin 	       0        2        2        0        0
54324 protoc-gen-go                      	       0        1        1        0        0
54325 proton-caller                      	       0        9        9        0        0
54326 proton-mail                        	       0        5        5        0        0
54327 proton-vpn-gnome-desktop           	       0       14        0        0       14
54328 protonmail-import-export-app       	       0        1        1        0        0
54329 protonvpn                          	       0        3        0        0        3
54330 protonvpn-cli                      	       0        4        4        0        0
54331 protonvpn-gui                      	       0        2        2        0        0
54332 protonvpn-stable-release           	       0       29        0        0       29
54333 protracker                         	       0        2        0        0        2
54334 protrekkr                          	       0        2        2        0        0
54335 prottest                           	       0        1        1        0        0
54336 prover9                            	       0        3        3        0        0
54337 proxmox-archive-keyring            	       0        1        0        0        1
54338 proxmox-auto-install-assistant     	       0        1        1        0        0
54339 proxmox-backup-client              	       0        3        3        0        0
54340 proxmox-backup-restore-image       	       0        1        0        0        1
54341 proxsmtp                           	       0        1        1        0        0
54342 proxychains4                       	       0        9        9        0        0
54343 proxycheck                         	       0        3        3        0        0
54344 prt                                	       0        1        1        0        0
54345 prusa-slicer-dbgsym                	       0        1        1        0        0
54346 psad                               	       0        3        3        0        0
54347 psallinux                          	       0        1        1        0        0
54348 psautohint                         	       0        2        2        0        0
54349 psbasic                            	       0        5        5        0        0
54350 pscan                              	       0        1        1        0        0
54351 psensor-common                     	       0       77        2        0       75
54352 pseudo                             	       0        4        4        0        0
54353 psf-unifont                        	       0      115        0        0      115
54354 psfex                              	       0        2        2        0        0
54355 psfontmgr                          	       0        1        1        0        0
54356 psi-l10n                           	       0       20        1        0       19
54357 psi-plugins                        	       0        4        4        0        0
54358 psi-plus-common                    	       0       16        0        0       16
54359 psi-plus-l10n                      	       0       14        0        0       14
54360 psi-plus-plugins                   	       0       16       16        0        0
54361 psi-plus-skins                     	       0        6        0        0        6
54362 psi-plus-sounds                    	       0       15        0        0       15
54363 psi-plus-webkit                    	       0        4        4        0        0
54364 psi-translations                   	       0        1        0        0        1
54365 psi3                               	       0        2        2        0        0
54366 psi4                               	       0        1        1        0        0
54367 psi4-data                          	       0        1        0        0        1
54368 psignifit                          	       0        2        2        0        0
54369 psk31lx                            	       0        6        6        0        0
54370 pskc-utils                         	       0        1        1        0        0
54371 pskctool                           	       0        1        1        0        0
54372 psl                                	       0        2        2        0        0
54373 psl-make-dafsa                     	       0        1        1        0        0
54374 pslib-dev                          	       0        3        3        0        0
54375 pslib1                             	       0        8        0        0        8
54376 pspp                               	       0       10       10        0        0
54377 pstack                             	       0        5        5        0        0
54378 psychopy                           	       0        1        1        0        0
54379 psychtoolbox-3-common              	       0        3        3        0        0
54380 psychtoolbox-3-lib                 	       0        4        3        1        0
54381 pt2-clone                          	       0        5        5        0        0
54382 pt2-clone-dbgsym                   	       0        1        1        0        0
54383 ptask                              	       0        1        1        0        0
54384 ptop                               	       0        1        0        0        1
54385 ptpd                               	       0        3        3        0        0
54386 ptpython                           	       0        1        1        0        0
54387 ptscotch                           	       0        1        1        0        0
54388 ptunnel                            	       0        3        2        1        0
54389 ptxdist-1.99.13-common             	       0        1        0        0        1
54390 pub2odg                            	       0        2        2        0        0
54391 publib-dev                         	       0        1        1        0        0
54392 publican                           	       0        2        2        0        0
54393 publican-debian                    	       0        2        0        0        2
54394 publii                             	       0        2        2        0        0
54395 puf                                	       0        4        4        0        0
54396 pugixml-doc                        	       0        1        0        0        1
54397 pulldown-cmark                     	       0        1        1        0        0
54398 pulsar                             	       0       10       10        0        0
54399 pulse                              	       0        1        0        0        1
54400 pulseaudio-build-deps              	       0        1        0        0        1
54401 pulseaudio-dbgsym                  	       0        1        1        0        0
54402 pulseaudio-dlna                    	       0        7        7        0        0
54403 pulseaudio-module-bluetooth-dbgsym 	       0        1        1        0        0
54404 pulseaudio-module-lirc             	       0       12       12        0        0
54405 pulseaudio-module-raop             	       0        7        7        0        0
54406 pulseaudio-utils-dbgsym            	       0        1        1        0        0
54407 pulseview                          	       0       25       25        0        0
54408 puma                               	       0        8        7        1        0
54409 pump                               	       0        3        3        0        0
54410 pup                                	       0        2        2        0        0
54411 puppet-agent                       	       0       18       18        0        0
54412 puppet-common                      	       0       12       10        0        2
54413 puppet-lint                        	       0        2        2        0        0
54414 puppet-master                      	       0        1        0        0        1
54415 puppet-module-heat                 	       0        1        1        0        0
54416 puppet-module-keystone             	       0        1        1        0        0
54417 puppet-module-nanliu-staging       	       0        1        1        0        0
54418 puppet-module-openstacklib         	       0        1        1        0        0
54419 puppet-module-oslo                 	       0        1        1        0        0
54420 puppet-module-puppetlabs-apache    	       0        1        1        0        0
54421 puppet-module-puppetlabs-apt       	       0        1        1        0        0
54422 puppet-module-puppetlabs-augeas-core	       0        1        1        0        0
54423 puppet-module-puppetlabs-concat    	       0        1        1        0        0
54424 puppet-module-puppetlabs-cron-core 	       0        1        1        0        0
54425 puppet-module-puppetlabs-host-core 	       0        1        1        0        0
54426 puppet-module-puppetlabs-inifile   	       0        1        1        0        0
54427 puppet-module-puppetlabs-mount-core	       0        1        1        0        0
54428 puppet-module-puppetlabs-mysql     	       0        1        1        0        0
54429 puppet-module-puppetlabs-postgresql	       0        1        1        0        0
54430 puppet-module-puppetlabs-rabbitmq  	       0        1        1        0        0
54431 puppet-module-puppetlabs-selinux-core	       0        1        1        0        0
54432 puppet-module-puppetlabs-sshkeys-core	       0        1        1        0        0
54433 puppet-module-puppetlabs-stdlib    	       0        1        1        0        0
54434 puppet-terminus-puppetdb           	       0        1        1        0        0
54435 puppetserver                       	       0        1        1        0        0
54436 pure-ftpd-ldap                     	       0        1        1        0        0
54437 puredata                           	       0       20        0        0       20
54438 puredata-common                    	       0        3        3        0        0
54439 puredata-core                      	       0       21       21        0        0
54440 puredata-dev                       	       0       20       20        0        0
54441 puredata-doc                       	       0       20        0        0       20
54442 puredata-extra                     	       0       20       20        0        0
54443 puredata-gui                       	       0       20       20        0        0
54444 puredata-gui-l10n                  	       0       19       19        0        0
54445 puredata-import                    	       0        9        9        0        0
54446 puredata-utils                     	       0       20       20        0        0
54447 purify                             	       0        2        2        0        0
54448 purity                             	       0        4        4        0        0
54449 purity-ng                          	       0        1        1        0        0
54450 purity-off                         	       0        1        0        0        1
54451 purple-facebook                    	       0        3        2        0        1
54452 purple-libsteam                    	       0        1        1        0        0
54453 purple-libsteam-build-deps         	       0        1        0        0        1
54454 purple-matrix                      	       0        3        3        0        0
54455 pushover                           	       0        5        5        0        0
54456 pushover-data                      	       0        5        0        0        5
54457 putty-doc                          	       0       12        0        0       12
54458 puzzle-jigsaw                      	       0        4        4        0        0
54459 pv-grub-menu                       	       0        1        1        0        0
54460 pvm                                	       0        8        8        0        0
54461 pvrg-jpeg                          	       0        9        8        1        0
54462 pwauth                             	       0        9        9        0        0
54463 pwm                                	       0        1        1        0        0
54464 pwndbg                             	       0        1        1        0        0
54465 pwr60                              	       0        1        1        0        0
54466 pwrdemo60                          	       0        1        1        0        0
54467 pwrrt                              	       0        1        1        0        0
54468 pxelinux                           	       0       20       20        0        0
54469 pxfw                               	       0        3        3        0        0
54470 pxlib-dev                          	       0        4        4        0        0
54471 pxlib1                             	       0      137        0        0      137
54472 pxlinux                            	       0        1        1        0        0
54473 pxsl-tools                         	       0        1        1        0        0
54474 py-cpuinfo                         	       0        2        2        0        0
54475 pybik                              	       0       11       11        0        0
54476 pybik-bin                          	       0       11       11        0        0
54477 pybind11-doc                       	       0        1        0        0        1
54478 pybootchartgui                     	       0        1        1        0        0
54479 pybtex                             	       0        2        2        0        0
54480 pybuild-plugin-pyproject           	       0        5        0        0        5
54481 pycadf-common                      	       0        5        0        0        5
54482 pycharm-community                  	       0        1        1        0        0
54483 pychess                            	       0       13       13        0        0
54484 pycode-browser                     	       0        2        2        0        0
54485 pyconfigure                        	       0        1        1        0        0
54486 pycorrfit                          	       0        1        1        0        0
54487 pydevd                             	       0        1        1        0        0
54488 pydocstyle                         	       0        3        3        0        0
54489 pydoctor                           	       0        2        2        0        0
54490 pyecm                              	       0        1        1        0        0
54491 pyensembl                          	       0        1        1        0        0
54492 pyenv                              	       0        1        0        1        0
54493 pyflakes                           	       0        3        3        0        0
54494 pyformex-extra                     	       0        1        0        0        1
54495 pygame-build-deps-depends          	       0        1        0        0        1
54496 pygopherd                          	       0        1        1        0        0
54497 pyhoca-gui                         	       0        3        3        0        0
54498 pyjoke                             	       0        1        1        0        0
54499 pykaraoke                          	       0        1        1        0        0
54500 pykaraoke-bin                      	       0        1        1        0        0
54501 pykml                              	       0        3        3        0        0
54502 pykolab                            	       0        1        1        0        0
54503 pylama                             	       0        3        3        0        0
54504 pyliblo-utils                      	       0        3        3        0        0
54505 pylint-doc                         	       0        9        0        0        9
54506 pylint3                            	       0       10        3        0        7
54507 pymacs                             	       0        1        1        0        0
54508 pymca-data                         	       0        2        0        0        2
54509 pymissile                          	       0        1        1        0        0
54510 pymoctool                          	       0        1        1        0        0
54511 pymol-data                         	       0        9        0        0        9
54512 pympress                           	       0        1        1        0        0
54513 pynag                              	       0        1        1        0        0
54514 pynagram                           	       0        1        1        0        0
54515 pyneighborhood                     	       0        1        1        0        0
54516 pyosmium                           	       0        1        1        0        0
54517 pyotherside-doc                    	       0        1        0        0        1
54518 pyotherside-tests                  	       0        2        0        0        2
54519 pypi2deb                           	       0        2        2        0        0
54520 pyppd                              	       0        1        1        0        0
54521 pypy-asn1crypto                    	       0        1        1        0        0
54522 pypy-dev                           	       0        3        3        0        0
54523 pypy-doc                           	       0        2        0        0        2
54524 pypy-lib-testsuite                 	       0        2        2        0        0
54525 pypy-pkg-resources                 	       0        3        3        0        0
54526 pypy-setuptools                    	       0        2        2        0        0
54527 pypy-simplejson                    	       0        1        1        0        0
54528 pypy-six                           	       0        2        2        0        0
54529 pypy-stem                          	       0        1        1        0        0
54530 pypy-tk                            	       0        3        3        0        0
54531 pypy3-dev                          	       0        3        3        0        0
54532 pypy3-doc                          	       0        1        0        0        1
54533 pypy3-lib                          	       0       13       12        1        0
54534 pypy3-tk                           	       0        4        3        1        0
54535 pypy3-venv                         	       0        1        0        0        1
54536 pyqi                               	       0        2        2        0        0
54537 pyqso                              	       0        6        6        0        0
54538 pyqt-builder-doc                   	       0        2        0        0        2
54539 pyqt4-dev-tools                    	       0        1        1        0        0
54540 pyqt5-dev                          	       0       25       22        1        2
54541 pyqt5-doc                          	       0        3        0        0        3
54542 pyqt5-examples                     	       0        4        0        0        4
54543 pyqt5.qsci-dev                     	       0        8        7        0        1
54544 pyqt5chart-dev                     	       0        2        2        0        0
54545 pyqt6-charts-dev                   	       0        2        2        0        0
54546 pyqt6-dev                          	       0        3        3        0        0
54547 pyqt6-examples                     	       0        2        0        0        2
54548 pyqt6-webengine-dev                	       0        3        3        0        0
54549 pyqt6.qsci-dev                     	       0        3        3        0        0
54550 pyracerz                           	       0        2        2        0        0
54551 pyro4                              	       0        1        0        0        1
54552 pyro4-doc                          	       0        1        0        0        1
54553 pysassc                            	       0        6        6        0        0
54554 pysatellites                       	       0        1        1        0        0
54555 pysdl2-doc                         	       0        2        0        0        2
54556 pyside-tools                       	       0        7        7        0        0
54557 pyside2-tools                      	       0        7        7        0        0
54558 pysolfc-cardsets                   	       0        4        0        0        4
54559 pysph-viewer                       	       0        1        1        0        0
54560 pysubs2                            	       0        1        1        0        0
54561 pysycache                          	       0        2        2        0        0
54562 pysycache-buttons-ice              	       0        2        0        0        2
54563 pysycache-click-dinosaurs          	       0        2        0        0        2
54564 pysycache-dblclick-appleandpear    	       0        2        0        0        2
54565 pysycache-i18n                     	       0        2        0        0        2
54566 pysycache-images                   	       0        2        0        0        2
54567 pysycache-move-animals             	       0        2        0        0        2
54568 pysycache-puzzle-cartoons          	       0        2        0        0        2
54569 pysycache-sounds                   	       0        2        0        0        2
54570 pytagsfs                           	       0        1        1        0        0
54571 pytdeextensions-trinity            	       0        2        2        0        0
54572 pytest-benchmark                   	       0        1        1        0        0
54573 python-acme                        	       0        4        4        0        0
54574 python-acme-doc                    	       0        2        0        0        2
54575 python-acoustid                    	       0        1        1        0        0
54576 python-aioeventlet                 	       0        1        1        0        0
54577 python-aiorpcx-doc                 	       0        1        0        0        1
54578 python-aiosqlite-doc               	       0        1        0        0        1
54579 python-alabaster                   	       0        9        9        0        0
54580 python-alembic                     	       0        1        1        0        0
54581 python-all                         	       0       62        0        0       62
54582 python-all-dbg                     	       0        4        0        0        4
54583 python-all-dev                     	       0       51        0        0       51
54584 python-alsaaudio                   	       0        6        6        0        0
54585 python-amqp                        	       0        1        1        0        0
54586 python-amqp-doc                    	       0        1        0        0        1
54587 python-amqplib                     	       0        1        1        0        0
54588 python-ansible-runner-doc          	       0        1        0        0        1
54589 python-antlr                       	       0       11       11        0        0
54590 python-anyjson                     	       0        1        1        0        0
54591 python-anyqt-doc                   	       0        1        0        0        1
54592 python-aodh                        	       0        1        1        0        0
54593 python-aodhclient                  	       0        1        1        0        0
54594 python-apipkg                      	       0        1        1        0        0
54595 python-appdirs                     	       0        1        1        0        0
54596 python-appindicator                	       0        3        3        0        0
54597 python-application                 	       0        1        1        0        0
54598 python-apptools                    	       0        1        1        0        0
54599 python-apptools-doc                	       0        1        0        0        1
54600 python-apsw                        	       0       20       20        0        0
54601 python-apsw-doc                    	       0        4        0        0        4
54602 python-apt-common                  	       0     3966        0        0     3966
54603 python-apt-common-devuan           	       0     1597        0        0     1597
54604 python-apt-dev                     	       0        1        1        0        0
54605 python-apt-doc                     	       0        6        0        0        6
54606 python-aptdaemon                   	       0        2        2        0        0
54607 python-aptdaemon-gtk               	       0        1        0        0        1
54608 python-aptdaemon.gtk3widgets       	       0        2        2        0        0
54609 python-aptdaemon.gtkwidgets        	       0        1        1        0        0
54610 python-ara-doc                     	       0        1        0        0        1
54611 python-argcomplete                 	       0        3        3        0        0
54612 python-argparse                    	       0        1        1        0        0
54613 python-artifacts                   	       0        1        1        0        0
54614 python-astroid                     	       0        4        4        0        0
54615 python-astrometry                  	       0        1        1        0        0
54616 python-astroplan-doc               	       0        1        0        0        1
54617 python-astropy                     	       0        1        1        0        0
54618 python-astropy-doc                 	       0        1        0        0        1
54619 python-astroquery-doc              	       0        1        0        0        1
54620 python-asyncssh-doc                	       0        1        0        0        1
54621 python-attr-doc                    	       0        6        0        0        6
54622 python-audioread                   	       0        1        1        0        0
54623 python-audit                       	       0        1        1        0        0
54624 python-augeas                      	       0        1        1        0        0
54625 python-autobahn                    	       0        2        2        0        0
54626 python-automaton                   	       0        1        1        0        0
54627 python-avogadro                    	       0        1        1        0        0
54628 python-axiom                       	       0        1        1        0        0
54629 python-babel                       	       0       12       12        0        0
54630 python-babel-localedata            	       0      521        0        0      521
54631 python-backports-shutil-get-terminal-size	       0        6        6        0        0
54632 python-backports.os                	       0        1        1        0        0
54633 python-backports.ssl-match-hostname	       0        1        1        0        0
54634 python-barbicanclient              	       0        1        1        0        0
54635 python-bcrypt                      	       0       17       17        0        0
54636 python-beaker                      	       0        3        3        0        0
54637 python-beautifulsoup               	       0       11       11        0        0
54638 python-bibtex                      	       0        1        1        0        0
54639 python-bibtexparser                	       0        1        1        0        0
54640 python-biopython-doc               	       0        2        0        0        2
54641 python-biplist                     	       0        1        1        0        0
54642 python-bitarray                    	       0        1        1        0        0
54643 python-bittorrent                  	       0        5        5        0        0
54644 python-black-doc                   	       0        2        0        0        2
54645 python-bleach                      	       0        1        1        0        0
54646 python-bleach-doc                  	       0        1        0        0        1
54647 python-blinker-doc                 	       0        7        0        0        7
54648 python-blosc-doc                   	       0        1        0        0        1
54649 python-bluez                       	       0        8        8        0        0
54650 python-bobo                        	       0        1        1        0        0
54651 python-boto                        	       0        3        3        0        0
54652 python-bottle                      	       0        1        1        0        0
54653 python-bottleneck-doc              	       0        2        0        0        2
54654 python-brial                       	       0        1        1        0        0
54655 python-brlapi                      	       0        2        2        0        0
54656 python-bs4-doc                     	       0        4        0        0        4
54657 python-bugbuddy                    	       0        1        0        0        1
54658 python-bz2file                     	       0        1        1        0        0
54659 python-cached-property             	       0        1        1        0        0
54660 python-cachetools                  	       0        1        1        0        0
54661 python-cairo-dev                   	       0        1        1        0        0
54662 python-cairocffi                   	       0        3        3        0        0
54663 python-cairocffi-doc               	       0        7        0        0        7
54664 python-cairosvg                    	       0        1        1        0        0
54665 python-caja                        	       0        3        0        0        3
54666 python-caja-common                 	       0       93        0        0       93
54667 python-caldav                      	       0        1        1        0        0
54668 python-canmatrix                   	       0        1        1        0        0
54669 python-cartopy-data                	       0       11        0        0       11
54670 python-castellan                   	       0        1        1        0        0
54671 python-cbor                        	       0        2        2        0        0
54672 python-cddb                        	       0       16       16        0        0
54673 python-ceilometerclient            	       0        1        1        0        0
54674 python-celementtree                	       0        1        1        0        0
54675 python-celery-common               	       0        1        0        0        1
54676 python-ceph                        	       0        1        0        0        1
54677 python-cephfs                      	       0        2        2        0        0
54678 python-cerealizer                  	       0        2        2        0        0
54679 python-certbot                     	       0        4        4        0        0
54680 python-certbot-apache              	       0       27        0        0       27
54681 python-certbot-apache-doc          	       0        2        0        0        2
54682 python-certbot-dns-rfc2136-doc     	       0        1        0        0        1
54683 python-certbot-doc                 	       0        5        0        0        5
54684 python-certbot-nginx               	       0        7        1        0        6
54685 python-cfflib                      	       0        1        1        0        0
54686 python-chameleon                   	       0        1        1        0        0
54687 python-characteristic              	       0        8        8        0        0
54688 python-chardet-whl                 	       0        6        0        0        6
54689 python-cheetah                     	       0        6        6        0        0
54690 python-cheetah-doc                 	       0        2        0        0        2
54691 python-cherrypy3                   	       0       20       20        0        0
54692 python-chm                         	       0        4        4        0        0
54693 python-cinder                      	       0        1        1        0        0
54694 python-cinderclient                	       0        1        1        0        0
54695 python-cjson                       	       0        1        1        0        0
54696 python-clang                       	       0        1        0        0        1
54697 python-clang-8                     	       0        1        1        0        0
54698 python-click                       	       0       28       28        0        0
54699 python-click-plugins               	       0        1        1        0        0
54700 python-cliff                       	       0        1        1        0        0
54701 python-cliff-doc                   	       0        1        0        0        1
54702 python-cligj                       	       0        1        1        0        0
54703 python-cloudfiles                  	       0        1        1        0        0
54704 python-cmd2                        	       0        1        1        0        0
54705 python-coherence                   	       0        1        1        0        0
54706 python-collada                     	       0        7        7        0        0
54707 python-colorama                    	       0       33       33        0        0
54708 python-colorama-whl                	       0        6        0        0        6
54709 python-comedilib                   	       0        1        1        0        0
54710 python-compizconfig                	       0        1        1        0        0
54711 python-configargparse              	       0        4        4        0        0
54712 python-configobj-doc               	       0        8        0        0        8
54713 python-congressclient              	       0        1        1        0        0
54714 python-constantly                  	       0       29       29        0        0
54715 python-contextlib2                 	       0        1        1        0        0
54716 python-cotyledon                   	       0        1        1        0        0
54717 python-coverage                    	       0        4        4        0        0
54718 python-coverage-doc                	       0        4        0        0        4
54719 python-cracklib                    	       0        1        1        0        0
54720 python-cropper-tk                  	       0        1        1        0        0
54721 python-crypto-doc                  	       0        2        0        0        2
54722 python-cryptography-doc            	       0       11        0        0       11
54723 python-cryptography-vectors        	       0        3        3        0        0
54724 python-css-parser                  	       0       15       15        0        0
54725 python-csscompressor               	       0        1        1        0        0
54726 python-cssselect                   	       0       19       19        0        0
54727 python-cssselect2-doc              	       0        1        0        0        1
54728 python-cssutils                    	       0       18       18        0        0
54729 python-cupshelpers                 	       0       13       13        0        0
54730 python-cupsutils                   	       0        1        0        0        1
54731 python-cursive                     	       0        1        1        0        0
54732 python-cvxopt                      	       0        1        1        0        0
54733 python-cvxopt-doc                  	       0        1        0        0        1
54734 python-cycler                      	       0       26       26        0        0
54735 python-cycler-doc                  	       0        5        0        0        5
54736 python-cypari2                     	       0        1        1        0        0
54737 python-cysignals-pari              	       0        1        1        0        0
54738 python-dask-doc                    	       0        1        0        0        1
54739 python-dbg                         	       0        4        4        0        0
54740 python-dbus-dbg                    	       0        1        1        0        0
54741 python-dbus-doc                    	       0        8        0        0        8
54742 python-dbus.mainloop.pyqt5         	       0        8        8        0        0
54743 python-debtagshw                   	       0        1        1        0        0
54744 python-debtcollector               	       0        2        2        0        0
54745 python-decorator                   	       0       59       57        0        2
54746 python-defer                       	       0        6        6        0        0
54747 python-demgengeo                   	       0        1        1        0        0
54748 python-demjson                     	       0        1        1        0        0
54749 python-designateclient             	       0        1        1        0        0
54750 python-dev-is-python2              	       0       14       14        0        0
54751 python-dfdatetime                  	       0        1        1        0        0
54752 python-dfvfs                       	       0        1        1        0        0
54753 python-dfwinreg                    	       0        1        1        0        0
54754 python-dicom                       	       0        2        0        0        2
54755 python-diff-match-patch            	       0        1        1        0        0
54756 python-distlib                     	       0        5        5        0        0
54757 python-distlib-whl                 	       0        6        0        0        6
54758 python-distributed-doc             	       0        3        0        0        3
54759 python-distro                      	       0        6        6        0        0
54760 python-distro-info                 	       0        5        5        0        0
54761 python-distutils-extra             	       0        2        2        0        0
54762 python-django                      	       0        2        2        0        0
54763 python-django-appconf              	       0        1        1        0        0
54764 python-django-babel                	       0        1        1        0        0
54765 python-django-common               	       0        4        4        0        0
54766 python-django-compressor           	       0        1        1        0        0
54767 python-django-doc                  	       0        5        0        0        5
54768 python-django-haystack-doc         	       0        1        0        0        1
54769 python-django-horizon              	       0        1        1        0        0
54770 python-django-openstack-auth       	       0        1        1        0        0
54771 python-django-pyscss               	       0        1        1        0        0
54772 python-django-wkhtmltopdf          	       0        1        1        0        0
54773 python-dmidecode-data              	       0        3        0        0        3
54774 python-dns                         	       0        5        5        0        0
54775 python-doc                         	       0        1        0        0        1
54776 python-doc8-doc                    	       0        1        0        0        1
54777 python-docker                      	       0        1        1        0        0
54778 python-dockerpty                   	       0        1        1        0        0
54779 python-docopt                      	       0        2        2        0        0
54780 python-docutils-build-deps         	       0        1        0        0        1
54781 python-dogpile.cache               	       0        1        1        0        0
54782 python-dsv                         	       0        1        1        0        0
54783 python-dtcwt-doc                   	       0        1        0        0        1
54784 python-dtfabric                    	       0        1        1        0        0
54785 python-dulwich                     	       0        1        1        0        0
54786 python-easyprocess                 	       0        1        1        0        0
54787 python-editobj                     	       0        3        3        0        0
54788 python-editor                      	       0        1        1        0        0
54789 python-efilter                     	       0        1        1        0        0
54790 python-egenix-mx-base-dbg          	       0        1        1        0        0
54791 python-egenix-mx-base-dev          	       0        2        2        0        0
54792 python-egenix-mxbeebase            	       0        2        2        0        0
54793 python-egenix-mxbeebase-doc        	       0        2        0        0        2
54794 python-egenix-mxdatetime-doc       	       0        4        0        0        4
54795 python-egenix-mxproxy              	       0        2        2        0        0
54796 python-egenix-mxproxy-doc          	       0        2        0        0        2
54797 python-egenix-mxqueue              	       0        2        2        0        0
54798 python-egenix-mxqueue-doc          	       0        2        0        0        2
54799 python-egenix-mxstack              	       0        2        2        0        0
54800 python-egenix-mxstack-doc          	       0        2        0        0        2
54801 python-egenix-mxtexttools          	       0        3        3        0        0
54802 python-egenix-mxtexttools-doc      	       0        3        0        0        3
54803 python-egenix-mxtools-doc          	       0        3        0        0        3
54804 python-egenix-mxuid                	       0        2        2        0        0
54805 python-egenix-mxuid-doc            	       0        2        0        0        2
54806 python-egenix-mxurl                	       0        2        2        0        0
54807 python-egenix-mxurl-doc            	       0        2        0        0        2
54808 python-elasticsearch               	       0        1        1        0        0
54809 python-electrum                    	       0        2        2        0        0
54810 python-elementtree                 	       0        2        0        0        2
54811 python-enchant                     	       0        8        8        0        0
54812 python-enum34-doc                  	       0        2        0        0        2
54813 python-envisage                    	       0        1        1        0        0
54814 python-epsilon                     	       0        2        2        0        0
54815 python-ethtool                     	       0        1        1        0        0
54816 python-evdev-doc                   	       0        3        0        0        3
54817 python-eventlet                    	       0        1        1        0        0
54818 python-eventlib                    	       0        1        1        0        0
54819 python-evolution                   	       0        1        1        0        0
54820 python-examples                    	       0        1        0        0        1
54821 python-excelerator                 	       0        2        2        0        0
54822 python-execnet                     	       0        1        1        0        0
54823 python-extras                      	       0        3        3        0        0
54824 python-eyed3                       	       0        9        9        0        0
54825 python-fastimport                  	       0        2        2        0        0
54826 python-fdsend                      	       0        1        1        0        0
54827 python-feedparser                  	       0       58       56        1        1
54828 python-fiona                       	       0        1        1        0        0
54829 python-fisx-common                 	       0        2        0        0        2
54830 python-fixtures                    	       0        3        3        0        0
54831 python-flask                       	       0        6        6        0        0
54832 python-flask-autoindex             	       0        1        1        0        0
54833 python-flask-babel                 	       0        1        1        0        0
54834 python-flask-doc                   	       0        6        0        0        6
54835 python-flask-oldsessions           	       0        1        1        0        0
54836 python-flask-openid                	       0        1        1        0        0
54837 python-flask-restful-doc           	       0        1        0        0        1
54838 python-flask-silk                  	       0        1        1        0        0
54839 python-flup                        	       0        1        1        0        0
54840 python-fontforge                   	       0        1        1        0        0
54841 python-fonttools-doc               	       0        1        0        0        1
54842 python-foomatic                    	       0        1        1        0        0
54843 python-formencode                  	       0        4        4        0        0
54844 python-fpylll                      	       0        1        1        0        0
54845 python-fs                          	       0        1        1        0        0
54846 python-fsapfs                      	       0        1        1        0        0
54847 python-fsspec-doc                  	       0        1        0        0        1
54848 python-fstab                       	       0        1        0        0        1
54849 python-funcsigs-doc                	       0        3        0        0        3
54850 python-functools32                 	       0       12       12        0        0
54851 python-fuse                        	       0        5        5        0        0
54852 python-future-doc                  	       0        3        0        0        3
54853 python-futurist                    	       0        1        1        0        0
54854 python-gamera                      	       0        1        1        0        0
54855 python-gammu                       	       0        4        4        0        0
54856 python-gammu-doc                   	       0        1        0        0        1
54857 python-gconf                       	       0       14        0        0       14
54858 python-gdal                        	       0        7        7        0        0
54859 python-gdata                       	       0        6        6        0        0
54860 python-gdata-doc                   	       0        2        0        0        2
54861 python-gdbm-dbg                    	       0        1        1        0        0
54862 python-genshi                      	       0        4        4        0        0
54863 python-genshi-doc                  	       0        5        0        0        5
54864 python-geoip                       	       0        6        6        0        0
54865 python-gflags                      	       0        1        1        0        0
54866 python-gi-dbg                      	       0        1        1        0        0
54867 python-gi-dev                      	       0       14       13        1        0
54868 python-git                         	       0        2        2        0        0
54869 python-git-doc                     	       0        1        0        0        1
54870 python-gitdb                       	       0        3        3        0        0
54871 python-gitlab-doc                  	       0        2        0        0        2
54872 python-glade-1.2                   	       0        1        0        0        1
54873 python-glade2                      	       0      154        0        0      154
54874 python-glance                      	       0        1        1        0        0
54875 python-glance-store                	       0        1        1        0        0
54876 python-glanceclient                	       0        1        1        0        0
54877 python-gmenu                       	       0        1        1        0        0
54878 python-gmpy                        	       0        6        6        0        0
54879 python-gmpy-doc                    	       0        3        0        0        3
54880 python-gmpy2                       	       0        2        2        0        0
54881 python-gmpy2-common                	       0       18        0        0       18
54882 python-gmpy2-doc                   	       0        1        0        0        1
54883 python-gnocchiclient               	       0        1        1        0        0
54884 python-gnome2                      	       0       11        0        0       11
54885 python-gnomedesktop                	       0        1        1        0        0
54886 python-gnomekeyring                	       0        2        2        0        0
54887 python-gnucash                     	       0        2        2        0        0
54888 python-gnupginterface              	       0        4        0        0        4
54889 python-gnuplot                     	       0        1        1        0        0
54890 python-gnutls                      	       0        1        1        0        0
54891 python-gobject                     	       0       67        0        0       67
54892 python-gobject-2-dbg               	       0        2        2        0        0
54893 python-gobject-2-dev               	       0        1        1        0        0
54894 python-gobject-dbg                 	       0        1        0        0        1
54895 python-goocalendar                 	       0        1        1        0        0
54896 python-googleapi                   	       0        2        2        0        0
54897 python-gpod                        	       0        1        1        0        0
54898 python-gps                         	       0        4        4        0        0
54899 python-graphy                      	       0        1        1        0        0
54900 python-greenlet-dev                	       0        2        2        0        0
54901 python-greenlet-doc                	       0        2        0        0        2
54902 python-grib-doc                    	       0        4        0        0        4
54903 python-gst-1.0                     	       0        2        2        0        0
54904 python-gtk-1.2                     	       0        1        1        0        0
54905 python-gtk2-doc                    	       0        3        3        0        0
54906 python-gtkglext1                   	       0        7        7        0        0
54907 python-gtksourceview2              	       0        1        1        0        0
54908 python-gtkspell                    	       0        2        2        0        0
54909 python-gtkspellcheck               	       0        3        3        0        0
54910 python-gudev                       	       0        1        1        0        0
54911 python-h5py                        	       0        1        1        0        0
54912 python-h5py-doc                    	       0        1        0        0        1
54913 python-hachoir-core                	       0        1        1        0        0
54914 python-hachoir-metadata            	       0        1        1        0        0
54915 python-hachoir-parser              	       0        1        1        0        0
54916 python-hamcrest                    	       0        3        3        0        0
54917 python-heat                        	       0        1        1        0        0
54918 python-heatclient                  	       0        1        1        0        0
54919 python-hippocanvas                 	       0        1        1        0        0
54920 python-hl7                         	       0        1        1        0        0
54921 python-hp3parclient                	       0        1        1        0        0
54922 python-html5-parser                	       0       11       11        0        0
54923 python-html5lib-whl                	       0        6        0        0        6
54924 python-hyperlink                   	       0       21       21        0        0
54925 python-hypothesis-doc              	       0        1        0        0        1
54926 python-icalendar                   	       0        2        2        0        0
54927 python-id3                         	       0        2        2        0        0
54928 python-imageio-doc                 	       0        1        0        0        1
54929 python-imagesize                   	       0        9        9        0        0
54930 python-imaging-doc-html            	       0        1        0        0        1
54931 python-imaging-doc-pdf             	       0        2        0        0        2
54932 python-imaging-tk                  	       0        2        0        0        2
54933 python-imaplib2                    	       0        1        1        0        0
54934 python-imdbpy                      	       0        1        1        0        0
54935 python-imexam-doc                  	       0        1        0        0        1
54936 python-impacket                    	       0        1        1        0        0
54937 python-incremental                 	       0       29       29        0        0
54938 python-iniparse                    	       0        6        6        0        0
54939 python-ipy                         	       0        7        7        0        0
54940 python-ipykernel                   	       0        2        2        0        0
54941 python-ipython                     	       0        4        4        0        0
54942 python-ipython-doc                 	       0        5        0        0        5
54943 python-ipython-genutils            	       0        7        7        0        0
54944 python-ipywidgets                  	       0        1        1        0        0
54945 python-ipywidgets-doc              	       0        1        0        0        1
54946 python-irc                         	       0        2        2        0        0
54947 python-iso8601                     	       0        1        1        0        0
54948 python-isodate                     	       0        2        2        0        0
54949 python-isort                       	       0        4        4        0        0
54950 python-itsdangerous                	       0        6        6        0        0
54951 python-jedi                        	       0        2        2        0        0
54952 python-jinja2-doc                  	       0       11        0        0       11
54953 python-josepy                      	       0        2        2        0        0
54954 python-jpylyzer-doc                	       0        1        0        0        1
54955 python-json-pointer                	       0        1        1        0        0
54956 python-jsonpatch                   	       0        1        1        0        0
54957 python-jsonrpclib                  	       0        2        2        0        0
54958 python-jsonschema                  	       0        3        3        0        0
54959 python-jsonschema-doc              	       0        1        0        0        1
54960 python-junitxml                    	       0        2        2        0        0
54961 python-jupyter-client              	       0        2        2        0        0
54962 python-jupyter-client-doc          	       0        2        0        0        2
54963 python-jupyter-console             	       0        1        1        0        0
54964 python-jupyter-console-doc         	       0        2        0        0        2
54965 python-jupyter-core                	       0        2        2        0        0
54966 python-jupyter-core-doc            	       0        1        0        0        1
54967 python-kafka                       	       0        1        1        0        0
54968 python-kazoo                       	       0        1        1        0        0
54969 python-kde4                        	       0        4        4        0        0
54970 python-kerberos                    	       0        1        1        0        0
54971 python-keybinder                   	       0        1        1        0        0
54972 python-keyczar                     	       0        1        1        0        0
54973 python-keystone                    	       0        1        1        0        0
54974 python-keystoneauth1               	       0        1        1        0        0
54975 python-keystoneclient              	       0        1        1        0        0
54976 python-keystonemiddleware          	       0        1        1        0        0
54977 python-kid                         	       0        1        1        0        0
54978 python-kivy-examples               	       0        1        0        0        1
54979 python-kiwisolver                  	       0       18       18        0        0
54980 python-kolab                       	       0        1        1        0        0
54981 python-kolabformat                 	       0        1        1        0        0
54982 python-kombu                       	       0        1        1        0        0
54983 python-l20n                        	       0        1        1        0        0
54984 python-laditools                   	       0        1        1        0        0
54985 python-langdetect                  	       0        1        1        0        0
54986 python-lazy-object-proxy           	       0        4        4        0        0
54987 python-ldap                        	       0        3        3        0        0
54988 python-ldappool                    	       0        1        1        0        0
54989 python-ldaptor                     	       0        1        1        0        0
54990 python-ldtp                        	       0        1        1        0        0
54991 python-levenshtein                 	       0        2        2        0        0
54992 python-libbde                      	       0        1        1        0        0
54993 python-libdiscid-doc               	       0        1        0        0        1
54994 python-libesedb                    	       0        1        1        0        0
54995 python-libevt                      	       0        1        1        0        0
54996 python-libevtx                     	       0        1        1        0        0
54997 python-libewf                      	       0        1        1        0        0
54998 python-libfsntfs                   	       0        1        1        0        0
54999 python-libfvde                     	       0        1        1        0        0
55000 python-libfwnt                     	       0        1        1        0        0
55001 python-libfwsi                     	       0        1        1        0        0
55002 python-libhamlib2                  	       0        1        1        0        0
55003 python-liblnk                      	       0        1        1        0        0
55004 python-libmsiecf                   	       0        1        1        0        0
55005 python-libolecf                    	       0        1        1        0        0
55006 python-libqcow                     	       0        1        1        0        0
55007 python-librdf                      	       0        1        1        0        0
55008 python-libregf                     	       0        1        1        0        0
55009 python-libscca                     	       0        1        1        0        0
55010 python-libsigscan                  	       0        1        1        0        0
55011 python-libsmdev                    	       0        1        1        0        0
55012 python-libsmraw                    	       0        1        1        0        0
55013 python-libsvm                      	       0        1        0        0        1
55014 python-libtorrent                  	       0       18       18        0        0
55015 python-libvhdi                     	       0        1        1        0        0
55016 python-libvmdk                     	       0        1        1        0        0
55017 python-libvshadow                  	       0        1        1        0        0
55018 python-libvslvm                    	       0        1        1        0        0
55019 python-libxslt1                    	       0        6        6        0        0
55020 python-linecache2                  	       0        2        2        0        0
55021 python-livereload-doc              	       0        3        0        0        3
55022 python-lldb                        	       0        1        0        0        1
55023 python-lldb-7                      	       0        1        0        0        1
55024 python-llfuse-doc                  	       0        1        0        0        1
55025 python-lockfile-doc                	       0        1        0        0        1
55026 python-logilab-common              	       0        1        1        0        0
55027 python-logutils                    	       0        1        1        0        0
55028 python-louie                       	       0        2        2        0        0
55029 python-louis                       	       0        2        2        0        0
55030 python-lunr-doc                    	       0        1        0        0        1
55031 python-lxml-dbg                    	       0        2        2        0        0
55032 python-lxml-doc                    	       0        8        0        0        8
55033 python-lz4                         	       0       10       10        0        0
55034 python-lzma                        	       0        6        6        0        0
55035 python-lzo                         	       0        7        7        0        0
55036 python-m2r-doc                     	       0        1        0        0        1
55037 python-magnumclient                	       0        1        1        0        0
55038 python-mako                        	       0       10       10        0        0
55039 python-mako-doc                    	       0       14        0        0       14
55040 python-manilaclient                	       0        1        1        0        0
55041 python-mapnik                      	       0        1        1        0        0
55042 python-mapscript                   	       0        1        1        0        0
55043 python-markdown-doc                	       0        7        0        0        7
55044 python-matplotlib-data             	       0      571        0        0      571
55045 python-matplotlib-doc              	       0        7        0        0        7
55046 python-matplotlib2-data            	       0       24        0        0       24
55047 python-matplotlib2-doc             	       0        1        0        0        1
55048 python-mccabe                      	       0        4        4        0        0
55049 python-md-toc-doc                  	       0        1        0        0        1
55050 python-mechanize                   	       0       18       18        0        0
55051 python-mediainfodll                	       0        1        1        0        0
55052 python-memcache                    	       0        3        3        0        0
55053 python-microversion-parse          	       0        1        1        0        0
55054 python-migrate                     	       0        1        1        0        0
55055 python-milter-doc                  	       0        1        0        0        1
55056 python-mimeparse                   	       0        3        3        0        0
55057 python-minimock                    	       0        1        1        0        0
55058 python-mistralclient               	       0        1        1        0        0
55059 python-mistune                     	       0        1        1        0        0
55060 python-mlt                         	       0        6        6        0        0
55061 python-mock                        	       0       18       17        0        1
55062 python-mock-doc                    	       0        2        0        0        2
55063 python-mode                        	       0        1        1        0        0
55064 python-modestmaps                  	       0        1        1        0        0
55065 python-monascaclient               	       0        1        1        0        0
55066 python-moovida                     	       0        1        0        0        1
55067 python-mpd-doc                     	       0        1        0        0        1
55068 python-mpi4py                      	       0        2        2        0        0
55069 python-mpi4py-doc                  	       0        1        0        0        1
55070 python-mpltoolkits.basemap-data    	       0        4        0        0        4
55071 python-mpmath                      	       0        4        4        0        0
55072 python-mpmath-doc                  	       0        4        0        0        4
55073 python-mrjob                       	       0        1        1        0        0
55074 python-msrplib                     	       0        1        1        0        0
55075 python-munch                       	       0        1        1        0        0
55076 python-murano                      	       0        1        1        0        0
55077 python-muranoclient                	       0        1        1        0        0
55078 python-musicbrainz2                	       0       10       10        0        0
55079 python-musicbrainzngs              	       0       23       23        0        0
55080 python-musicbrainzngs-doc          	       0        2        0        0        2
55081 python-mutagen                     	       0       48       47        1        0
55082 python-mutagen-doc                 	       0        4        0        0        4
55083 python-mysql.connector             	       0        3        3        0        0
55084 python-mysqldb-dbg                 	       0        1        1        0        0
55085 python-nacl                        	       0       18       18        0        0
55086 python-nacl-doc                    	       0        4        0        0        4
55087 python-natsort-doc                 	       0        3        0        0        3
55088 python-nautilus                    	       0        1        0        0        1
55089 python-nbconvert                   	       0        1        1        0        0
55090 python-nbconvert-doc               	       0        1        0        0        1
55091 python-nbformat                    	       0        1        1        0        0
55092 python-nbsphinx-doc                	       0        1        0        0        1
55093 python-nbxmpp                      	       0        2        2        0        0
55094 python-nbxmpp-doc                  	       0        1        0        0        1
55095 python-neovim                      	       0        2        2        0        0
55096 python-netaddr                     	       0        4        4        0        0
55097 python-netifaces                   	       0       22       22        0        0
55098 python-netlib                      	       0        1        1        0        0
55099 python-networkx                    	       0        6        6        0        0
55100 python-networkx-doc                	       0        1        0        0        1
55101 python-neutron                     	       0        1        1        0        0
55102 python-neutron-fwaas               	       0        1        1        0        0
55103 python-neutron-lib                 	       0        1        1        0        0
55104 python-neutron-vpnaas              	       0        1        1        0        0
55105 python-neutronclient               	       0        1        1        0        0
55106 python-nevow                       	       0        2        2        0        0
55107 python-newt                        	       0        6        6        0        0
55108 python-nibabel                     	       0        1        1        0        0
55109 python-nipy                        	       0        1        1        0        0
55110 python-nipy-lib                    	       0        1        1        0        0
55111 python-nipype                      	       0        1        1        0        0
55112 python-nitime                      	       0        1        1        0        0
55113 python-nltk                        	       0        1        1        0        0
55114 python-nose-doc                    	       0        6        0        0        6
55115 python-nose2-doc                   	       0        1        0        0        1
55116 python-notebook                    	       0        1        1        0        0
55117 python-notebook-doc                	       0        2        0        0        2
55118 python-notify2                     	       0        1        1        0        0
55119 python-notmuch                     	       0        1        1        0        0
55120 python-nova                        	       0        1        1        0        0
55121 python-novaclient                  	       0        1        1        0        0
55122 python-novnc                       	       0        2        2        0        0
55123 python-numexpr                     	       0        4        4        0        0
55124 python-numpy-dbg                   	       0        2        2        0        0
55125 python-numpy-doc                   	       0       14       13        0        1
55126 python-oauth2client                	       0        2        2        0        0
55127 python-obexftp                     	       0        1        1        0        0
55128 python-objgraph-doc                	       0        3        0        0        3
55129 python-odf                         	       0        1        1        0        0
55130 python-odf-doc                     	       0      109        0        0      109
55131 python-opencv                      	       0        5        5        0        0
55132 python-opengl                      	       0       19       19        0        0
55133 python-openssl-doc                 	       0        8        0        0        8
55134 python-openstackclient             	       0        1        1        0        0
55135 python-openstackclient-doc         	       0        2        0        0        2
55136 python-openstacksdk                	       0        1        1        0        0
55137 python-openvswitch                 	       0        1        1        0        0
55138 python-os-api-ref-common           	       0        1        0        0        1
55139 python-os-brick                    	       0        1        1        0        0
55140 python-os-client-config            	       0        1        1        0        0
55141 python-os-vif                      	       0        1        1        0        0
55142 python-os-win                      	       0        1        1        0        0
55143 python-osc-lib                     	       0        1        1        0        0
55144 python-oslo.cache                  	       0        1        1        0        0
55145 python-oslo.concurrency            	       0        1        1        0        0
55146 python-oslo.config                 	       0        2        2        0        0
55147 python-oslo.context                	       0        1        1        0        0
55148 python-oslo.db                     	       0        1        1        0        0
55149 python-oslo.i18n                   	       0        2        2        0        0
55150 python-oslo.log                    	       0        1        1        0        0
55151 python-oslo.messaging              	       0        1        1        0        0
55152 python-oslo.middleware             	       0        1        1        0        0
55153 python-oslo.policy                 	       0        1        1        0        0
55154 python-oslo.privsep                	       0        1        1        0        0
55155 python-oslo.reports                	       0        1        1        0        0
55156 python-oslo.rootwrap               	       0        1        1        0        0
55157 python-oslo.serialization          	       0        1        1        0        0
55158 python-oslo.service                	       0        1        1        0        0
55159 python-oslo.utils                  	       0        1        1        0        0
55160 python-oslo.versionedobjects       	       0        1        1        0        0
55161 python-oslo.vmware                 	       0        1        1        0        0
55162 python-oslosphinx-common           	       0        1        0        0        1
55163 python-osmgpsmap                   	       0        1        1        0        0
55164 python-osprofiler                  	       0        1        1        0        0
55165 python-otr                         	       0        1        1        0        0
55166 python-ow                          	       0        1        1        0        0
55167 python-owslib                      	       0        2        2        0        0
55168 python-packagekit                  	       0        4        4        0        0
55169 python-packaging                   	       0        6        6        0        0
55170 python-pacparser                   	       0        1        1        0        0
55171 python-paho-mqtt                   	       0        1        1        0        0
55172 python-pampy                       	       0        1        1        0        0
55173 python-pandas                      	       0        3        3        0        0
55174 python-pandas-doc                  	       0        5        0        0        5
55175 python-pandas-lib                  	       0        3        3        0        0
55176 python-pandocfilters               	       0        1        1        0        0
55177 python-parallax                    	       0        4        4        0        0
55178 python-parallel                    	       0        1        1        0        0
55179 python-parse                       	       0        1        1        0        0
55180 python-parsedatetime               	       0        7        7        0        0
55181 python-parso                       	       0        1        1        0        0
55182 python-parted                      	       0        3        3        0        0
55183 python-parted-doc                  	       0        2        0        0        2
55184 python-passlib                     	       0        6        5        0        1
55185 python-paste                       	       0        4        4        0        0
55186 python-pastedeploy                 	       0        2        2        0        0
55187 python-pastedeploy-tpl             	       0        5        0        0        5
55188 python-pastescript                 	       0        1        1        0        0
55189 python-pastescript-doc             	       0        1        0        0        1
55190 python-path                        	       0        1        1        0        0
55191 python-pathlib                     	       0        9        9        0        0
55192 python-patsy                       	       0        1        1        0        0
55193 python-patsy-doc                   	       0        1        0        0        1
55194 python-pbkdf2                      	       0        2        2        0        0
55195 python-pbr                         	       0       20       20        0        0
55196 python-pcapy                       	       0        1        1        0        0
55197 python-pdfkit                      	       0        1        1        0        0
55198 python-pdfrw                       	       0        3        3        0        0
55199 python-pdfrw-doc                   	       0        1        0        0        1
55200 python-pdftools                    	       0        1        1        0        0
55201 python-pecan                       	       0        1        1        0        0
55202 python-peewee-doc                  	       0        6        0        0        6
55203 python-pefile                      	       0        1        1        0        0
55204 python-pep8                        	       0        2        2        0        0
55205 python-petsc4py-doc                	       0        1        1        0        0
55206 python-pexpect-doc                 	       0        9        0        0        9
55207 python-pgm                         	       0        1        1        0        0
55208 python-pgpdump                     	       0        1        1        0        0
55209 python-phoneutils                  	       0        1        1        0        0
55210 python-pickleshare                 	       0        6        6        0        0
55211 python-pika                        	       0        1        1        0        0
55212 python-pika-pool                   	       0        1        1        0        0
55213 python-pil-dbg                     	       0        1        1        0        0
55214 python-pil-doc                     	       0       11        0        0       11
55215 python-pil.imagetk                 	       0       17       17        0        0
55216 python-pilkit                      	       0        1        1        0        0
55217 python-pint                        	       0        1        1        0        0
55218 python-pip-whl                     	       0      254        0        0      254
55219 python-pivy                        	       0        7        7        0        0
55220 python-pkgconfig                   	       0        2        2        0        0
55221 python-pkginfo-doc                 	       0       22        0        0       22
55222 python-plastex                     	       0        1        1        0        0
55223 python-ply-doc                     	       0        8        0        0        8
55224 python-pmw                         	       0        3        3        0        0
55225 python-png                         	       0        1        1        0        0
55226 python-podcastparser-doc           	       0        1        0        0        1
55227 python-poppler                     	       0        1        1        0        0
55228 python-positional                  	       0        1        1        0        0
55229 python-posix-ipc                   	       0        1        1        0        0
55230 python-potr                        	       0        1        1        0        0
55231 python-powerline                   	       0        1        1        0        0
55232 python-ppl-doc                     	       0        8        0        0        8
55233 python-prettytable                 	       0        2        2        0        0
55234 python-prometheus-client           	       0        1        1        0        0
55235 python-prompt-toolkit              	       0        6        6        0        0
55236 python-protobuf                    	       0        8        8        0        0
55237 python-prov                        	       0        1        1        0        0
55238 python-psutil-doc                  	       0        4        0        0        4
55239 python-psyco-doc                   	       0        1        0        0        1
55240 python-psycopg2-doc                	       0        5        0        0        5
55241 python-pyalsa                      	       0        3        3        0        0
55242 python-pyao                        	       0        3        3        0        0
55243 python-pyatspi                     	       0        5        5        0        0
55244 python-pyatspi2                    	       0        3        0        0        3
55245 python-pyaudio                     	       0        2        2        0        0
55246 python-pycadf                      	       0        1        1        0        0
55247 python-pycalendar                  	       0        1        1        0        0
55248 python-pychart                     	       0        1        1        0        0
55249 python-pychromecast                	       0        1        1        0        0
55250 python-pycryptopp                  	       0        1        1        0        0
55251 python-pycuda-doc                  	       0        2        0        0        2
55252 python-pycurl-dbg                  	       0        1        1        0        0
55253 python-pycurl-doc                  	       0        3        0        0        3
55254 python-pydbus-doc                  	       0        1        0        0        1
55255 python-pydicom                     	       0        2        2        0        0
55256 python-pydl-doc                    	       0        1        0        0        1
55257 python-pydot                       	       0        3        2        0        1
55258 python-pydotplus                   	       0        2        2        0        0
55259 python-pyexiv2                     	       0        6        6        0        0
55260 python-pyexiv2-doc                 	       0        5        0        0        5
55261 python-pyface                      	       0        2        2        0        0
55262 python-pyflakes                    	       0        3        3        0        0
55263 python-pyftpdlib                   	       0        1        1        0        0
55264 python-pygame-doc                  	       0        3        0        0        3
55265 python-pygame-sdl2                 	       0        1        1        0        0
55266 python-pygit2-doc                  	       0        1        0        0        1
55267 python-pyglet                      	       0        6        6        0        0
55268 python-pygments-doc                	       0        8        0        0        8
55269 python-pygoocanvas                 	       0        4        4        0        0
55270 python-pygooglechart               	       0        1        1        0        0
55271 python-pygraphviz                  	       0        5        5        0        0
55272 python-pygraphviz-doc              	       0        2        0        0        2
55273 python-pygresql                    	       0        1        1        0        0
55274 python-pyhsm                       	       0        1        1        0        0
55275 python-pyinotify-doc               	       0        4        0        0        4
55276 python-pyisomd5sum                 	       0        1        1        0        0
55277 python-pyjavaproperties            	       0        1        1        0        0
55278 python-pykaraoke                   	       0        1        1        0        0
55279 python-pykka                       	       0        1        1        0        0
55280 python-pylibacl                    	       0        7        7        0        0
55281 python-pylibmc                     	       0        2        2        0        0
55282 python-pylirc                      	       0        1        1        0        0
55283 python-pymemcache                  	       0        1        1        0        0
55284 python-pymongo-doc                 	       0        2        0        0        2
55285 python-pymtp                       	       0        1        1        0        0
55286 python-pymysql                     	       0        3        3        0        0
55287 python-pymysql-doc                 	       0        1        0        0        1
55288 python-pyodbc                      	       0        2        2        0        0
55289 python-pyogg                       	       0        1        0        0        1
55290 python-pyopencl-doc                	       0        7        6        0        1
55291 python-pyorbit                     	       0       13       13        0        0
55292 python-pyparsing                   	       0       50       49        0        1
55293 python-pyparsing-doc               	       0        7        5        0        2
55294 python-pypdf                       	       0        1        1        0        0
55295 python-pypdf2                      	       0       10       10        0        0
55296 python-pyproj                      	       0        3        3        0        0
55297 python-pyptlib                     	       0        2        2        0        0
55298 python-pyqrcode                    	       0        1        1        0        0
55299 python-pyqt5                       	       0       29       29        0        0
55300 python-pyqt5-dbg                   	       0        1        1        0        0
55301 python-pyqt5.qsci                  	       0        4        4        0        0
55302 python-pyqt5.qtmultimedia          	       0        1        1        0        0
55303 python-pyqt5.qtopengl              	       0        1        1        0        0
55304 python-pyqt5.qtserialport          	       0        1        1        0        0
55305 python-pyqt5.qtsvg                 	       0       16       16        0        0
55306 python-pyqt5.qtwebkit              	       0       16       16        0        0
55307 python-pyqt5.qwt-doc               	       0        2        0        0        2
55308 python-pyqtgraph                   	       0        1        1        0        0
55309 python-pyqtgraph-doc               	       0        1        0        0        1
55310 python-pyquery                     	       0        1        1        0        0
55311 python-pyramid                     	       0        1        1        0        0
55312 python-pyramid-beaker              	       0        1        1        0        0
55313 python-pyregion-doc                	       0        1        0        0        1
55314 python-pyresample-test             	       0        2        0        0        2
55315 python-pyroute2                    	       0        1        1        0        0
55316 python-pysaml2                     	       0        1        1        0        0
55317 python-pyscss                      	       0        1        1        0        0
55318 python-pyshp                       	       0        1        1        0        0
55319 python-pyside                      	       0        8        0        0        8
55320 python-pyside.phonon               	       0        8        8        0        0
55321 python-pyside.qtcore               	       0        8        8        0        0
55322 python-pyside.qtdeclarative        	       0        8        8        0        0
55323 python-pyside.qtgui                	       0        8        8        0        0
55324 python-pyside.qthelp               	       0        8        8        0        0
55325 python-pyside.qtnetwork            	       0        8        8        0        0
55326 python-pyside.qtopengl             	       0        8        8        0        0
55327 python-pyside.qtscript             	       0        8        8        0        0
55328 python-pyside.qtsql                	       0        8        8        0        0
55329 python-pyside.qtsvg                	       0        8        8        0        0
55330 python-pyside.qttest               	       0        8        8        0        0
55331 python-pyside.qtuitools            	       0        8        8        0        0
55332 python-pyside.qtwebkit             	       0        8        8        0        0
55333 python-pyside.qtxml                	       0        8        8        0        0
55334 python-pyside2-doc                 	       0        1        1        0        0
55335 python-pyside2.qtcore              	       0        3        3        0        0
55336 python-pyside2.qtgui               	       0        3        3        0        0
55337 python-pyside2.qtopengl            	       0        2        2        0        0
55338 python-pyside2.qtsvg               	       0        2        2        0        0
55339 python-pyside2.qtwidgets           	       0        3        3        0        0
55340 python-pyside2uic                  	       0        6        6        0        0
55341 python-pysnmp4-doc                 	       0        1        0        0        1
55342 python-pysolr                      	       0        1        1        0        0
55343 python-pyspatialite                	       0        2        2        0        0
55344 python-pysqlite2                   	       0       11       11        0        0
55345 python-pysqlite2-dbg               	       0        1        1        0        0
55346 python-pysqlite2-doc               	       0        1        0        0        1
55347 python-pytest-doc                  	       0        2        0        0        2
55348 python-pytest-forked               	       0        1        1        0        0
55349 python-pytest-trio-doc             	       0        1        0        0        1
55350 python-pytest-xdist                	       0        1        1        0        0
55351 python-pytestqt-doc                	       0        1        0        0        1
55352 python-pytools-doc                 	       0        1        0        0        1
55353 python-pyudev                      	       0        4        4        0        0
55354 python-pyvirtualdisplay            	       0        1        1        0        0
55355 python-pywt-doc                    	       0        2        0        0        2
55356 python-pyxattr                     	       0       17       17        0        0
55357 python-pyxattr-doc                 	       0        3        0        0        3
55358 python-q-text-as-data              	       0        2        2        0        0
55359 python-qgis                        	       0        2        2        0        0
55360 python-qgis-common                 	       0        3        0        0        3
55361 python-qrcode                      	       0        5        5        0        0
55362 python-qrencode                    	       0        2        2        0        0
55363 python-qrtools                     	       0        4        4        0        0
55364 python-qscintilla2                 	       0        4        4        0        0
55365 python-qt-binding                  	       0        1        1        0        0
55366 python-qt3-doc                     	       0        1        1        0        0
55367 python-qt4                         	       0       25       25        0        0
55368 python-qt4-dbg                     	       0        1        1        0        0
55369 python-qt4-dbus                    	       0        9        9        0        0
55370 python-qt4-dev                     	       0        1        0        0        1
55371 python-qt4-gl                      	       0       11       11        0        0
55372 python-qt4-sql                     	       0        4        4        0        0
55373 python-qt4reactor                  	       0        1        1        0        0
55374 python-qtawesome                   	       0        1        1        0        0
55375 python-qtawesome-common            	       0       34        0        0       34
55376 python-qtawesome-doc               	       0        1        0        0        1
55377 python-qtpy                        	       0        1        1        0        0
55378 python-rabbyt                      	       0        2        2        0        0
55379 python-rados                       	       0        2        2        0        0
55380 python-rbd                         	       0        2        2        0        0
55381 python-rbtools                     	       0        1        1        0        0
55382 python-rcssmin                     	       0        1        1        0        0
55383 python-rdflib                      	       0        2        2        0        0
55384 python-regex                       	       0       17       17        0        0
55385 python-rencode                     	       0        9        9        0        0
55386 python-reportlab-doc               	       0        3        0        0        3
55387 python-repoze.who                  	       0        1        1        0        0
55388 python-requests-doc                	       0       24        0        0       24
55389 python-requests-kerberos           	       0        1        1        0        0
55390 python-requests-toolbelt           	       0        2        2        0        0
55391 python-requests-toolbelt-doc       	       0        2        0        0        2
55392 python-requests-whl                	       0        6        0        0        6
55393 python-requestsexceptions          	       0        1        1        0        0
55394 python-retrying                    	       0        1        1        0        0
55395 python-rfc3339                     	       0        4        4        0        0
55396 python-rfc3986                     	       0        2        2        0        0
55397 python-rjsmin                      	       0        1        1        0        0
55398 python-rope                        	       0        2        2        0        0
55399 python-ropemacs                    	       0        1        1        0        0
55400 python-ropemode                    	       0        2        2        0        0
55401 python-routes                      	       0       20       20        0        0
55402 python-rpm                         	       0        1        1        0        0
55403 python-rpy2                        	       0        2        2        0        0
55404 python-rsa                         	       0        2        2        0        0
55405 python-rsvg                        	       0        2        2        0        0
55406 python-rtslib-fb                   	       0        1        1        0        0
55407 python-ryu                         	       0        1        1        0        0
55408 python-sagenb                      	       0        1        1        0        0
55409 python-sagenb-export               	       0        1        1        0        0
55410 python-sagetex                     	       0        1        1        0        0
55411 python-saharaclient                	       0        1        1        0        0
55412 python-sane                        	       0        4        4        0        0
55413 python-scapy                       	       0        1        1        0        0
55414 python-scientific-doc              	       0        1        0        0        1
55415 python-scipy                       	       0       12       12        0        0
55416 python-scipy-doc                   	       0        8        0        0        8
55417 python-sclapp                      	       0        1        1        0        0
55418 python-scp                         	       0        1        1        0        0
55419 python-scrapy-doc                  	       0        1        0        0        1
55420 python-seaborn                     	       0        1        1        0        0
55421 python-seafile                     	       0        1        1        0        0
55422 python-searpc                      	       0        1        1        0        0
55423 python-secretstorage-doc           	       0        6        0        0        6
55424 python-selenium                    	       0        2        2        0        0
55425 python-selenium-doc                	       0        3        0        0        3
55426 python-selinux                     	       0        3        3        0        0
55427 python-semanage                    	       0        3        3        0        0
55428 python-semantic-version            	       0        1        1        0        0
55429 python-semantic-version-doc        	       0        1        0        0        1
55430 python-send2trash                  	       0        1        1        0        0
55431 python-sendfile                    	       0        2        2        0        0
55432 python-senlinclient                	       0        1        1        0        0
55433 python-sepolgen                    	       0        2        2        0        0
55434 python-sepolicy                    	       0        1        1        0        0
55435 python-service-identity            	       0       34       34        0        0
55436 python-setools                     	       0        2        2        0        0
55437 python-setproctitle                	       0        4        4        0        0
55438 python-setuptools-doc              	       0       20        0        0       20
55439 python-setuptools-scm              	       0        1        1        0        0
55440 python-setuptools-whl              	       0        6        0        0        6
55441 python-sh                          	       0        1        1        0        0
55442 python-shapely                     	       0        2        2        0        0
55443 python-simplebayes-doc             	       0        1        0        0        1
55444 python-simplegeneric               	       0        8        8        0        0
55445 python-simpletal                   	       0        2        1        0        1
55446 python-simpy-gui                   	       0        1        1        0        0
55447 python-simpy3-doc                  	       0        2        0        0        2
55448 python-sip-dbg                     	       0        2        2        0        0
55449 python-sip-dev                     	       0        2        2        0        0
55450 python-sip-tqt                     	       0        1        1        0        0
55451 python-sipsimple                   	       0        1        1        0        0
55452 python-six-doc                     	       0        2        0        0        2
55453 python-six-whl                     	       0        6        0        0        6
55454 python-skimage-doc                 	       0        1        0        0        1
55455 python-sklearn-doc                 	       0        2        0        0        2
55456 python-slowaes                     	       0        2        2        0        0
55457 python-smbc                        	       0       15       15        0        0
55458 python-smmap                       	       0        3        3        0        0
55459 python-snappy                      	       0        2        2        0        0
55460 python-snowballstemmer             	       0        1        1        0        0
55461 python-socks                       	       0        3        3        0        0
55462 python-socksipy                    	       0        2        2        0        0
55463 python-software-properties         	       0        4        4        0        0
55464 python-sortedcontainers-doc        	       0        4        0        0        4
55465 python-sourcecodegen               	       0        1        1        0        0
55466 python-soya                        	       0        2        2        0        0
55467 python-soya-doc                    	       0        1        0        0        1
55468 python-sparqlwrapper               	       0        1        1        0        0
55469 python-sphinx                      	       0       10       10        0        0
55470 python-sphinx-feature-classification-doc	       0        2        0        0        2
55471 python-sphinx-gallery-doc          	       0        1        0        0        1
55472 python-sphinx-rtd-theme            	       0        6        6        0        0
55473 python-sphinxcontrib.bibtex-doc    	       0        1        0        0        1
55474 python-sphinxcontrib.programoutput-doc	       0        1        0        0        1
55475 python-sphinxcontrib.spelling-doc  	       0        1        0        0        1
55476 python-sqlalchemy                  	       0       14       13        1        0
55477 python-sqlalchemy-doc              	       0        4        0        0        4
55478 python-sqlalchemy-ext              	       0       11       10        1        0
55479 python-sqlitecachec                	       0        1        1        0        0
55480 python-sqlparse                    	       0        2        2        0        0
55481 python-sqlparse-doc                	       0        4        0        0        4
55482 python-statistics                  	       0        1        1        0        0
55483 python-statsmodels                 	       0        2        1        0        1
55484 python-statsmodels-doc             	       0        1        0        0        1
55485 python-statsmodels-lib             	       0        2        2        0        0
55486 python-stdnum                      	       0        1        1        0        0
55487 python-stemmer                     	       0        1        1        0        0
55488 python-stevedore                   	       0        3        3        0        0
55489 python-subprocess32                	       0       26       26        0        0
55490 python-subunit                     	       0        2        2        0        0
55491 python-subversion                  	       0        7        7        0        0
55492 python-sugar3                      	       0       41       41        0        0
55493 python-superqt-doc                 	       0        1        0        0        1
55494 python-surfer                      	       0        1        1        0        0
55495 python-svn                         	       0        8        8        0        0
55496 python-swiftclient                 	       0        1        1        0        0
55497 python-sympy                       	       0        4        4        0        0
55498 python-sympy-doc                   	       0        8        0        0        8
55499 python-tables                      	       0        3        3        0        0
55500 python-tables-data                 	       0       99        0        0       99
55501 python-tables-doc                  	       0        4        0        0        4
55502 python-tables-lib                  	       0        3        3        0        0
55503 python-tablib                      	       0        1        1        0        0
55504 python-tagpy                       	       0        2        2        0        0
55505 python-taskflow                    	       0        1        1        0        0
55506 python-tegaki                      	       0        1        1        0        0
55507 python-tegaki-gtk                  	       0        1        1        0        0
55508 python-telepathy                   	       0       41       41        0        0
55509 python-tempita                     	       0        4        4        0        0
55510 python-termcolor                   	       0        1        1        0        0
55511 python-terminado                   	       0        1        1        0        0
55512 python-testpath                    	       0        1        1        0        0
55513 python-testresources               	       0        1        1        0        0
55514 python-testscenarios               	       0        3        3        0        0
55515 python-testtools                   	       0        3        3        0        0
55516 python-testtools-doc               	       0        2        0        0        2
55517 python-texttable                   	       0        1        1        0        0
55518 python-tidylib                     	       0        1        1        0        0
55519 python-tinycss2-common             	       0      381        0        0      381
55520 python-tinycss2-doc                	       0        2        0        0        2
55521 python-tk                          	       0       92       92        0        0
55522 python-tk-dbg                      	       0        1        1        0        0
55523 python-tksnack                     	       0        1        1        0        0
55524 python-tktreectrl-doc              	       0        1        0        0        1
55525 python-tofu                        	       0        1        1        0        0
55526 python-toolz-doc                   	       0        2        0        0        2
55527 python-tooz                        	       0        1        1        0        0
55528 python-tornado-doc                 	       0        5        0        0        5
55529 python-tqdm                        	       0        2        2        0        0
55530 python-tqt                         	       0        1        1        0        0
55531 python-traceback2                  	       0        2        2        0        0
55532 python-traitlets                   	       0        6        6        0        0
55533 python-traits                      	       0        3        3        0        0
55534 python-traitsui                    	       0        2        2        0        0
55535 python-translate                   	       0        1        1        0        0
55536 python-translationstring           	       0        1        1        0        0
55537 python-trie                        	       0        1        1        0        0
55538 python-trie-doc                    	       0        1        0        0        1
55539 python-trinity-trinity             	       0        1        1        0        0
55540 python-tripleo-heat-templates      	       0        1        1        0        0
55541 python-trml2pdf                    	       0        1        1        0        0
55542 python-trollius                    	       0        6        6        0        0
55543 python-troveclient                 	       0        1        1        0        0
55544 python-tsk                         	       0        1        1        0        0
55545 python-twill                       	       0        1        1        0        0
55546 python-twisted                     	       0       11        1        0       10
55547 python-twisted-bin-dbg             	       0        1        1        0        0
55548 python-twisted-conch               	       0        5        1        0        4
55549 python-twisted-lore                	       0        3        3        0        0
55550 python-twisted-mail                	       0        5        1        0        4
55551 python-twisted-names               	       0        6        1        0        5
55552 python-twisted-news                	       0        3        1        0        2
55553 python-twisted-runner              	       0        3        1        0        2
55554 python-twisted-web                 	       0       12        4        0        8
55555 python-twisted-web2                	       0        2        2        0        0
55556 python-twisted-words               	       0        4        1        0        3
55557 python-twodict                     	       0        2        2        0        0
55558 python-txaio                       	       0        2        2        0        0
55559 python-txaio-doc                   	       0        1        0        0        1
55560 python-typing                      	       0       10       10        0        0
55561 python-tzlocal                     	       0        1        1        0        0
55562 python-u-msgpack                   	       0        1        1        0        0
55563 python-ubjson                      	       0        1        1        0        0
55564 python-ubuntutools                 	       0        1        1        0        0
55565 python-ufl-doc                     	       0        2        0        0        2
55566 python-unidecode                   	       0        1        1        0        0
55567 python-unittest2                   	       0        2        2        0        0
55568 python-unrardll                    	       0        1        1        0        0
55569 python-uritemplate                 	       0        2        2        0        0
55570 python-uritools                    	       0        5        5        0        0
55571 python-urlgrabber                  	       0        7        7        0        0
55572 python-urllib3-whl                 	       0        6        0        0        6
55573 python-urwid-doc                   	       0        5        0        0        5
55574 python-usb                         	       0        3        3        0        0
55575 python-utidylib                    	       0       55       54        1        0
55576 python-utmp                        	       0        2        2        0        0
55577 python-vatnumber                   	       0        1        0        0        1
55578 python-vcversioner                 	       0        1        1        0        0
55579 python-venusian                    	       0        1        1        0        0
55580 python-vobject                     	       0       14       13        0        1
55581 python-voluptuous                  	       0        1        1        0        0
55582 python-vte                         	       0        2        0        0        2
55583 python-vtk6                        	       0        2        2        0        0
55584 python-waitress                    	       0        2        2        0        0
55585 python-waitress-doc                	       0        1        0        0        1
55586 python-warlock                     	       0        1        1        0        0
55587 python-wcwidth                     	       0        6        6        0        0
55588 python-webdav                      	       0        1        1        0        0
55589 python-webkit                      	       0        1        1        0        0
55590 python-webob                       	       0       18       18        0        0
55591 python-webob-doc                   	       0        3        0        0        3
55592 python-websocket                   	       0        1        1        0        0
55593 python-websockify                  	       0        2        2        0        0
55594 python-webtest                     	       0        2        2        0        0
55595 python-werkzeug                    	       0       11       10        0        1
55596 python-werkzeug-doc                	       0        5        0        0        5
55597 python-wheel                       	       0       44       42        1        1
55598 python-wheel-common                	       0        3        3        0        0
55599 python-widgetsnbextension          	       0        1        1        0        0
55600 python-wimpiggy                    	       0        1        1        0        0
55601 python-wnck                        	       0       11       11        0        0
55602 python-wrapt                       	       0        6        6        0        0
55603 python-wsaccel                     	       0        1        1        0        0
55604 python-wsgi-intercept              	       0        1        1        0        0
55605 python-wsme                        	       0        1        1        0        0
55606 python-wxgtk-media3.0              	       0        1        0        0        1
55607 python-wxgtk-media4.0              	       0        1        0        0        1
55608 python-wxgtk-webview3.0            	       0        1        0        0        1
55609 python-wxgtk-webview4.0            	       0        1        0        0        1
55610 python-wxgtk2.8                    	       0        3        3        0        0
55611 python-wxgtk3.0-dev                	       0        1        1        0        0
55612 python-wxgtk4.0                    	       0        1        0        0        1
55613 python-xapp                        	       0        1        1        0        0
55614 python-xattr                       	       0        4        4        0        0
55615 python-xcaplib                     	       0        1        1        0        0
55616 python-xkcd-doc                    	       0        1        0        0        1
55617 python-xkit                        	       0        1        1        0        0
55618 python-xklavier                    	       0        1        1        0        0
55619 python-xlib                        	       0       18       18        0        0
55620 python-xlsxwriter                  	       0        2        2        0        0
55621 python-xlwt                        	       0       11       10        0        1
55622 python-xmltodict                   	       0        1        1        0        0
55623 python-xmmsclient                  	       0        4        4        0        0
55624 python-xstatic                     	       0        1        1        0        0
55625 python-xstatic-angular             	       0        1        1        0        0
55626 python-xstatic-angular-bootstrap   	       0        1        1        0        0
55627 python-xstatic-angular-fileupload  	       0        1        1        0        0
55628 python-xstatic-angular-gettext     	       0        1        1        0        0
55629 python-xstatic-angular-lrdragndrop 	       0        1        1        0        0
55630 python-xstatic-angular-schema-form 	       0        1        1        0        0
55631 python-xstatic-bootstrap-datepicker	       0        1        1        0        0
55632 python-xstatic-bootstrap-scss      	       0        1        1        0        0
55633 python-xstatic-bootswatch          	       0        1        1        0        0
55634 python-xstatic-d3                  	       0        1        1        0        0
55635 python-xstatic-font-awesome        	       0        1        1        0        0
55636 python-xstatic-hogan               	       0        1        1        0        0
55637 python-xstatic-jasmine             	       0        1        1        0        0
55638 python-xstatic-jquery              	       0        1        1        0        0
55639 python-xstatic-jquery-migrate      	       0        1        1        0        0
55640 python-xstatic-jquery-ui           	       0        1        1        0        0
55641 python-xstatic-jquery.quicksearch  	       0        1        1        0        0
55642 python-xstatic-jquery.tablesorter  	       0        1        1        0        0
55643 python-xstatic-jsencrypt           	       0        1        1        0        0
55644 python-xstatic-magic-search        	       0        1        1        0        0
55645 python-xstatic-mdi                 	       0        1        1        0        0
55646 python-xstatic-objectpath          	       0        1        1        0        0
55647 python-xstatic-rickshaw            	       0        1        1        0        0
55648 python-xstatic-roboto-fontface     	       0        1        1        0        0
55649 python-xstatic-smart-table         	       0        1        1        0        0
55650 python-xstatic-spin                	       0        1        1        0        0
55651 python-xstatic-term.js             	       0        1        1        0        0
55652 python-xstatic-tv4                 	       0        1        1        0        0
55653 python-xvfbwrapper                 	       0        2        2        0        0
55654 python-yaql                        	       0        1        1        0        0
55655 python-yubico                      	       0        1        1        0        0
55656 python-yubico-tools                	       0        6        6        0        0
55657 python-zake                        	       0        1        1        0        0
55658 python-zaqarclient                 	       0        1        1        0        0
55659 python-zbar                        	       0        8        8        0        0
55660 python-zconfig                     	       0        1        1        0        0
55661 python-zdaemon                     	       0        1        1        0        0
55662 python-zeitgeist                   	       0        4        4        0        0
55663 python-zeroconf                    	       0        3        3        0        0
55664 python-zinnia                      	       0        1        1        0        0
55665 python-zope.interface-dbg          	       0        1        1        0        0
55666 python-zsi                         	       0        1        1        0        0
55667 python2-dbg                        	       0        5        5        0        0
55668 python2-doc                        	       0        5        0        0        5
55669 python2.2                          	       0        1        1        0        0
55670 python2.4                          	       0        1        1        0        0
55671 python2.4-dev                      	       0        1        1        0        0
55672 python2.4-doc                      	       0        1        0        0        1
55673 python2.4-minimal                  	       0        3        3        0        0
55674 python2.5                          	       0        7        7        0        0
55675 python2.5-dev                      	       0        1        1        0        0
55676 python2.6-dbg                      	       0        1        1        0        0
55677 python2.6-dev                      	       0        1        1        0        0
55678 python2.7-dbg                      	       0        7        7        0        0
55679 python2.7-doc                      	       0       11        0        0       11
55680 python2.7-examples                 	       0        2        0        0        2
55681 python3-access2base                	       0        5        4        1        0
55682 python3-accessible-pygments        	       0        2        2        0        0
55683 python3-acdcli                     	       0        1        1        0        0
55684 python3-acora                      	       0        2        2        0        0
55685 python3-acoustid                   	       0       23       21        2        0
55686 python3-actdiag                    	       0        4        4        0        0
55687 python3-actionlib                  	       0        2        2        0        0
55688 python3-actionlib-msgs             	       0        2        2        0        0
55689 python3-adal                       	       0        8        8        0        0
55690 python3-admesh                     	       0        2        2        0        0
55691 python3-afdko                      	       0        2        2        0        0
55692 python3-affine                     	       0        8        8        0        0
55693 python3-agate                      	       0       12       12        0        0
55694 python3-agatedbf                   	       0       12       12        0        0
55695 python3-agateexcel                 	       0       12       12        0        0
55696 python3-agatesql                   	       0       12       12        0        0
55697 python3-aggdraw                    	       0        4        4        0        0
55698 python3-aiodns                     	       0       47       43        4        0
55699 python3-aiodogstatsd               	       0        1        1        0        0
55700 python3-aiofiles                   	       0       21       21        0        0
55701 python3-aiohttp-mako               	       0        1        1        0        0
55702 python3-aiohttp-retry              	       0        1        1        0        0
55703 python3-aiohttp-session            	       0        1        1        0        0
55704 python3-aiohttp-socks              	       0       22       22        0        0
55705 python3-aioopenssl                 	       0        4        4        0        0
55706 python3-aioquic                    	       0        1        1        0        0
55707 python3-aioredis                   	       0       29       28        1        0
55708 python3-aiorpcx                    	       0       16       16        0        0
55709 python3-aiosasl                    	       0        2        2        0        0
55710 python3-aiosmtpd                   	       0        3        3        0        0
55711 python3-aiostream                  	       0       13       12        1        0
55712 python3-ajpy                       	       0       21       21        0        0
55713 python3-alembic                    	       0       15       14        1        0
55714 python3-all                        	       0      501        0        0      501
55715 python3-all-dbg                    	       0        6        0        0        6
55716 python3-all-dev                    	       0      462        0        0      462
55717 python3-altgraph                   	       0        3        3        0        0
55718 python3-ament-cmake-test           	       0        2        2        0        0
55719 python3-ament-lint                 	       0        1        1        0        0
55720 python3-ament-package              	       0        2        2        0        0
55721 python3-ament-xmllint              	       0        1        1        0        0
55722 python3-amqp                       	       0        6        6        0        0
55723 python3-amqplib                    	       0        1        1        0        0
55724 python3-aniso8601                  	       0        3        3        0        0
55725 python3-annexremote                	       0        4        4        0        0
55726 python3-annotated-types            	       0       10       10        0        0
55727 python3-ansible-compat             	       0        8        7        1        0
55728 python3-ansible-pygments           	       0        1        1        0        0
55729 python3-ansible-runner             	       0        1        1        0        0
55730 python3-antlr4                     	       0        9        9        0        0
55731 python3-anyjson                    	       0        7        7        0        0
55732 python3-anyqt                      	       0        1        1        0        0
55733 python3-apipkg                     	       0        1        1        0        0
55734 python3-aplpy                      	       0        2        2        0        0
55735 python3-applicationinsights        	       0        6        6        0        0
55736 python3-apptools                   	       0        3        3        0        0
55737 python3-apscheduler                	       0        4        4        0        0
55738 python3-aptdaemon                  	       0       10       10        0        0
55739 python3-aptdaemon.gtk3widgets      	       0        8        8        0        0
55740 python3-aptly                      	       0        1        1        0        0
55741 python3-apycula                    	       0        1        1        0        0
55742 python3-arcp                       	       0        4        4        0        0
55743 python3-argh                       	       0        6        6        0        0
55744 python3-args                       	       0        7        7        0        0
55745 python3-arrayfire                  	       0        1        1        0        0
55746 python3-artifacts                  	       0       13       13        0        0
55747 python3-asciitree                  	       0        7        7        0        0
55748 python3-asdf                       	       0        2        2        0        0
55749 python3-asdf-astropy               	       0        2        2        0        0
55750 python3-asdf-coordinates-schemas   	       0        2        2        0        0
55751 python3-asdf-standard              	       0        2        2        0        0
55752 python3-asdf-transform-schemas     	       0        2        2        0        0
55753 python3-asdf-wcs-schemas           	       0        2        2        0        0
55754 python3-asteval                    	       0        4        4        0        0
55755 python3-astlib                     	       0        2        2        0        0
55756 python3-astor                      	       0        6        6        0        0
55757 python3-astrometry                 	       0       26       25        1        0
55758 python3-astroml                    	       0        2        2        0        0
55759 python3-astroplan                  	       0        2        2        0        0
55760 python3-astropy                    	       0       34       33        1        0
55761 python3-astropy-affiliated         	       0        2        0        0        2
55762 python3-astropy-healpix            	       0        3        3        0        0
55763 python3-astropy-iers-data          	       0        6        6        0        0
55764 python3-astropy-sphinx-theme       	       0        2        2        0        0
55765 python3-astroquery                 	       0        2        2        0        0
55766 python3-astroscrappy               	       0        3        3        0        0
55767 python3-astunparse                 	       0       11       11        0        0
55768 python3-asyncclick                 	       0        1        1        0        0
55769 python3-asyncpg                    	       0        4        3        1        0
55770 python3-asyncssh                   	       0        1        0        1        0
55771 python3-atomicwrites               	       0       71       70        1        0
55772 python3-aubio                      	       0        8        8        0        0
55773 python3-audioop-lts                	       0        3        3        0        0
55774 python3-audioread                  	       0       25       24        1        0
55775 python3-audit                      	       0        4        3        1        0
55776 python3-authheaders                	       0        1        1        0        0
55777 python3-autobahn                   	       0       20       20        0        0
55778 python3-autopage                   	       0        5        5        0        0
55779 python3-av                         	       0        7        5        2        0
55780 python3-avro                       	       0        9        9        0        0
55781 python3-awscrt                     	       0       20       20        0        0
55782 python3-axolotl                    	       0       44       43        1        0
55783 python3-azext-devops               	       0        6        6        0        0
55784 python3-azure                      	       0        8        8        0        0
55785 python3-azure-cli                  	       0        6        6        0        0
55786 python3-azure-cli-core             	       0        6        6        0        0
55787 python3-azure-cli-telemetry        	       0        6        6        0        0
55788 python3-azure-cli-testsdk          	       0        2        2        0        0
55789 python3-azure-cosmos               	       0        6        6        0        0
55790 python3-azure-cosmosdb-table       	       0        1        1        0        0
55791 python3-azure-datalake-store       	       0        6        6        0        0
55792 python3-azure-devtools             	       0        1        1        0        0
55793 python3-azure-functions-devops-build	       0        2        2        0        0
55794 python3-azure-kusto-data           	       0        1        1        0        0
55795 python3-azure-multiapi-storage     	       0        6        6        0        0
55796 python3-azure-storage              	       0        7        7        0        0
55797 python3-b2sdk                      	       0        1        1        0        0
55798 python3-babelfish                  	       0        6        6        0        0
55799 python3-bandit                     	       0        4        4        0        0
55800 python3-barbicanclient             	       0        1        1        0        0
55801 python3-base58                     	       0       20       20        0        0
55802 python3-bashate                    	       0        2        2        0        0
55803 python3-basix                      	       0        1        1        0        0
55804 python3-beaker                     	       0        7        7        0        0
55805 python3-beancount                  	       0        1        1        0        0
55806 python3-behave                     	       0        1        1        0        0
55807 python3-bibtexparser               	       0        6        6        0        0
55808 python3-billiard                   	       0        3        3        0        0
55809 python3-binary-memcached           	       0        3        3        0        0
55810 python3-binaryornot                	       0       26       26        0        0
55811 python3-biopython                  	       0        3        3        0        0
55812 python3-biplist                    	       0        1        1        0        0
55813 python3-bitarray                   	       0        9        6        3        0
55814 python3-bitcoinlib                 	       0        2        2        0        0
55815 python3-bitmath                    	       0        1        1        0        0
55816 python3-bitstring                  	       0       18       18        0        0
55817 python3-bitstruct                  	       0        1        1        0        0
55818 python3-blessings                  	       0        5        5        0        0
55819 python3-blockdev                   	       0        1        1        0        0
55820 python3-blockdiag                  	       0        4        4        0        0
55821 python3-blosc                      	       0       21       19        2        0
55822 python3-bluez                      	       0       18       16        2        0
55823 python3-blurhash                   	       0        1        1        0        0
55824 python3-bond                       	       0        1        1        0        0
55825 python3-bondpy                     	       0        1        1        0        0
55826 python3-boolean                    	       0        3        2        1        0
55827 python3-booleanoperations          	       0        6        6        0        0
55828 python3-botan                      	       0        3        3        0        0
55829 python3-boto                       	       0        9        9        0        0
55830 python3-boto3                      	       0       19       19        0        0
55831 python3-botocore                   	       0       23       21        2        0
55832 python3-bottle-sqlite              	       0        1        1        0        0
55833 python3-box                        	       0        1        1        0        0
55834 python3-bpfcc                      	       0        3        3        0        0
55835 python3-braceexpand                	       0        2        2        0        0
55836 python3-bracex                     	       0        9        8        1        0
55837 python3-breathe                    	       0        2        2        0        0
55838 python3-breezy-dbgsym              	       0        1        1        0        0
55839 python3-breezy.tests               	       0        2        2        0        0
55840 python3-brial                      	       0        7        7        0        0
55841 python3-brian                      	       0        1        1        0        0
55842 python3-brian-lib                  	       0        1        1        0        0
55843 python3-btrfs                      	       0       23       23        0        0
55844 python3-btrfsutil                  	       0        1        1        0        0
55845 python3-bugzilla                   	       0        2        2        0        0
55846 python3-build                      	       0       12       12        0        0
55847 python3-buildbot-doc               	       0        1        0        0        1
55848 python3-buildlog-consultant        	       0        1        1        0        0
55849 python3-bytecode                   	       0       67       67        0        0
55850 python3-bz2file                    	       0        2        2        0        0
55851 python3-cachecontrol               	       0       16       15        1        0
55852 python3-cached-property            	       0       62       62        0        0
55853 python3-cairo-dev                  	       0        4        3        1        0
55854 python3-cairo-doc                  	       0        1        0        0        1
55855 python3-cairosvg                   	       0       36       36        0        0
55856 python3-caldav                     	       0        2        2        0        0
55857 python3-calmjs                     	       0        1        1        0        0
55858 python3-calmjs.parse               	       0        1        1        0        0
55859 python3-calmjs.types               	       0        1        1        0        0
55860 python3-can                        	       0        1        1        0        0
55861 python3-capstone                   	       0        6        6        0        0
55862 python3-carquinyol                 	       0        1        1        0        0
55863 python3-cartopy                    	       0        9        9        0        0
55864 python3-casa-formats-io            	       0        2        2        0        0
55865 python3-casacore                   	       0        5        5        0        0
55866 python3-castellan                  	       0        1        1        0        0
55867 python3-catalogue                  	       0        1        1        0        0
55868 python3-catkin                     	       0        3        3        0        0
55869 python3-catkin-pkg                 	       0        5        4        1        0
55870 python3-cbor                       	       0       23       22        1        0
55871 python3-cbor2                      	       0        3        3        0        0
55872 python3-ccdproc                    	       0        3        3        0        0
55873 python3-cdio                       	       0        7        6        1        0
55874 python3-cdo                        	       0        2        2        0        0
55875 python3-cdsapi                     	       0        2        2        0        0
55876 python3-celery                     	       0        3        3        0        0
55877 python3-ceph                       	       0        3        0        0        3
55878 python3-ceph-argparse              	       0        7        7        0        0
55879 python3-ceph-common                	       0        6        6        0        0
55880 python3-cephfs                     	       0        7        7        0        0
55881 python3-cerberus                   	       0        8        7        1        0
55882 python3-certbot-dns-dnsimple       	       0        1        1        0        0
55883 python3-certbot-dns-gandi          	       0        9        9        0        0
55884 python3-certbot-dns-ovh            	       0        3        3        0        0
55885 python3-certbot-dns-rfc2136        	       0        4        4        0        0
55886 python3-certbot-dns-standalone     	       0        1        1        0        0
55887 python3-certipy                    	       0        1        1        0        0
55888 python3-cffsubr                    	       0        4        4        0        0
55889 python3-cfgv                       	       0        7        6        1        0
55890 python3-cftime                     	       0       11       10        1        0
55891 python3-chameleon                  	       0        1        1        0        0
55892 python3-characteristic             	       0        1        1        0        0
55893 python3-charon                     	       0       22       22        0        0
55894 python3-cherrypy3                  	       0       15       15        0        0
55895 python3-ci-info                    	       0        1        1        0        0
55896 python3-cinderclient               	       0        2        2        0        0
55897 python3-citeproc                   	       0        1        0        1        0
55898 python3-clang                      	       0        2        0        0        2
55899 python3-clang-11                   	       0        1        1        0        0
55900 python3-clang-19                   	       0        2        0        2        0
55901 python3-cleo                       	       0        9        9        0        0
55902 python3-clevercsv                  	       0        1        1        0        0
55903 python3-cli-helpers                	       0       14       12        2        0
55904 python3-click-default-group        	       0        3        3        0        0
55905 python3-click-didyoumean           	       0        4        4        0        0
55906 python3-click-log                  	       0       24       23        1        0
55907 python3-click-repl                 	       0        3        3        0        0
55908 python3-click-threading            	       0        7        7        0        0
55909 python3-cliff                      	       0        6        6        0        0
55910 python3-cligj                      	       0       13       13        0        0
55911 python3-clint                      	       0        7        7        0        0
55912 python3-cloudpickle                	       0       44       44        0        0
55913 python3-cloudscraper               	       0        1        1        0        0
55914 python3-cmarkgfm                   	       0        5        5        0        0
55915 python3-cmd2                       	       0        6        5        1        0
55916 python3-coda                       	       0        4        4        0        0
55917 python3-colcon-argcomplete         	       0        1        0        1        0
55918 python3-colcon-bash                	       0        1        0        1        0
55919 python3-colcon-cd                  	       0        1        0        1        0
55920 python3-colcon-cmake               	       0        1        0        1        0
55921 python3-colcon-core                	       0        1        0        1        0
55922 python3-colcon-defaults            	       0        1        0        1        0
55923 python3-colcon-devtools            	       0        1        0        1        0
55924 python3-colcon-library-path        	       0        1        0        1        0
55925 python3-colcon-metadata            	       0        1        0        1        0
55926 python3-colcon-notification        	       0        1        0        1        0
55927 python3-colcon-output              	       0        1        0        1        0
55928 python3-colcon-package-information 	       0        1        0        1        0
55929 python3-colcon-package-selection   	       0        1        0        1        0
55930 python3-colcon-parallel-executor   	       0        1        0        1        0
55931 python3-colcon-pkg-config          	       0        1        0        1        0
55932 python3-colcon-python-setup-py     	       0        1        0        1        0
55933 python3-colcon-recursive-crawl     	       0        1        0        1        0
55934 python3-colcon-ros                 	       0        1        0        1        0
55935 python3-colcon-test-result         	       0        1        0        1        0
55936 python3-colcon-zsh                 	       0        1        0        1        0
55937 python3-collada                    	       0        4        3        1        0
55938 python3-colored                    	       0        1        1        0        0
55939 python3-colorlog                   	       0       30       29        1        0
55940 python3-colors                     	       0        1        1        0        0
55941 python3-colour                     	       0       11       11        0        0
55942 python3-commonmark-bkrs            	       0        1        1        0        0
55943 python3-compose                    	       0        7        7        0        0
55944 python3-compreffor                 	       0        1        1        0        0
55945 python3-compyle                    	       0        2        2        0        0
55946 python3-conda-package-streaming    	       0        1        1        0        0
55947 python3-confection                 	       0        1        1        0        0
55948 python3-confget                    	       0        1        1        0        0
55949 python3-configshell-fb             	       0        1        1        0        0
55950 python3-confluent-kafka            	       0        3        3        0        0
55951 python3-confuse                    	       0       11       11        0        0
55952 python3-connection-pool            	       0        1        1        0        0
55953 python3-construct                  	       0       11       11        0        0
55954 python3-construct-classes          	       0        1        1        0        0
55955 python3-convertdate                	       0        6        6        0        0
55956 python3-cookiecutter               	       0       25       25        0        0
55957 python3-coreapi                    	       0        3        3        0        0
55958 python3-coreschema                 	       0        3        3        0        0
55959 python3-cotyledon                  	       0        1        1        0        0
55960 python3-cpl                        	       0        3        3        0        0
55961 python3-cpuset                     	       0        3        3        0        0
55962 python3-cracklib                   	       0        5        4        1        0
55963 python3-crashtest                  	       0        9        9        0        0
55964 python3-crayons                    	       0        2        2        0        0
55965 python3-crc32c                     	       0        1        0        1        0
55966 python3-crcelk                     	       0        1        1        0        0
55967 python3-croniter                   	       0       12       10        2        0
55968 python3-crontab                    	       0        2        2        0        0
55969 python3-crypto                     	       0       47       47        0        0
55970 python3-crypto-dbg                 	       0        1        1        0        0
55971 python3-cryptography-vectors       	       0        9        9        0        0
55972 python3-cs                         	       0        1        1        0        0
55973 python3-csa                        	       0        1        1        0        0
55974 python3-csdr                       	       0        1        1        0        0
55975 python3-cson                       	       0        8        8        0        0
55976 python3-csscompressor              	       0        2        2        0        0
55977 python3-cssmin                     	       0        4        4        0        0
55978 python3-cssselect2                 	       0       48       46        2        0
55979 python3-cssutils                   	       0       79       78        1        0
55980 python3-csvkit                     	       0       12       12        0        0
55981 python3-ctdopts                    	       0        1        1        0        0
55982 python3-cu2qu                      	       0        1        1        0        0
55983 python3-cursive                    	       0        1        1        0        0
55984 python3-curtsies                   	       0        9        9        0        0
55985 python3-cvxopt                     	       0        6        6        0        0
55986 python3-cwcwidth                   	       0       16       13        3        0
55987 python3-cwiid                      	       0        4        4        0        0
55988 python3-cwl-utils                  	       0        3        3        0        0
55989 python3-cxx-dev                    	       0        1        1        0        0
55990 python3-cyarray                    	       0        2        1        1        0
55991 python3-cymem                      	       0        1        1        0        0
55992 python3-cymruwhois                 	       0        8        8        0        0
55993 python3-cypari2                    	       0        8        8        0        0
55994 python3-cysignals-bare             	       0        2        1        1        0
55995 python3-cysignals-pari             	       0        9        8        0        1
55996 python3-cython-blis                	       0        1        1        0        0
55997 python3-dacite                     	       0        9        6        3        0
55998 python3-daemon                     	       0        9        9        0        0
55999 python3-daemonize                  	       0        5        5        0        0
56000 python3-daphne                     	       0        1        1        0        0
56001 python3-dasbus                     	       0        1        1        0        0
56002 python3-dask                       	       0       21       21        0        0
56003 python3-dask-sphinx-theme          	       0        1        1        0        0
56004 python3-databases                  	       0        1        1        0        0
56005 python3-datacache                  	       0        1        1        0        0
56006 python3-datalad                    	       0        4        3        1        0
56007 python3-dateparser                 	       0        6        6        0        0
56008 python3-datrie                     	       0        2        2        0        0
56009 python3-dbf                        	       0        1        1        0        0
56010 python3-dbfread                    	       0       12       12        0        0
56011 python3-dbg                        	       0       14       14        0        0
56012 python3-dbus-next                  	       0        2        1        1        0
56013 python3-dbus.mainloop.qt           	       0        1        1        0        0
56014 python3-dbusmock                   	       0       11        8        3        0
56015 python3-dcmstack                   	       0        1        1        0        0
56016 python3-ddt                        	       0        1        1        0        0
56017 python3-deap                       	       0        2        1        1        0
56018 python3-debmutate                  	       0        7        6        1        0
56019 python3-debtagshw                  	       0        1        1        0        0
56020 python3-debtcollector              	       0       12       11        1        0
56021 python3-deepdiff                   	       0        1        1        0        0
56022 python3-defcon                     	       0       16       16        0        0
56023 python3-defconqt                   	       0        3        3        0        0
56024 python3-defer                      	       0       13       13        0        0
56025 python3-dendropy                   	       0        1        1        0        0
56026 python3-descartes                  	       0        2        2        0        0
56027 python3-designateclient            	       0        1        1        0        0
56028 python3-devedeng                   	       0        1        1        0        0
56029 python3-devpi-common               	       0        1        1        0        0
56030 python3-dfdatetime                 	       0       13       13        0        0
56031 python3-dfvfs                      	       0       13       13        0        0
56032 python3-dfwinreg                   	       0       13       13        0        0
56033 python3-diagnostic-msgs            	       0        1        1        0        0
56034 python3-diagrams                   	       0        1        1        0        0
56035 python3-dialog                     	       0        6        6        0        0
56036 python3-dicom                      	       0        4        0        0        4
56037 python3-dict2xml                   	       0        2        2        0        0
56038 python3-dicttoxml                  	       0        2        2        0        0
56039 python3-diff-match-patch           	       0       70       69        1        0
56040 python3-digiham                    	       0        1        1        0        0
56041 python3-dijitso                    	       0        1        1        0        0
56042 python3-dipy                       	       0        1        1        0        0
56043 python3-dipy-lib                   	       0        1        1        0        0
56044 python3-dirspec                    	       0        1        1        0        0
56045 python3-discogs-client             	       0        1        1        0        0
56046 python3-diskcache                  	       0        1        1        0        0
56047 python3-diskimage-builder          	       0        1        1        0        0
56048 python3-distutils-extra            	       0       16       16        0        0
56049 python3-django-appconf             	       0        2        2        0        0
56050 python3-django-auth-ldap           	       0        1        1        0        0
56051 python3-django-cas-server          	       0        1        1        0        0
56052 python3-django-compressor          	       0        2        2        0        0
56053 python3-django-filters             	       0        2        2        0        0
56054 python3-django-gravatar2           	       0        2        2        0        0
56055 python3-django-guardian            	       0        2        2        0        0
56056 python3-django-haystack            	       0        2        2        0        0
56057 python3-django-hvad                	       0        1        1        0        0
56058 python3-django-hyperkitty          	       0        2        2        0        0
56059 python3-django-js-reverse          	       0        1        1        0        0
56060 python3-django-mailman3            	       0        2        2        0        0
56061 python3-django-maintenance-mode    	       0        1        1        0        0
56062 python3-django-picklefield         	       0        2        2        0        0
56063 python3-django-postorius           	       0        2        2        0        0
56064 python3-django-q                   	       0        2        2        0        0
56065 python3-django-sass-processor      	       0        1        1        0        0
56066 python3-django-wkhtmltopdf         	       0        1        1        0        0
56067 python3-dkim                       	       0        2        2        0        0
56068 python3-dmidecode                  	       0        2        2        0        0
56069 python3-dnaio                      	       0        1        1        0        0
56070 python3-dnf                        	       0        3        3        0        0
56071 python3-dnslib                     	       0        4        4        0        0
56072 python3-doc                        	       0      115        0        0      115
56073 python3-doc8                       	       0        1        1        0        0
56074 python3-dockerpycreds              	       0        4        4        0        0
56075 python3-docs-theme                 	       0        1        1        0        0
56076 python3-docstring-to-markdown      	       0       35       35        0        0
56077 python3-docx                       	       0        1        1        0        0
56078 python3-dogpile.cache              	       0       10       10        0        0
56079 python3-dolfinx                    	       0        1        1        0        0
56080 python3-dolfinx-real               	       0        1        0        0        1
56081 python3-dominate                   	       0        3        3        0        0
56082 python3-donfig                     	       0        1        1        0        0
56083 python3-dotenv-cli                 	       0        1        1        0        0
56084 python3-dotmap                     	       0        2        2        0        0
56085 python3-doxypypy                   	       0        3        3        0        0
56086 python3-dput                       	       0        6        6        0        0
56087 python3-drizzle                    	       0        1        1        0        0
56088 python3-dropbox                    	       0       18       18        0        0
56089 python3-drslib                     	       0        1        1        0        0
56090 python3-duniterpy                  	       0        1        1        0        0
56091 python3-dvdvideo                   	       0        1        1        0        0
56092 python3-dynamic-reconfigure        	       0        1        1        0        0
56093 python3-easydict                   	       0        1        1        0        0
56094 python3-easygui                    	       0        5        5        0        0
56095 python3-easyprocess                	       0        5        5        0        0
56096 python3-easysnmp                   	       0        1        1        0        0
56097 python3-easywebdav                 	       0        3        3        0        0
56098 python3-ebooklib                   	       0        4        4        0        0
56099 python3-ecasound                   	       0        7        7        0        0
56100 python3-eccodes                    	       0        2        2        0        0
56101 python3-ecdsa                      	       0       36       36        0        0
56102 python3-echo                       	       0        1        1        0        0
56103 python3-ecmwflibs                  	       0        1        1        0        0
56104 python3-editables                  	       0        1        1        0        0
56105 python3-editobj3                   	       0        5        5        0        0
56106 python3-editor                     	       0        2        2        0        0
56107 python3-edlib                      	       0        1        1        0        0
56108 python3-eduvpn-client              	       0        5        5        0        0
56109 python3-eduvpn-common              	       0        3        3        0        0
56110 python3-einsteinpy                 	       0        1        1        0        0
56111 python3-elasticsearch              	       0       15       15        0        0
56112 python3-elasticsearch-curator      	       0        1        1        0        0
56113 python3-electrum                   	       0       15       14        1        0
56114 python3-elementpath                	       0        4        4        0        0
56115 python3-email-validator            	       0       10       10        0        0
56116 python3-emcee                      	       0        2        2        0        0
56117 python3-empy                       	       0        6        5        1        0
56118 python3-enet                       	       0        7        7        0        0
56119 python3-enrich                     	       0        8        7        1        0
56120 python3-envisage                   	       0        3        3        0        0
56121 python3-enzyme                     	       0        5        5        0        0
56122 python3-epr                        	       0        3        3        0        0
56123 python3-erfa                       	       0       35       35        0        0
56124 python3-espeak                     	       0        1        1        0        0
56125 python3-etcd                       	       0        5        5        0        0
56126 python3-etcd3                      	       0        3        3        0        0
56127 python3-etcd3gw                    	       0        3        3        0        0
56128 python3-etelemetry                 	       0        1        1        0        0
56129 python3-etesync                    	       0        1        1        0        0
56130 python3-ethtool                    	       0        1        1        0        0
56131 python3-evtx                       	       0        1        1        0        0
56132 python3-ewmh                       	       0       12       12        0        0
56133 python3-exabgp                     	       0        2        2        0        0
56134 python3-exactimage                 	       0        1        1        0        0
56135 python3-examples                   	       0       72        0        0       72
56136 python3-execnet                    	       0        4        4        0        0
56137 python3-exif                       	       0       25        0        0       25
56138 python3-exifread                   	       0       27       27        0        0
56139 python3-expecttest                 	       0        1        1        0        0
56140 python3-expeyes                    	       0        1        1        0        0
56141 python3-expiringdict               	       0        1        1        0        0
56142 python3-extractor                  	       0        1        1        0        0
56143 python3-extras                     	       0       15       15        0        0
56144 python3-extruct                    	       0        2        2        0        0
56145 python3-ezdxf                      	       0        3        3        0        0
56146 python3-fabio                      	       0        1        1        0        0
56147 python3-fabric                     	       0        7        7        0        0
56148 python3-fabulous                   	       0        2        2        0        0
56149 python3-fake-factory               	       0        1        1        0        0
56150 python3-falcon                     	       0        2        2        0        0
56151 python3-fann2                      	       0        1        1        0        0
56152 python3-fast-histogram             	       0        1        1        0        0
56153 python3-fastapi                    	       0       15       13        2        0
56154 python3-fastbencode                	       0       40       35        5        0
56155 python3-feedgenerator              	       0        7        7        0        0
56156 python3-ffc                        	       0        1        1        0        0
56157 python3-ffcx                       	       0        1        1        0        0
56158 python3-fhs                        	       0        1        1        0        0
56159 python3-fhs-doc                    	       0        1        0        0        1
56160 python3-fiat                       	       0        1        1        0        0
56161 python3-fife                       	       0        6        6        0        0
56162 python3-findlibs                   	       0        1        1        0        0
56163 python3-findpython                 	       0        2        1        1        0
56164 python3-fiona                      	       0        7        7        0        0
56165 python3-fire                       	       0        1        1        0        0
56166 python3-firebase-messaging         	       0        1        1        0        0
56167 python3-fisx                       	       0        2        2        0        0
56168 python3-fitsio                     	       0        6        6        0        0
56169 python3-fitz                       	       0       18       16        2        0
56170 python3-fixtures                   	       0       14       14        0        0
56171 python3-flake8                     	       0       54       54        0        0
56172 python3-flake8-black               	       0        1        1        0        0
56173 python3-flaky                      	       0        1        1        0        0
56174 python3-flasgger                   	       0        2        2        0        0
56175 python3-flask-babel                	       0        5        5        0        0
56176 python3-flask-compress             	       0        1        1        0        0
56177 python3-flask-cors                 	       0        5        4        1        0
56178 python3-flask-httpauth             	       0        4        4        0        0
56179 python3-flask-login                	       0        1        1        0        0
56180 python3-flask-restful              	       0        3        3        0        0
56181 python3-flatbuffers                	       0       17       17        0        0
56182 python3-flexcache                  	       0        1        1        0        0
56183 python3-flexparser                 	       0        1        1        0        0
56184 python3-fltk                       	       0        1        1        0        0
56185 python3-fltk-doc                   	       0        1        0        0        1
56186 python3-flufl.bounce               	       0        2        2        0        0
56187 python3-fontforge                  	       0       16       16        0        0
56188 python3-fontmake                   	       0        2        2        0        0
56189 python3-fontmath                   	       0        3        3        0        0
56190 python3-fontparts                  	       0        2        2        0        0
56191 python3-fontpens                   	       0       14       14        0        0
56192 python3-fpdf                       	       0        1        1        0        0
56193 python3-fpylll                     	       0        9        9        0        0
56194 python3-fpyutils                   	       0        2        2        0        0
56195 python3-freeipa                    	       0        1        1        0        0
56196 python3-freezegun                  	       0       11       11        0        0
56197 python3-fritzconnection            	       0        1        1        0        0
56198 python3-fsapfs                     	       0       13       13        0        0
56199 python3-fsspec                     	       0       20       18        2        0
56200 python3-ftdi                       	       0        1        1        0        0
56201 python3-ftdi-doc                   	       0        1        0        0        1
56202 python3-ftdi1                      	       0        2        2        0        0
56203 python3-ftputil                    	       0        1        1        0        0
56204 python3-full                       	       0       61        0        0       61
56205 python3-funcparserlib              	       0       10       10        0        0
56206 python3-funcsigs                   	       0        4        4        0        0
56207 python3-furl                       	       0        1        1        0        0
56208 python3-fusepy                     	       0       16       16        0        0
56209 python3-futurist                   	       0        3        3        0        0
56210 python3-fuzzywuzzy                 	       0        8        8        0        0
56211 python3-galpy                      	       0        1        1        0        0
56212 python3-gammapy                    	       0        1        1        0        0
56213 python3-ganeti-rapi                	       0        1        1        0        0
56214 python3-gattlib                    	       0       18       16        2        0
56215 python3-gdbm-dbg                   	       0        3        3        0        0
56216 python3-gdcm                       	       0        5        5        0        0
56217 python3-gdl                        	       0        1        1        0        0
56218 python3-gencpp                     	       0        2        2        0        0
56219 python3-genetic                    	       0        1        1        0        0
56220 python3-genlisp                    	       0        2        2        0        0
56221 python3-genmsg                     	       0        4        4        0        0
56222 python3-genpy                      	       0        4        4        0        0
56223 python3-genshi                     	       0       13       12        1        0
56224 python3-geographiclib              	       0        9        9        0        0
56225 python3-geoip2                     	       0        1        1        0        0
56226 python3-geojson                    	       0        7        7        0        0
56227 python3-geolinks                   	       0        3        3        0        0
56228 python3-geomet                     	       0        4        4        0        0
56229 python3-geometry-msgs              	       0        2        2        0        0
56230 python3-geopandas                  	       0        5        5        0        0
56231 python3-geopy                      	       0        7        7        0        0
56232 python3-geotiepoints               	       0        2        2        0        0
56233 python3-gerritlib                  	       0        1        1        0        0
56234 python3-ges-1.0                    	       0       34       34        0        0
56235 python3-getdns                     	       0        1        1        0        0
56236 python3-gevent-websocket           	       0        7        7        0        0
56237 python3-gflags                     	       0        1        1        0        0
56238 python3-ghostscript                	       0        2        2        0        0
56239 python3-gimmik                     	       0        1        1        0        0
56240 python3-ginga                      	       0        1        1        0        0
56241 python3-git                        	       0       22       20        2        0
56242 python3-git-big-picture            	       0        7        7        0        0
56243 python3-git-delete-merged-branches 	       0        3        1        2        0
56244 python3-git-os-job                 	       0        1        1        0        0
56245 python3-git-repo-updater           	       0        1        1        0        0
56246 python3-gitdb                      	       0       22       22        0        0
56247 python3-github                     	       0       43       40        3        0
56248 python3-gitlab                     	       0       26       26        0        0
56249 python3-gjson                      	       0        1        1        0        0
56250 python3-glad                       	       0        1        1        0        0
56251 python3-glanceclient               	       0        2        2        0        0
56252 python3-gleetex                    	       0        1        1        0        0
56253 python3-glob2                      	       0        3        3        0        0
56254 python3-glue                       	       0        1        1        0        0
56255 python3-glymur                     	       0        2        2        0        0
56256 python3-glyphslib                  	       0        2        2        0        0
56257 python3-gnucash-dbgsym             	       0        1        1        0        0
56258 python3-gnuplot                    	       0        1        1        0        0
56259 python3-google-api-core            	       0        1        1        0        0
56260 python3-google-auth-httplib2       	       0       13       13        0        0
56261 python3-google-auth-oauthlib       	       0        5        5        0        0
56262 python3-googleapi                  	       0       13       12        1        0
56263 python3-googleapis-common-protos   	       0        1        1        0        0
56264 python3-gphoto2                    	       0        6        6        0        0
56265 python3-gphoto2cffi                	       0        1        1        0        0
56266 python3-gpumodules                 	       0       28       28        0        0
56267 python3-gpxpy                      	       0       16       16        0        0
56268 python3-graph-tool                 	       0        1        1        0        0
56269 python3-graphql-core               	       0        1        1        0        0
56270 python3-grib                       	       0        2        2        0        0
56271 python3-grpc-tools                 	       0        2        2        0        0
56272 python3-gsw                        	       0        1        1        0        0
56273 python3-gtfparse                   	       0        1        1        0        0
56274 python3-gtkspellcheck              	       0       14       14        0        0
56275 python3-guessit                    	       0        6        6        0        0
56276 python3-guidata                    	       0        3        3        0        0
56277 python3-guiqwt                     	       0        3        2        1        0
56278 python3-guizero                    	       0        2        2        0        0
56279 python3-gunicorn                   	       0        8        7        1        0
56280 python3-guzzle-sphinx-theme        	       0        3        3        0        0
56281 python3-gv                         	       0        1        1        0        0
56282 python3-gvm                        	       0        1        1        0        0
56283 python3-gwcs                       	       0        2        2        0        0
56284 python3-gwebsockets                	       0        1        1        0        0
56285 python3-gyoto                      	       0        1        1        0        0
56286 python3-h5netcdf                   	       0        5        5        0        0
56287 python3-h5py                       	       0       19        2        1       16
56288 python3-h5py-serial                	       0       20       19        1        0
56289 python3-hamlib                     	       0        4        4        0        0
56290 python3-harmony                    	       0        2        2        0        0
56291 python3-hatch-vcs                  	       0        8        8        0        0
56292 python3-hatchling                  	       0        8        8        0        0
56293 python3-hawkey                     	       0        3        3        0        0
56294 python3-hdf-compass                	       0        1        1        0        0
56295 python3-hdf4                       	       0        3        3        0        0
56296 python3-healpy                     	       0        4        4        0        0
56297 python3-heapdict                   	       0       12       12        0        0
56298 python3-heatclient                 	       0        1        1        0        0
56299 python3-hgapi                      	       0        1        1        0        0
56300 python3-hglib                      	       0        1        1        0        0
56301 python3-hid                        	       0       10        9        1        0
56302 python3-hidapi                     	       0        3        3        0        0
56303 python3-hips                       	       0        1        1        0        0
56304 python3-hiredis                    	       0       32       31        1        0
56305 python3-hisat2                     	       0        1        1        0        0
56306 python3-hkdf                       	       0       16       16        0        0
56307 python3-hsluv                      	       0        4        4        0        0
56308 python3-html-text                  	       0        2        2        0        0
56309 python3-html5rdf                   	       0        1        1        0        0
56310 python3-htmlmin                    	       0        1        1        0        0
56311 python3-htseq                      	       0        1        1        0        0
56312 python3-httmock                    	       0        1        1        0        0
56313 python3-http-ece                   	       0        1        1        0        0
56314 python3-httpretty                  	       0        4        4        0        0
56315 python3-hunspell                   	       0        1        1        0        0
56316 python3-hupper                     	       0        2        2        0        0
56317 python3-hy                         	       0        4        4        0        0
56318 python3-i3ipc                      	       0        3        3        0        0
56319 python3-iapws                      	       0        1        1        0        0
56320 python3-icmplib                    	       0        1        1        0        0
56321 python3-icoextract                 	       0       14       13        1        0
56322 python3-identify                   	       0        6        5        1        0
56323 python3-igraph                     	       0        1        1        0        0
56324 python3-ilorest                    	       0        1        1        0        0
56325 python3-imageio                    	       0       13       13        0        0
56326 python3-imath                      	       0        5        5        0        0
56327 python3-imdbpy                     	       0        7        7        0        0
56328 python3-imexam                     	       0        2        2        0        0
56329 python3-imgviz                     	       0        2        2        0        0
56330 python3-iminuit                    	       0        1        1        0        0
56331 python3-immutabledict              	       0        4        4        0        0
56332 python3-imobiledevice              	       0        6        6        0        0
56333 python3-importlib-resources        	       0        6        6        0        0
56334 python3-infinity                   	       0        4        4        0        0
56335 python3-inflection                 	       0       23       23        0        0
56336 python3-influxdb                   	       0       39       38        1        0
56337 python3-iniparse                   	       0       59       59        0        0
56338 python3-inject                     	       0        1        1        0        0
56339 python3-inputremapper              	       0        3        3        0        0
56340 python3-installer                  	       0        9        8        1        0
56341 python3-intelhex                   	       0        7        7        0        0
56342 python3-internetarchive            	       0        2        1        1        0
56343 python3-ipaclient                  	       0       17       17        0        0
56344 python3-ipaddr                     	       0        1        1        0        0
56345 python3-ipahealthcheck-core        	       0        1        1        0        0
56346 python3-ipalib                     	       0       17       17        0        0
56347 python3-irc                        	       0        2        2        0        0
56348 python3-irodsclient                	       0        1        1        0        0
56349 python3-isc-dhcp-leases            	       0        1        1        0        0
56350 python3-iso8601                    	       0       23       23        0        0
56351 python3-itemadapter                	       0        1        1        0        0
56352 python3-itemloaders                	       0        1        1        0        0
56353 python3-itypes                     	       0        4        4        0        0
56354 python3-jack-client                	       0        3        3        0        0
56355 python3-jae                        	       0        2        2        0        0
56356 python3-jarabe                     	       0        1        1        0        0
56357 python3-jaraco.collections         	       0       14       14        0        0
56358 python3-javaproperties             	       0        6        6        0        0
56359 python3-jellyfish                  	       0       36       33        3        0
56360 python3-jenkins                    	       0        2        2        0        0
56361 python3-jinja2-time                	       0       22       22        0        0
56362 python3-jira                       	       0        2        2        0        0
56363 python3-jmespath                   	       0      108      107        1        0
56364 python3-jose                       	       0        1        1        0        0
56365 python3-jplephem                   	       0        3        3        0        0
56366 python3-jpylyzer                   	       0        2        2        0        0
56367 python3-js8py                      	       0        1        1        0        0
56368 python3-jschema-to-python          	       0        1        1        0        0
56369 python3-jsmin                      	       0        7        7        0        0
56370 python3-json-tricks                	       0        2        2        0        0
56371 python3-jsonext                    	       0        1        1        0        0
56372 python3-jsonhyperschema-codec      	       0        1        1        0        0
56373 python3-jsonnet                    	       0        1        1        0        0
56374 python3-jsonpath-rw                	       0        2        2        0        0
56375 python3-jsonpath-rw-ext            	       0        1        1        0        0
56376 python3-jsonpickle                 	       0        3        3        0        0
56377 python3-jsonrpc                    	       0        1        1        0        0
56378 python3-jsonrpclib-pelix           	       0        3        3        0        0
56379 python3-jstyleson                  	       0        2        2        0        0
56380 python3-jupyter-telemetry          	       0        1        1        0        0
56381 python3-jupyterlab-widgets         	       0        1        0        1        0
56382 python3-jwcrypto                   	       0       11       11        0        0
56383 python3-k8sclient                  	       0        1        1        0        0
56384 python3-kaitaistruct               	       0       12       12        0        0
56385 python3-kanboard                   	       0        1        1        0        0
56386 python3-kanjidraw                  	       0        1        1        0        0
56387 python3-kazoo                      	       0        3        3        0        0
56388 python3-kea-connector              	       0        2        2        0        0
56389 python3-keepalive                  	       0        1        1        0        0
56390 python3-keras                      	       0        1        1        0        0
56391 python3-keras-applications         	       0        2        2        0        0
56392 python3-keras-preprocessing        	       0        2        2        0        0
56393 python3-keystoneauth1              	       0        9        9        0        0
56394 python3-keystoneclient             	       0        8        8        0        0
56395 python3-keystonemiddleware         	       0        3        3        0        0
56396 python3-kineticstools              	       0        1        1        0        0
56397 python3-kismetcapturefreaklabszigbee	       0        1        1        0        0
56398 python3-kismetcapturertl433        	       0        1        1        0        0
56399 python3-kismetcapturertladsb       	       0        1        1        0        0
56400 python3-kismetcapturertlamr        	       0        1        1        0        0
56401 python3-kiss-headers               	       0        1        1        0        0
56402 python3-kitchen                    	       0        2        2        0        0
56403 python3-klaus                      	       0        1        1        0        0
56404 python3-knack                      	       0        6        6        0        0
56405 python3-kombu                      	       0        6        6        0        0
56406 python3-kubernetes                 	       0        3        3        0        0
56407 python3-kytos-sphinx-theme         	       0        1        1        0        0
56408 python3-l20n                       	       0        2        2        0        0
56409 python3-labgrid                    	       0        1        1        0        0
56410 python3-langtable                  	       0        1        1        0        0
56411 python3-lark                       	       0        5        5        0        0
56412 python3-lasagne                    	       0        1        1        0        0
56413 python3-lazy-loader                	       0        2        2        0        0
56414 python3-leather                    	       0       12       12        0        0
56415 python3-legacycrypt                	       0        4        0        4        0
56416 python3-lensfun                    	       0        3        3        0        0
56417 python3-lesscpy                    	       0        2        2        0        0
56418 python3-lexicon                    	       0        5        5        0        0
56419 python3-libbde                     	       0       13       13        0        0
56420 python3-libcamera                  	       0        1        0        1        0
56421 python3-libcegui-mk2-0.8.7         	       0        1        0        0        1
56422 python3-libcloud                   	       0       65       64        1        0
56423 python3-libcomps                   	       0        3        3        0        0
56424 python3-libconcord                 	       0        3        3        0        0
56425 python3-libcreg                    	       0       13       13        0        0
56426 python3-libdnf                     	       0        3        3        0        0
56427 python3-libesedb                   	       0       13       13        0        0
56428 python3-libevt                     	       0       13       13        0        0
56429 python3-libevtx                    	       0       13       13        0        0
56430 python3-libewf                     	       0       13       13        0        0
56431 python3-libfdt                     	       0        1        1        0        0
56432 python3-libfsext                   	       0       13       13        0        0
56433 python3-libfshfs                   	       0       13       13        0        0
56434 python3-libfsntfs                  	       0       15       15        0        0
56435 python3-libfsxfs                   	       0       13       13        0        0
56436 python3-libfvde                    	       0       13       13        0        0
56437 python3-libfwnt                    	       0       13       13        0        0
56438 python3-libfwsi                    	       0       13       13        0        0
56439 python3-libgpiod                   	       0        1        1        0        0
56440 python3-libipa-hbac                	       0       17       17        0        0
56441 python3-liblnk                     	       0       13       13        0        0
56442 python3-liblo                      	       0       13       13        0        0
56443 python3-libluksde                  	       0       13       13        0        0
56444 python3-libmodernize               	       0        4        4        0        0
56445 python3-libmsiecf                  	       0       13       13        0        0
56446 python3-libnacl                    	       0        1        1        0        0
56447 python3-libolecf                   	       0       13       13        0        0
56448 python3-libproxy                   	       0        2        2        0        0
56449 python3-libpulse                   	       0        1        1        0        0
56450 python3-libqcow                    	       0       14       14        0        0
56451 python3-librdf                     	       0        1        1        0        0
56452 python3-librecaptcha               	       0        2        2        0        0
56453 python3-libregf                    	       0       13       13        0        0
56454 python3-librouteros                	       0        1        1        0        0
56455 python3-libscca                    	       0       13       13        0        0
56456 python3-libsigscan                 	       0       13       13        0        0
56457 python3-libsmdev                   	       0       13       13        0        0
56458 python3-libsmraw                   	       0       13       13        0        0
56459 python3-libsvm                     	       0        2        2        0        0
56460 python3-libtiff                    	       0        1        1        0        0
56461 python3-libtmux                    	       0        9        9        0        0
56462 python3-libusb1                    	       0        1        0        0        1
56463 python3-libvhdi                    	       0       13       13        0        0
56464 python3-libvmdk                    	       0       13       13        0        0
56465 python3-libvshadow                 	       0       13       13        0        0
56466 python3-libvslvm                   	       0       13       13        0        0
56467 python3-license-expression         	       0        3        2        1        0
56468 python3-linecache2                 	       0        3        3        0        0
56469 python3-listparser                 	       0        3        3        0        0
56470 python3-lldb                       	       0        1        0        0        1
56471 python3-lldb-11                    	       0        2        0        0        2
56472 python3-lldb-14                    	       0       10        0        0       10
56473 python3-lldb-15                    	       0        1        0        0        1
56474 python3-lldb-16                    	       0        4        0        0        4
56475 python3-lldb-19                    	       0        6        0        0        6
56476 python3-llvmlite                   	       0       77       77        0        0
56477 python3-lmdb                       	       0        1        1        0        0
56478 python3-lmfit                      	       0        1        1        0        0
56479 python3-logbook                    	       0        8        8        0        0
56480 python3-logfury                    	       0        2        2        0        0
56481 python3-logilab-constraint         	       0        1        1        0        0
56482 python3-loguru                     	       0        2        2        0        0
56483 python3-lxc                        	       0       30       30        0        0
56484 python3-lxml-dbg                   	       0        1        1        0        0
56485 python3-ly                         	       0       16       15        1        0
56486 python3-lzstring                   	       0        1        1        0        0
56487 python3-m2crypto                   	       0       13       13        0        0
56488 python3-m2r                        	       0        4        4        0        0
56489 python3-m3u8                       	       0        3        3        0        0
56490 python3-macholib                   	       0        3        3        0        0
56491 python3-magcode-core               	       0        1        1        0        0
56492 python3-mailman-hyperkitty         	       0        2        2        0        0
56493 python3-mailmanclient              	       0        2        2        0        0
56494 python3-maison                     	       0        1        1        0        0
56495 python3-mallard.ducktype           	       0        3        3        0        0
56496 python3-mando                      	       0        1        1        0        0
56497 python3-manimpango                 	       0        1        1        0        0
56498 python3-map-msgs                   	       0        1        1        0        0
56499 python3-mapbox-earcut              	       0        1        0        1        0
56500 python3-mapnik                     	       0        5        5        0        0
56501 python3-mapscript                  	       0        3        3        0        0
56502 python3-mariadb-connector          	       0        1        0        1        0
56503 python3-markdown2                  	       0        2        2        0        0
56504 python3-markups                    	       0       22       22        0        0
56505 python3-marshmallow                	       0        9        9        0        0
56506 python3-mastodon                   	       0        2        2        0        0
56507 python3-mathgl                     	       0        1        1        0        0
56508 python3-matplotlib-venn            	       0        1        1        0        0
56509 python3-matrix-nio                 	       0        7        7        0        0
56510 python3-maxminddb                  	       0        1        1        0        0
56511 python3-md-toc                     	       0        2        2        0        0
56512 python3-mdit-py-plugins            	       0        2        2        0        0
56513 python3-mdp                        	       0        2        2        0        0
56514 python3-mdx-math                   	       0       24       24        0        0
56515 python3-mechanicalsoup             	       0        1        1        0        0
56516 python3-mediafile                  	       0       12       12        0        0
56517 python3-meld3                      	       0        1        1        0        0
56518 python3-memcache                   	       0       16       16        0        0
56519 python3-memoized-property          	       0        1        1        0        0
56520 python3-memory-allocator           	       0        8        8        0        0
56521 python3-mensa                      	       0        1        1        0        0
56522 python3-mercurial-extension-utils  	       0        5        5        0        0
56523 python3-merge3                     	       0       41       41        0        0
56524 python3-mergedeep                  	       0      120      119        1        0
56525 python3-meshio                     	       0        2        2        0        0
56526 python3-meshtastic                 	       0        2        2        0        0
56527 python3-mesonpy                    	       0        3        3        0        0
56528 python3-message-filters            	       0        1        1        0        0
56529 python3-metaconfig                 	       0        1        1        0        0
56530 python3-mf2py                      	       0        2        2        0        0
56531 python3-microversion-parse         	       0        1        1        0        0
56532 python3-midiutil                   	       0        1        1        0        0
56533 python3-migrate                    	       0        6        6        0        0
56534 python3-miio                       	       0        1        1        0        0
56535 python3-milter                     	       0        1        1        0        0
56536 python3-minecraftpi                	       0        4        4        0        0
56537 python3-minidb                     	       0        3        3        0        0
56538 python3-minieigen                  	       0        4        4        0        0
56539 python3-mintpy                     	       0        2        2        0        0
56540 python3-mistletoe                  	       0       11       11        0        0
56541 python3-mistune0                   	       0        8        8        0        0
56542 python3-mitogen                    	       0        2        2        0        0
56543 python3-mlpy                       	       0        1        1        0        0
56544 python3-mlpy-lib                   	       0        1        1        0        0
56545 python3-mlt7                       	       0        1        1        0        0
56546 python3-mmllib                     	       0        1        1        0        0
56547 python3-mnemonic                   	       0       19       19        0        0
56548 python3-mockldap                   	       0        2        2        0        0
56549 python3-montage-wrapper            	       0        2        2        0        0
56550 python3-move-base-msgs             	       0        2        2        0        0
56551 python3-moviepy                    	       0        1        1        0        0
56552 python3-mpd                        	       0       18       16        2        0
56553 python3-mpl-scatter-density        	       0        1        1        0        0
56554 python3-mplcursors                 	       0        1        1        0        0
56555 python3-mpv                        	       0        2        2        0        0
56556 python3-msal                       	       0        9        9        0        0
56557 python3-msal-extensions            	       0        8        8        0        0
56558 python3-msgpack-numpy              	       0        3        3        0        0
56559 python3-msrest                     	       0        8        8        0        0
56560 python3-msrestazure                	       0        8        8        0        0
56561 python3-multi-key-dict             	       0        2        2        0        0
56562 python3-multibootusb               	       0        9        8        1        0
56563 python3-multipart                  	       0        8        8        0        0
56564 python3-multipledispatch           	       0        1        1        0        0
56565 python3-multipletau                	       0        1        1        0        0
56566 python3-multiprocess               	       0        2        2        0        0
56567 python3-munch                      	       0       11       11        0        0
56568 python3-mupdf                      	       0        2        1        1        0
56569 python3-murmurhash                 	       0        2        2        0        0
56570 python3-musicpd                    	       0        1        1        0        0
56571 python3-mutatormath                	       0        2        2        0        0
56572 python3-mwclient                   	       0        1        1        0        0
56573 python3-mygpoclient                	       0       20       20        0        0
56574 python3-myhdl                      	       0        2        2        0        0
56575 python3-mysql.connector            	       0        3        3        0        0
56576 python3-myst-parser                	       0        2        2        0        0
56577 python3-nagiosplugin               	       0        3        3        0        0
56578 python3-nameparser                 	       0        1        1        0        0
56579 python3-nautilus                   	       0       52        1        0       51
56580 python3-nav-msgs                   	       0        1        1        0        0
56581 python3-nbxmpp                     	       0       58       55        3        0
56582 python3-ncclient                   	       0        1        1        0        0
56583 python3-ndcube                     	       0        2        2        0        0
56584 python3-neovim                     	       0       19        1        0       18
56585 python3-netcdf4                    	       0       10        9        1        0
56586 python3-networkmanager             	       0        2        2        0        0
56587 python3-networkx                   	       0       93       91        2        0
56588 python3-neutron                    	       0        1        1        0        0
56589 python3-neutron-lib                	       0        1        1        0        0
56590 python3-neutron-vpnaas             	       0        1        1        0        0
56591 python3-neutronclient              	       0        3        3        0        0
56592 python3-nibabel                    	       0        3        3        0        0
56593 python3-nipy                       	       0        1        1        0        0
56594 python3-nipy-lib                   	       0        1        1        0        0
56595 python3-nipype                     	       0        1        1        0        0
56596 python3-nitime                     	       0        1        1        0        0
56597 python3-nlopt                      	       0        3        3        0        0
56598 python3-nmap                       	       0        3        3        0        0
56599 python3-nmea2                      	       0        2        2        0        0
56600 python3-nodelet                    	       0        1        1        0        0
56601 python3-nodelet-topic-tools        	       0        1        1        0        0
56602 python3-nose-parameterized         	       0        1        1        0        0
56603 python3-nose-random                	       0        1        1        0        0
56604 python3-nose2                      	       0       20       20        0        0
56605 python3-notcurses                  	       0        2        2        0        0
56606 python3-notmuch                    	       0        1        1        0        0
56607 python3-notmuch2                   	       0        7        7        0        0
56608 python3-nototools                  	       0        1        1        0        0
56609 python3-nova                       	       0        1        1        0        0
56610 python3-novaclient                 	       0        3        3        0        0
56611 python3-novnc                      	       0        4        4        0        0
56612 python3-nox                        	       0        2        2        0        0
56613 python3-nss                        	       0        2        2        0        0
56614 python3-ntlm-auth                  	       0       63       62        1        0
56615 python3-ntplib                     	       0        1        1        0        0
56616 python3-nudatus                    	       0        1        1        0        0
56617 python3-num2words                  	       0        6        6        0        0
56618 python3-numba                      	       0       77       77        0        0
56619 python3-numcodecs                  	       0        7        6        1        0
56620 python3-numpy-dbg                  	       0        3        3        0        0
56621 python3-nut                        	       0        5        5        0        0
56622 python3-nwdiag                     	       0        4        4        0        0
56623 python3-oauth2client               	       0       13       13        0        0
56624 python3-obspy-deps                 	       0        3        0        0        3
56625 python3-odil                       	       0        2        2        0        0
56626 python3-ofxparse                   	       0        5        5        0        0
56627 python3-olm                        	       0        7        7        0        0
56628 python3-omegaconf                  	       0        1        1        0        0
56629 python3-omg                        	       0        1        1        0        0
56630 python3-onewire                    	       0        1        1        0        0
56631 python3-onnx                       	       0        2        2        0        0
56632 python3-ontospy                    	       0        1        1        0        0
56633 python3-openai                     	       0        2        0        2        0
56634 python3-opencamlib                 	       0       13        9        4        0
56635 python3-opencv                     	       0       58       57        1        0
56636 python3-opencv-apps                	       0        2        2        0        0
56637 python3-openid                     	       0        4        4        0        0
56638 python3-openrazer                  	       0        2        1        1        0
56639 python3-openscap                   	       0        1        1        0        0
56640 python3-opensnitch-ui              	       0        5        5        0        0
56641 python3-openstackclient            	       0        2        2        0        0
56642 python3-openstacksdk               	       0        4        4        0        0
56643 python3-openstep-plist             	       0        2        1        1        0
56644 python3-opentimestamps             	       0        1        1        0        0
56645 python3-openturns                  	       0        2        2        0        0
56646 python3-openvswitch                	       0        4        4        0        0
56647 python3-ordered-set                	       0        1        1        0        0
56648 python3-orderedattrdict            	       0        2        2        0        0
56649 python3-orderedmultidict           	       0        1        1        0        0
56650 python3-os-brick                   	       0        1        1        0        0
56651 python3-os-client-config           	       0        4        4        0        0
56652 python3-os-ken                     	       0        1        1        0        0
56653 python3-os-resource-classes        	       0        2        2        0        0
56654 python3-os-service-types           	       0        9        9        0        0
56655 python3-os-traits                  	       0        2        2        0        0
56656 python3-os-vif                     	       0        2        2        0        0
56657 python3-os-win                     	       0        1        1        0        0
56658 python3-osc-lib                    	       0        5        5        0        0
56659 python3-osc-placement              	       0        1        1        0        0
56660 python3-oscrypto                   	       0       15       15        0        0
56661 python3-oslo.cache                 	       0        3        3        0        0
56662 python3-oslo.concurrency           	       0        3        3        0        0
56663 python3-oslo.config                	       0       11       11        0        0
56664 python3-oslo.context               	       0       10       10        0        0
56665 python3-oslo.db                    	       0        3        3        0        0
56666 python3-oslo.i18n                  	       0       12       12        0        0
56667 python3-oslo.limit                 	       0        1        1        0        0
56668 python3-oslo.log                   	       0       10       10        0        0
56669 python3-oslo.messaging             	       0        3        3        0        0
56670 python3-oslo.metrics               	       0        3        3        0        0
56671 python3-oslo.middleware            	       0        3        3        0        0
56672 python3-oslo.policy                	       0        3        3        0        0
56673 python3-oslo.privsep               	       0        2        2        0        0
56674 python3-oslo.reports               	       0        2        2        0        0
56675 python3-oslo.rootwrap              	       0        2        2        0        0
56676 python3-oslo.serialization         	       0       11       11        0        0
56677 python3-oslo.service               	       0        3        3        0        0
56678 python3-oslo.upgradecheck          	       0        3        3        0        0
56679 python3-oslo.utils                 	       0       12       11        1        0
56680 python3-oslo.versionedobjects      	       0        2        2        0        0
56681 python3-oslosphinx                 	       0        1        1        0        0
56682 python3-osmapi                     	       0        2        2        0        0
56683 python3-osprofiler                 	       0        2        2        0        0
56684 python3-othman                     	       0        1        1        0        0
56685 python3-overpass                   	       0        2        2        0        0
56686 python3-overpy                     	       0        2        2        0        0
56687 python3-ovsdbapp                   	       0        2        2        0        0
56688 python3-owslib                     	       0       44       42        2        0
56689 python3-packagekit                 	       0        3        3        0        0
56690 python3-pacparser                  	       0        1        1        0        0
56691 python3-pafy                       	       0        1        1        0        0
56692 python3-paho-mqtt                  	       0       12       12        0        0
56693 python3-pako                       	       0        2        2        0        0
56694 python3-pallets-sphinx-themes      	       0        1        1        0        0
56695 python3-pamela                     	       0        1        1        0        0
56696 python3-panflute                   	       0        1        1        0        0
56697 python3-parallax                   	       0        2        2        0        0
56698 python3-parallel                   	       0        5        5        0        0
56699 python3-parameterized              	       0        6        6        0        0
56700 python3-paraview                   	       0        3        3        0        0
56701 python3-parfive                    	       0        1        1        0        0
56702 python3-parse                      	       0        1        1        0        0
56703 python3-parse-type                 	       0        1        1        0        0
56704 python3-parsel                     	       0        1        1        0        0
56705 python3-partd                      	       0       21       21        0        0
56706 python3-passlib                    	       0       80       76        4        0
56707 python3-paste                      	       0       16       16        0        0
56708 python3-pastedeploy                	       0       14       14        0        0
56709 python3-pastedeploy-tpl            	       0       11        0        0       11
56710 python3-path                       	       0        2        2        0        0
56711 python3-path-and-address           	       0        5        5        0        0
56712 python3-pathspec                   	       0       70       69        1        0
56713 python3-pathtools                  	       0        3        2        1        0
56714 python3-pathvalidate               	       0        1        1        0        0
56715 python3-patsy                      	       0       11       11        0        0
56716 python3-pbcommand                  	       0        1        1        0        0
56717 python3-pbcore                     	       0        1        1        0        0
56718 python3-pbsuite-utils              	       0        1        1        0        0
56719 python3-pcapy                      	       0        2        2        0        0
56720 python3-pcbasic                    	       0        4        4        0        0
56721 python3-pcbasic-doc                	       0        2        0        0        2
56722 python3-pcp                        	       0        6        5        1        0
56723 python3-pcpasswd                   	       0        1        1        0        0
56724 python3-pcre                       	       0        4        4        0        0
56725 python3-pcre2                      	       0        3        1        2        0
56726 python3-pdfkit                     	       0        4        4        0        0
56727 python3-pdfrw                      	       0        2        2        0        0
56728 python3-pdm                        	       0        2        1        1        0
56729 python3-pdm-pep517                 	       0        2        1        1        0
56730 python3-pdoc                       	       0        1        1        0        0
56731 python3-pecan                      	       0        5        5        0        0
56732 python3-pendulum                   	       0        5        5        0        0
56733 python3-pep517                     	       0        8        7        1        0
56734 python3-pep8                       	       0       52       52        0        0
56735 python3-petsc4py                   	       0        1        1        0        0
56736 python3-petsc4py-real              	       0        1        0        0        1
56737 python3-petsc4py-real3.18          	       0        1        0        0        1
56738 python3-pex                        	       0        1        1        0        0
56739 python3-pg8000                     	       0        2        2        0        0
56740 python3-pgmagick                   	       0        1        1        0        0
56741 python3-pgpy                       	       0        2        2        0        0
56742 python3-pgpy-doc                   	       0        2        0        0        2
56743 python3-pgspecial                  	       0        4        4        0        0
56744 python3-pgzero                     	       0        1        1        0        0
56745 python3-photutils                  	       0        3        3        0        0
56746 python3-pika                       	       0        3        3        0        0
56747 python3-pil-dbg                    	       0        1        1        0        0
56748 python3-pil.imagetk-dbg            	       0        1        1        0        0
56749 python3-pilkit                     	       0        1        1        0        0
56750 python3-ping3                      	       0        1        1        0        0
56751 python3-pint                       	       0        5        5        0        0
56752 python3-pip-whl                    	       0      493        0        0      493
56753 python3-pipdeptree                 	       0        7        7        0        0
56754 python3-pkgconfig                  	       0       11       11        0        0
56755 python3-pkginfo                    	       0       23       22        1        0
56756 python3-plac                       	       0        1        1        0        0
56757 python3-plakativ                   	       0        4        4        0        0
56758 python3-plaster                    	       0        2        2        0        0
56759 python3-plaster-pastedeploy        	       0        2        2        0        0
56760 python3-plist                      	       0        8        8        0        0
56761 python3-plotly                     	       0       46       44        2        0
56762 python3-plplot                     	       0        1        1        0        0
56763 python3-plplot-qt                  	       0        1        1        0        0
56764 python3-pluginbase                 	       0        2        2        0        0
56765 python3-plyvel                     	       0        2        2        0        0
56766 python3-png                        	       0      110      108        2        0
56767 python3-pocketsphinx               	       0        2        2        0        0
56768 python3-podcastparser              	       0       19       19        0        0
56769 python3-poetry                     	       0        8        8        0        0
56770 python3-poetry-core                	       0       11       11        0        0
56771 python3-poezio-poopt               	       0        1        1        0        0
56772 python3-poliastro                  	       0        1        1        0        0
56773 python3-polib                      	       0       20       20        0        0
56774 python3-pony                       	       0        1        1        0        0
56775 python3-port-for                   	       0        1        1        0        0
56776 python3-portalocker                	       0        9        9        0        0
56777 python3-portend                    	       0       15       15        0        0
56778 python3-positional                 	       0        1        1        0        0
56779 python3-posix-ipc                  	       0        1        0        0        1
56780 python3-postgresql                 	       0        1        1        0        0
56781 python3-potr                       	       0        1        1        0        0
56782 python3-power                      	       0        1        1        0        0
56783 python3-powerline                  	       0       16       16        0        0
56784 python3-powerline-gitstatus        	       0        3        3        0        0
56785 python3-ppl                        	       0        9        8        1        0
56786 python3-praw                       	       0        2        2        0        0
56787 python3-prawcore                   	       0        2        2        0        0
56788 python3-preshed                    	       0        1        1        0        0
56789 python3-pretty-yaml                	       0        1        1        0        0
56790 python3-primecountpy               	       0        8        8        0        0
56791 python3-proglog                    	       0        1        1        0        0
56792 python3-progress                   	       0        1        1        0        0
56793 python3-progressbar2               	       0        1        1        0        0
56794 python3-proselint                  	       0        2        2        0        0
56795 python3-protego                    	       0        1        1        0        0
56796 python3-proto-plus                 	       0        1        1        0        0
56797 python3-proton-client              	       0        4        4        0        0
56798 python3-proton-keyring-linux-secretservice	       0        2        2        0        0
56799 python3-proton-vpn-connection      	       0        1        1        0        0
56800 python3-proton-vpn-killswitch      	       0        1        1        0        0
56801 python3-proton-vpn-killswitch-network-manager	       0        2        2        0        0
56802 python3-proton-vpn-killswitch-network-manager-wireguard	       0        1        1        0        0
56803 python3-proton-vpn-logger          	       0        2        2        0        0
56804 python3-proton-vpn-network-manager-openvpn	       0        2        2        0        0
56805 python3-proton-vpn-network-manager-wireguard	       0        1        1        0        0
56806 python3-protonvpn-nm-lib           	       0        4        4        0        0
56807 python3-prov                       	       0        5        5        0        0
56808 python3-proxmoxer                  	       0        1        1        0        0
56809 python3-psautohint                 	       0        4        4        0        0
56810 python3-pskc                       	       0        1        1        0        0
56811 python3-psycopg                    	       0        5        4        1        0
56812 python3-psycopg2cffi               	       0        4        4        0        0
56813 python3-ptable                     	       0        4        4        0        0
56814 python3-ptrace                     	       0        1        1        0        0
56815 python3-publicsuffix2              	       0       12       12        0        0
56816 python3-pubsub                     	       0        5        5        0        0
56817 python3-pulp                       	       0        2        2        0        0
56818 python3-pulsectl                   	       0        1        1        0        0
56819 python3-pure-sasl                  	       0        3        3        0        0
56820 python3-pweave                     	       0        1        1        0        0
56821 python3-pwquality                  	       0        4        4        0        0
56822 python3-py3exiv2                   	       0        1        1        0        0
56823 python3-pyaarlo                    	       0        1        0        1        0
56824 python3-pyalsa                     	       0        4        4        0        0
56825 python3-pyaps3                     	       0        2        2        0        0
56826 python3-pyavm                      	       0        2        2        0        0
56827 python3-pyaxmlparser               	       0        7        6        1        0
56828 python3-pybeam                     	       0        3        3        0        0
56829 python3-pybigwig                   	       0        1        1        0        0
56830 python3-pybind11                   	       0        3        3        0        0
56831 python3-pycadf                     	       0        3        3        0        0
56832 python3-pychromecast               	       0       17       17        0        0
56833 python3-pyclipper                  	       0       10        8        2        0
56834 python3-pycoast                    	       0        3        3        0        0
56835 python3-pycodestyle                	       0       86       86        0        0
56836 python3-pycuda                     	       0        1        1        0        0
56837 python3-pydata-sphinx-theme        	       0        6        5        1        0
56838 python3-pydbus                     	       0       14       14        0        0
56839 python3-pydecorate                 	       0        1        1        0        0
56840 python3-pydenticon                 	       0        1        1        0        0
56841 python3-pydicom                    	       0        7        7        0        0
56842 python3-pydispatch                 	       0        1        1        0        0
56843 python3-pydl                       	       0        2        2        0        0
56844 python3-pydocstyle                 	       0       31       31        0        0
56845 python3-pydotplus                  	       0        1        1        0        0
56846 python3-pyds9                      	       0        1        1        0        0
56847 python3-pydub                      	       0        3        3        0        0
56848 python3-pydyf                      	       0       10        9        1        0
56849 python3-pyface                     	       0        3        3        0        0
56850 python3-pyfftw                     	       0        2        2        0        0
56851 python3-pyfiglet                   	       0        3        3        0        0
56852 python3-pyflakes                   	       0       69       69        0        0
56853 python3-pyftpdlib                  	       0        4        4        0        0
56854 python3-pyfzf                      	       0        2        1        1        0
56855 python3-pygac                      	       0        2        2        0        0
56856 python3-pygal                      	       0        1        1        0        0
56857 python3-pygame-dbgsym              	       0        1        1        0        0
56858 python3-pygeoif                    	       0        4        4        0        0
56859 python3-pygeoip                    	       0        1        1        0        0
56860 python3-pygit2                     	       0       20       20        0        0
56861 python3-pyglet                     	       0       15       15        0        0
56862 python3-pyglfw                     	       0        1        1        0        0
56863 python3-pyglossary                 	       0        1        1        0        0
56864 python3-pygpu                      	       0        3        3        0        0
56865 python3-pygrace                    	       0        1        1        0        0
56866 python3-pygraphviz                 	       0       91       84        7        0
56867 python3-pygresql                   	       0        1        1        0        0
56868 python3-pyhamtools                 	       0        2        2        0        0
56869 python3-pyjavaproperties           	       0        1        1        0        0
56870 python3-pyjokes                    	       0        1        1        0        0
56871 python3-pykcs11                    	       0        3        3        0        0
56872 python3-pykde4                     	       0        1        1        0        0
56873 python3-pykdl                      	       0        1        1        0        0
56874 python3-pykdtree                   	       0        4        4        0        0
56875 python3-pykeepass                  	       0        2        2        0        0
56876 python3-pykml                      	       0        3        3        0        0
56877 python3-pykwalify                  	       0        6        6        0        0
56878 python3-pylama                     	       0        3        3        0        0
56879 python3-pylast                     	       0        3        3        0        0
56880 python3-pyldap                     	       0        1        0        0        1
56881 python3-pylev                      	       0        9        9        0        0
56882 python3-pylibdmtx                  	       0        1        1        0        0
56883 python3-pylibmc                    	       0        1        1        0        0
56884 python3-pylint-venv                	       0       23       23        0        0
56885 python3-pyls                       	       0        2        2        0        0
56886 python3-pyls-black                 	       0        2        2        0        0
56887 python3-pyls-jsonrpc               	       0        2        2        0        0
56888 python3-pyls-spyder                	       0       25       25        0        0
56889 python3-pylsp                      	       0       35       35        0        0
56890 python3-pylsp-black                	       0       24       24        0        0
56891 python3-pylsp-isort                	       0        1        1        0        0
56892 python3-pylsp-jsonrpc              	       0       35       35        0        0
56893 python3-pylsp-rope                 	       0        1        1        0        0
56894 python3-pylsqpack                  	       0        1        1        0        0
56895 python3-pymad                      	       0        1        1        0        0
56896 python3-pymap3d                    	       0        3        3        0        0
56897 python3-pymca5                     	       0        1        1        0        0
56898 python3-pymdownx                   	       0        3        3        0        0
56899 python3-pymeasure                  	       0        4        4        0        0
56900 python3-pymediainfo                	       0       18       17        1        0
56901 python3-pymeeus                    	       0        6        6        0        0
56902 python3-pymemcache                 	       0        3        3        0        0
56903 python3-pymoc                      	       0        1        1        0        0
56904 python3-pymodbus                   	       0        3        3        0        0
56905 python3-pymssql                    	       0        6        6        0        0
56906 python3-pymummer                   	       0        1        1        0        0
56907 python3-pymupdf                    	       0        2        1        1        0
56908 python3-pynag                      	       0        1        1        0        0
56909 python3-pyninjotiff                	       0        1        1        0        0
56910 python3-pynliner                   	       0        1        1        0        0
56911 python3-pynlpl                     	       0        2        2        0        0
56912 python3-pynput                     	       0        2        2        0        0
56913 python3-pynvml                     	       0        7        6        1        0
56914 python3-pyo                        	       0        9        9        0        0
56915 python3-pyocd                      	       0        1        1        0        0
56916 python3-pyopencl                   	       0        6        5        1        0
56917 python3-pyoprf                     	       0        1        1        0        0
56918 python3-pyorbital                  	       0        2        2        0        0
56919 python3-pyosmium                   	       0        7        6        1        0
56920 python3-pypandoc                   	       0       10       10        0        0
56921 python3-pypeg2                     	       0        1        1        0        0
56922 python3-pyperform                  	       0        1        1        0        0
56923 python3-pyproject-api              	       0        1        1        0        0
56924 python3-pyproject-hooks            	       0        6        6        0        0
56925 python3-pyproject-metadata         	       0        3        2        1        0
56926 python3-pyprojroot                 	       0        1        1        0        0
56927 python3-pyqrcode                   	       0       23       23        0        0
56928 python3-pyqt-distutils             	       0        3        3        0        0
56929 python3-pyqt4                      	       0        8        8        0        0
56930 python3-pyqt4.qtopengl             	       0        2        2        0        0
56931 python3-pyqt5-dbg                  	       0        2        2        0        0
56932 python3-pyqt5.qtbluetooth          	       0        2        2        0        0
56933 python3-pyqt5.qtchart              	       0      106      105        1        0
56934 python3-pyqt5.qtnfc                	       0        2        2        0        0
56935 python3-pyqt5.qtx11extras          	       0        5        5        0        0
56936 python3-pyqt5.qtxmlpatterns-dbg    	       0        1        1        0        0
56937 python3-pyqt5.qwt                  	       0       44       42        2        0
56938 python3-pyqt5.sip-dbg              	       0        1        1        0        0
56939 python3-pyqt6.qsci                 	       0        9        8        1        0
56940 python3-pyqt6.qtbluetooth          	       0        4        1        3        0
56941 python3-pyqt6.qtcharts             	       0       12       11        1        0
56942 python3-pyqt6.qtdesigner           	       0        6        3        3        0
56943 python3-pyqt6.qthelp               	       0       11        8        3        0
56944 python3-pyqt6.qtnfc                	       0        2        1        1        0
56945 python3-pyqt6.qtpdf                	       0        4        1        3        0
56946 python3-pyqt6.qtpositioning        	       0        4        1        3        0
56947 python3-pyqt6.qtquick3d            	       0        4        1        3        0
56948 python3-pyqt6.qtremoteobjects      	       0        4        1        3        0
56949 python3-pyqt6.qtsensors            	       0        4        1        3        0
56950 python3-pyqt6.qtserialport         	       0       11        8        3        0
56951 python3-pyqt6.qtwebsockets         	       0        5        2        3        0
56952 python3-pyqtbuild                  	       0        6        5        1        0
56953 python3-pyqtconsole                	       0        1        1        0        0
56954 python3-pyquery                    	       0       15       15        0        0
56955 python3-pyramid                    	       0        2        2        0        0
56956 python3-pyramid-chameleon          	       0        1        1        0        0
56957 python3-pyramid-tm                 	       0        1        1        0        0
56958 python3-pyrdfa                     	       0        2        2        0        0
56959 python3-pyregion                   	       0        2        2        0        0
56960 python3-pyresample                 	       0        2        2        0        0
56961 python3-pyro4                      	       0        1        1        0        0
56962 python3-pyroma                     	       0        1        1        0        0
56963 python3-pyroute2                   	       0       13       13        0        0
56964 python3-pyroute2.core              	       0        1        1        0        0
56965 python3-pyroute2.ethtool           	       0        1        1        0        0
56966 python3-pyroute2.ipdb              	       0        1        1        0        0
56967 python3-pyroute2.ipset             	       0        1        1        0        0
56968 python3-pyroute2.ndb               	       0        1        1        0        0
56969 python3-pyroute2.nftables          	       0        1        1        0        0
56970 python3-pyroute2.nslink            	       0        1        1        0        0
56971 python3-pyroute2.protocols         	       0        1        1        0        0
56972 python3-pyrr                       	       0        1        1        0        0
56973 python3-pyrss2gen                  	       0        3        3        0        0
56974 python3-pysal                      	       0        1        1        0        0
56975 python3-pysam                      	       0        3        3        0        0
56976 python3-pysaml2                    	       0        1        1        0        0
56977 python3-pyshp                      	       0       14       13        1        0
56978 python3-pyside.qtcore              	       0        1        1        0        0
56979 python3-pyside.qtgui               	       0        1        1        0        0
56980 python3-pyside.qtsvg               	       0        1        1        0        0
56981 python3-pyside.qtuitools           	       0        1        1        0        0
56982 python3-pyside.qtxml               	       0        1        1        0        0
56983 python3-pyside2.qt3dcore           	       0        3        3        0        0
56984 python3-pyside2.qt3dextras         	       0        2        2        0        0
56985 python3-pyside2.qt3dinput          	       0        2        2        0        0
56986 python3-pyside2.qt3dlogic          	       0        1        1        0        0
56987 python3-pyside2.qt3drender         	       0        3        3        0        0
56988 python3-pyside2.qtcharts           	       0        1        1        0        0
56989 python3-pyside2.qtconcurrent       	       0        1        1        0        0
56990 python3-pyside2.qthelp             	       0        1        1        0        0
56991 python3-pyside2.qtlocation         	       0        1        1        0        0
56992 python3-pyside2.qtmultimedia       	       0        2        2        0        0
56993 python3-pyside2.qtmultimediawidgets	       0        2        2        0        0
56994 python3-pyside2.qtopengl           	       0      119      116        3        0
56995 python3-pyside2.qtpositioning      	       0        1        1        0        0
56996 python3-pyside2.qtqml              	       0        4        4        0        0
56997 python3-pyside2.qtquick            	       0        4        4        0        0
56998 python3-pyside2.qtquickcontrols2   	       0        1        1        0        0
56999 python3-pyside2.qtquickwidgets     	       0        2        2        0        0
57000 python3-pyside2.qtscript           	       0        1        1        0        0
57001 python3-pyside2.qtscripttools      	       0        1        1        0        0
57002 python3-pyside2.qtsensors          	       0        1        1        0        0
57003 python3-pyside2.qtsql              	       0        1        1        0        0
57004 python3-pyside2.qtsvg              	       0      119      116        3        0
57005 python3-pyside2.qttest             	       0        1        1        0        0
57006 python3-pyside2.qttexttospeech     	       0        1        1        0        0
57007 python3-pyside2.qtuitools          	       0      120      117        3        0
57008 python3-pyside2.qtwebengine        	       0       82       79        3        0
57009 python3-pyside2.qtwebsockets       	       0        1        1        0        0
57010 python3-pyside2.qtx11extras        	       0        4        4        0        0
57011 python3-pyside2.qtxml              	       0      120      117        3        0
57012 python3-pyside2.qtxmlpatterns      	       0        1        1        0        0
57013 python3-pyside2uic                 	       0        1        1        0        0
57014 python3-pyside6.qtbluetooth        	       0        1        0        1        0
57015 python3-pysnmp4                    	       0       65       62        3        0
57016 python3-pysnmp4-mibs               	       0        2        2        0        0
57017 python3-pysodium                   	       0        1        1        0        0
57018 python3-pysolid                    	       0        2        2        0        0
57019 python3-pyspectral                 	       0        2        2        0        0
57020 python3-pysph                      	       0        1        1        0        0
57021 python3-pyspnego                   	       0        2        0        2        0
57022 python3-pysrt                      	       0        6        6        0        0
57023 python3-pystache                   	       0       43       42        1        0
57024 python3-pystray                    	       0        3        3        0        0
57025 python3-pysubs2                    	       0        2        2        0        0
57026 python3-pyswarms                   	       0        1        1        0        0
57027 python3-pysword                    	       0        5        4        1        0
57028 python3-pysynphot                  	       0        1        1        0        0
57029 python3-pytde                      	       0        3        3        0        0
57030 python3-pyte                       	       0        7        7        0        0
57031 python3-pytest-arraydiff           	       0       35       35        0        0
57032 python3-pytest-astropy             	       0       34       21        0       13
57033 python3-pytest-astropy-header      	       0       34       34        0        0
57034 python3-pytest-asyncio             	       0        1        1        0        0
57035 python3-pytest-benchmark           	       0        1        1        0        0
57036 python3-pytest-codeblocks          	       0        1        1        0        0
57037 python3-pytest-cython              	       0        2        2        0        0
57038 python3-pytest-django              	       0        1        1        0        0
57039 python3-pytest-doctestplus         	       0       37       37        0        0
57040 python3-pytest-filter-subpackage   	       0       34       34        0        0
57041 python3-pytest-flask               	       0        1        1        0        0
57042 python3-pytest-helpers-namespace   	       0        1        1        0        0
57043 python3-pytest-lazy-fixture        	       0        1        1        0        0
57044 python3-pytest-mock                	       0       25       25        0        0
57045 python3-pytest-openfiles           	       0       30       30        0        0
57046 python3-pytest-pep8                	       0        1        1        0        0
57047 python3-pytest-pylint              	       0        1        1        0        0
57048 python3-pytest-remotedata          	       0       37       37        0        0
57049 python3-pytest-repeat              	       0        1        0        1        0
57050 python3-pytest-rerunfailures       	       0        1        0        1        0
57051 python3-pytest-runner              	       0        2        2        0        0
57052 python3-pytest-timeout             	       0        1        1        0        0
57053 python3-pytest-twisted             	       0        1        1        0        0
57054 python3-pytest-xdist               	       0        4        4        0        0
57055 python3-pytestqt                   	       0        1        1        0        0
57056 python3-python-multipart           	       0        3        2        1        0
57057 python3-python-qt-binding          	       0        2        2        0        0
57058 python3-python-socks               	       0       19       19        0        0
57059 python3-python-telegram-bot        	       0        3        3        0        0
57060 python3-python-utils               	       0       26       26        0        0
57061 python3-python-zxcvbn-rs-py        	       0        2        2        0        0
57062 python3-pythonmagick               	       0        1        1        0        0
57063 python3-pytimeparse                	       0       12       12        0        0
57064 python3-pytkdocs                   	       0        3        3        0        0
57065 python3-pytoolconfig               	       0       32       32        0        0
57066 python3-pytools                    	       0       11       11        0        0
57067 python3-pytqt                      	       0        3        3        0        0
57068 python3-pytzdata                   	       0        7        6        1        0
57069 python3-pyu2f                      	       0        3        3        0        0
57070 python3-pyuca                      	       0        2        2        0        0
57071 python3-pyvirtualdisplay           	       0        5        5        0        0
57072 python3-pyvisa                     	       0        5        5        0        0
57073 python3-pyvisa-py                  	       0        5        4        0        1
57074 python3-pyvo                       	       0        2        2        0        0
57075 python3-pywayland                  	       0        1        0        1        0
57076 python3-pywayland-doc              	       0        1        0        0        1
57077 python3-pywps                      	       0        2        2        0        0
57078 python3-pywt                       	       0       13       13        0        0
57079 python3-pyxid                      	       0        1        1        0        0
57080 python3-pyzfs                      	       0        3        2        1        0
57081 python3-qcelemental                	       0        1        1        0        0
57082 python3-qpageview                  	       0       13       12        1        0
57083 python3-qrcodegen                  	       0        2        2        0        0
57084 python3-qrencode                   	       0        5        5        0        0
57085 python3-qstylizer                  	       0       23       23        0        0
57086 python3-qt-material                	       0        1        1        0        0
57087 python3-qt5reactor                 	       0        1        1        0        0
57088 python3-qtawesome                  	       0       32       31        1        0
57089 python3-qtpy-pyqt5                 	       0        2        0        0        2
57090 python3-qtpy-pyqt6                 	       0        3        0        0        3
57091 python3-qtpynodeeditor             	       0        1        1        0        0
57092 python3-qtsass                     	       0       39       38        1        0
57093 python3-quark-sphinx-theme         	       0        1        1        0        0
57094 python3-questplus                  	       0        1        1        0        0
57095 python3-queuelib                   	       0        1        1        0        0
57096 python3-qutip                      	       0        1        1        0        0
57097 python3-qwt3d-qt5                  	       0        1        1        0        0
57098 python3-radicale                   	       0       13       13        0        0
57099 python3-radio-beam                 	       0        2        2        0        0
57100 python3-rados                      	       0        7        7        0        0
57101 python3-random2                    	       0       20       19        1        0
57102 python3-randomize                  	       0        1        1        0        0
57103 python3-rangehttpserver            	       0        6        6        0        0
57104 python3-rapidfuzz                  	       0       16        9        7        0
57105 python3-rapidjson                  	       0        1        1        0        0
57106 python3-rarfile                    	       0        9        9        0        0
57107 python3-rasterio                   	       0        7        7        0        0
57108 python3-ratelimiter                	       0        2        2        0        0
57109 python3-rawkit                     	       0        1        1        0        0
57110 python3-rbd                        	       0        6        6        0        0
57111 python3-rcon                       	       0        1        1        0        0
57112 python3-rdflib                     	       0       14       14        0        0
57113 python3-rdflib-jsonld              	       0        2        2        0        0
57114 python3-readability                	       0        4        4        0        0
57115 python3-readme-renderer            	       0        6        6        0        0
57116 python3-rebulk                     	       0        6        6        0        0
57117 python3-recipe-scrapers            	       0        2        2        0        0
57118 python3-reclass                    	       0        1        1        0        0
57119 python3-recurring-ical-events      	       0        2        2        0        0
57120 python3-redis                      	       0       65       63        2        0
57121 python3-reedsolo                   	       0        3        2        1        0
57122 python3-refurb                     	       0        1        1        0        0
57123 python3-regions                    	       0        1        1        0        0
57124 python3-relational                 	       0        1        1        0        0
57125 python3-relatorio                  	       0        2        2        0        0
57126 python3-renderdoc                  	       0        3        3        0        0
57127 python3-renpy                      	       0        5        5        0        0
57128 python3-reparser                   	       0        1        1        0        0
57129 python3-repolib                    	       0        3        3        0        0
57130 python3-repoze.sphinx.autointerface	       0        1        1        0        0
57131 python3-repoze.who                 	       0        1        1        0        0
57132 python3-reproject                  	       0        3        3        0        0
57133 python3-requests-futures           	       0        5        5        0        0
57134 python3-requests-kerberos          	       0       64       63        1        0
57135 python3-requests-ntlm              	       0       65       62        3        0
57136 python3-requests-unixsocket        	       0        4        4        0        0
57137 python3-requestsexceptions         	       0        6        6        0        0
57138 python3-requirement-parser         	       0        2        2        0        0
57139 python3-resolvelib                 	       0       52       51        1        0
57140 python3-resource-retriever         	       0        1        1        0        0
57141 python3-responses                  	       0        5        5        0        0
57142 python3-restructuredtext-lint      	       0        2        2        0        0
57143 python3-retry                      	       0        1        1        0        0
57144 python3-retrying                   	       0       16       16        0        0
57145 python3-rgain                      	       0        1        1        0        0
57146 python3-rgain3                     	       0        1        1        0        0
57147 python3-rgw                        	       0        3        3        0        0
57148 python3-ring-doorbell              	       0        1        0        1        0
57149 python3-rioxarray                  	       0        2        2        0        0
57150 python3-robot-detection            	       0        2        2        0        0
57151 python3-rope                       	       0       35       35        0        0
57152 python3-rosbag                     	       0        1        1        0        0
57153 python3-rosboost-cfg               	       0        1        1        0        0
57154 python3-rosclean                   	       0        1        1        0        0
57155 python3-roscpp-msg                 	       0        2        2        0        0
57156 python3-roscreate                  	       0        1        1        0        0
57157 python3-rosdep2                    	       0        1        1        0        0
57158 python3-rosdistro                  	       0        1        1        0        0
57159 python3-rosgraph                   	       0        2        2        0        0
57160 python3-rosgraph-msgs              	       0        2        2        0        0
57161 python3-rosinstall                 	       0        1        1        0        0
57162 python3-rosinstall-generator       	       0        1        1        0        0
57163 python3-roslaunch                  	       0        1        1        0        0
57164 python3-roslib                     	       0        2        2        0        0
57165 python3-roslz4                     	       0        1        1        0        0
57166 python3-rosmake                    	       0        1        1        0        0
57167 python3-rosmaster                  	       0        1        1        0        0
57168 python3-rosmsg                     	       0        1        1        0        0
57169 python3-rosnode                    	       0        1        1        0        0
57170 python3-rosparam                   	       0        1        1        0        0
57171 python3-rospkg                     	       0        2        2        0        0
57172 python3-rospy                      	       0        2        2        0        0
57173 python3-rosservice                 	       0        1        1        0        0
57174 python3-rostest                    	       0        1        1        0        0
57175 python3-rostopic                   	       0        1        1        0        0
57176 python3-rosunit                    	       0        2        2        0        0
57177 python3-roswtf                     	       0        1        1        0        0
57178 python3-rpaths                     	       0        1        1        0        0
57179 python3-rply                       	       0        7        7        0        0
57180 python3-rpy2                       	       0       15       15        0        0
57181 python3-rq                         	       0        2        2        0        0
57182 python3-rrdtool                    	       0        1        1        0        0
57183 python3-rstcheck                   	       0        3        3        0        0
57184 python3-rtmidi                     	       0        5        5        0        0
57185 python3-rtree                      	       0       32       29        3        0
57186 python3-rtslib-fb                  	       0        1        1        0        0
57187 python3-ruamel.yaml.clib           	       0      153      143       10        0
57188 python3-ruffus                     	       0        1        1        0        0
57189 python3-ruyaml                     	       0        1        1        0        0
57190 python3-rx                         	       0        1        1        0        0
57191 python3-s3transfer                 	       0       22       21        1        0
57192 python3-sabyenc                    	       0        1        1        0        0
57193 python3-sadisplay                  	       0        1        1        0        0
57194 python3-sage                       	       0        8        8        0        0
57195 python3-sagenb-export              	       0        8        8        0        0
57196 python3-sagetex                    	       0        7        7        0        0
57197 python3-saltpylint                 	       0        1        1        0        0
57198 python3-samsungctl                 	       0        1        1        0        0
57199 python3-saneyaml                   	       0        1        1        0        0
57200 python3-sarif-python-om            	       0        1        1        0        0
57201 python3-satpy                      	       0        1        1        0        0
57202 python3-schedule                   	       0        1        1        0        0
57203 python3-schema-salad               	       0        3        3        0        0
57204 python3-scikit-fmm                 	       0        1        1        0        0
57205 python3-scp                        	       0       10       10        0        0
57206 python3-scramp                     	       0        1        1        0        0
57207 python3-scrapy                     	       0        1        1        0        0
57208 python3-screed                     	       0        2        2        0        0
57209 python3-screeninfo                 	       0        3        3        0        0
57210 python3-scriptforge                	       0        3        2        1        0
57211 python3-scruffy                    	       0        2        2        0        0
57212 python3-sdl2                       	       0       13       13        0        0
57213 python3-seaborn                    	       0       10       10        0        0
57214 python3-seafile                    	       0        1        1        0        0
57215 python3-searpc                     	       0        2        2        0        0
57216 python3-searx                      	       0        5        5        0        0
57217 python3-securestring               	       0        1        0        1        0
57218 python3-securesystemslib           	       0        1        1        0        0
57219 python3-sedparse                   	       0        1        1        0        0
57220 python3-semanage                   	       0        4        3        1        0
57221 python3-sensor-msgs                	       0        2        2        0        0
57222 python3-sentinelsat                	       0        4        4        0        0
57223 python3-sepolgen                   	       0        4        3        1        0
57224 python3-sepolicy                   	       0        4        3        1        0
57225 python3-seqdiag                    	       0        4        4        0        0
57226 python3-serial-asyncio             	       0       10       10        0        0
57227 python3-serializable               	       0        1        1        0        0
57228 python3-serpent                    	       0        1        1        0        0
57229 python3-setools                    	       0        9        8        1        0
57230 python3-setoolsgui                 	       0        2        2        0        0
57231 python3-setuptools-git             	       0        3        3        0        0
57232 python3-setuptools-scm             	       0       56       54        2        0
57233 python3-setuptools-whl             	       0      489        0        0      489
57234 python3-sexpdata                   	       0        1        1        0        0
57235 python3-sfml                       	       0        2        2        0        0
57236 python3-sgp4                       	       0        2        2        0        0
57237 python3-sh                         	       0       14       14        0        0
57238 python3-shape-msgs                 	       0        1        1        0        0
57239 python3-shellescape                	       0        4        4        0        0
57240 python3-shortuuid                  	       0        1        1        0        0
57241 python3-showinfilemanager          	       0        2        2        0        0
57242 python3-sigmavirus24-urltemplate   	       0        2        0        0        2
57243 python3-silx                       	       0        1        1        0        0
57244 python3-simple-cdd                 	       0        3        3        0        0
57245 python3-simplebayes                	       0        4        4        0        0
57246 python3-simplegeneric              	       0       19       19        0        0
57247 python3-simplematch                	       0        1        1        0        0
57248 python3-simpy                      	       0        1        1        0        0
57249 python3-simpy3                     	       0        2        2        0        0
57250 python3-single-version             	       0        1        1        0        0
57251 python3-singledispatch             	       0        5        5        0        0
57252 python3-sip-dbg                    	       0        1        1        0        0
57253 python3-sip-dev                    	       0       13       12        1        0
57254 python3-sip-tqt                    	       0        3        3        0        0
57255 python3-sipbuild                   	       0      190      166       24        0
57256 python3-skimage                    	       0       15       15        0        0
57257 python3-skimage-lib                	       0       15       15        0        0
57258 python3-skyfield                   	       0        2        2        0        0
57259 python3-skytools                   	       0        1        1        0        0
57260 python3-sleekxmpp                  	       0        1        1        0        0
57261 python3-slepc4py                   	       0        1        1        0        0
57262 python3-slepc4py-real              	       0        1        0        0        1
57263 python3-slepc4py-real3.18          	       0        1        0        0        1
57264 python3-slimit                     	       0        4        4        0        0
57265 python3-slimmer                    	       0        4        4        0        0
57266 python3-slip                       	       0        2        2        0        0
57267 python3-slip-dbus                  	       0        2        2        0        0
57268 python3-slixmpp                    	       0        2        2        0        0
57269 python3-slixmpp-lib                	       0        2        2        0        0
57270 python3-slugify                    	       0       43       43        0        0
57271 python3-smart-open                 	       0        1        1        0        0
57272 python3-smbus                      	       0       11       10        1        0
57273 python3-smclib                     	       0        1        1        0        0
57274 python3-smmap                      	       0       22       22        0        0
57275 python3-smoke-zephyr               	       0        1        1        0        0
57276 python3-snappy                     	       0       21       21        0        0
57277 python3-snuggs                     	       0        7        7        0        0
57278 python3-soapysdr                   	       0        6        6        0        0
57279 python3-socksipychain              	       0        1        1        0        0
57280 python3-softlayer                  	       0        5        5        0        0
57281 python3-sortedcollections          	       0        7        7        0        0
57282 python3-soundfile                  	       0        5        5        0        0
57283 python3-spake2                     	       0       15       15        0        0
57284 python3-sparqlwrapper              	       0       14       14        0        0
57285 python3-sparse                     	       0        3        3        0        0
57286 python3-specreduce                 	       0        1        1        0        0
57287 python3-specreduce-data            	       0        1        1        0        0
57288 python3-spectral-cube              	       0        2        2        0        0
57289 python3-specutils                  	       0        2        2        0        0
57290 python3-speg                       	       0        8        8        0        0
57291 python3-spglib                     	       0        1        1        0        0
57292 python3-sphinx-a4doc               	       0        2        2        0        0
57293 python3-sphinx-argparse            	       0        2        2        0        0
57294 python3-sphinx-astropy             	       0        2        2        0        0
57295 python3-sphinx-autoapi             	       0        1        1        0        0
57296 python3-sphinx-autobuild           	       0        2        2        0        0
57297 python3-sphinx-autodoc-typehints   	       0        2        2        0        0
57298 python3-sphinx-automodapi          	       0        2        2        0        0
57299 python3-sphinx-autorun             	       0        2        2        0        0
57300 python3-sphinx-book-theme          	       0        3        3        0        0
57301 python3-sphinx-celery              	       0        2        2        0        0
57302 python3-sphinx-click               	       0        1        1        0        0
57303 python3-sphinx-copybutton          	       0        8        8        0        0
57304 python3-sphinx-feature-classification	       0        2        2        0        0
57305 python3-sphinx-gallery             	       0        3        3        0        0
57306 python3-sphinx-inline-tabs         	       0        6        6        0        0
57307 python3-sphinx-issues              	       0        1        1        0        0
57308 python3-sphinx-markdown-tables     	       0        1        1        0        0
57309 python3-sphinx-multiversion        	       0        1        1        0        0
57310 python3-sphinx-panels              	       0        1        1        0        0
57311 python3-sphinx-paramlinks          	       0        2        2        0        0
57312 python3-sphinx-prompt              	       0        2        0        0        2
57313 python3-sphinx-qt-documentation    	       0        1        1        0        0
57314 python3-sphinx-rst-builder         	       0        2        2        0        0
57315 python3-sphinx-sitemap             	       0        1        1        0        0
57316 python3-sphinx-tabs                	       0        2        2        0        0
57317 python3-sphinx-tabs-doc            	       0        1        0        0        1
57318 python3-sphinx-testing             	       0        2        2        0        0
57319 python3-sphinxbase                 	       0        2        2        0        0
57320 python3-sphinxcontrib-asyncio      	       0        2        2        0        0
57321 python3-sphinxcontrib-mermaid      	       0        1        1        0        0
57322 python3-sphinxcontrib-pecanwsme    	       0        1        1        0        0
57323 python3-sphinxcontrib.apidoc       	       0        6        6        0        0
57324 python3-sphinxcontrib.autoprogram  	       0        3        3        0        0
57325 python3-sphinxcontrib.ditaa        	       0        1        1        0        0
57326 python3-sphinxcontrib.httpdomain   	       0        2        2        0        0
57327 python3-sphinxcontrib.jquery       	       0        9        9        0        0
57328 python3-sphinxcontrib.plantuml     	       0        3        3        0        0
57329 python3-sphinxcontrib.programoutput	       0        2        2        0        0
57330 python3-sphinxcontrib.spelling     	       0        2        2        0        0
57331 python3-sphinxcontrib.trio         	       0        1        1        0        0
57332 python3-sphinxcontrib.websupport   	       0        3        3        0        0
57333 python3-sphinxext-opengraph        	       0        1        1        0        0
57334 python3-sphinxtesters              	       0        2        2        0        0
57335 python3-spidev                     	       0        1        1        0        0
57336 python3-spur                       	       0        1        1        0        0
57337 python3-spyder                     	       0       25       25        0        0
57338 python3-spyder-kernels             	       0       25       25        0        0
57339 python3-spyne                      	       0        1        1        0        0
57340 python3-sql                        	       0        1        1        0        0
57341 python3-sqlalchemy-i18n            	       0        2        2        0        0
57342 python3-sqlalchemy-utc             	       0        1        1        0        0
57343 python3-sqlalchemy-utils           	       0        4        4        0        0
57344 python3-sqlglot                    	       0       11        9        2        0
57345 python3-sqlite-fts4                	       0        9        9        0        0
57346 python3-sqlite-migrate             	       0        2        0        2        0
57347 python3-sqlitedict                 	       0        1        1        0        0
57348 python3-srsly                      	       0        1        1        0        0
57349 python3-srt                        	       0        2        2        0        0
57350 python3-sshtunnel                  	       0        7        7        0        0
57351 python3-sss                        	       0       27       27        0        0
57352 python3-standard-aifc              	       0        3        3        0        0
57353 python3-standard-asynchat          	       0        1        1        0        0
57354 python3-standard-chunk             	       0        3        3        0        0
57355 python3-standard-sunau             	       0        3        3        0        0
57356 python3-starlette                  	       0       15       13        2        0
57357 python3-statsd                     	       0        3        3        0        0
57358 python3-statsmodels                	       0        5        5        0        0
57359 python3-statsmodels-lib            	       0        5        5        0        0
57360 python3-std-msgs                   	       0        4        4        0        0
57361 python3-std-srvs                   	       0        1        1        0        0
57362 python3-stdeb                      	       0        6        6        0        0
57363 python3-stemmer                    	       0       11       10        1        0
57364 python3-stereo-msgs                	       0        1        1        0        0
57365 python3-stevedore                  	       0       29       29        0        0
57366 python3-stl                        	       0       26       25        1        0
57367 python3-stone                      	       0       18       18        0        0
57368 python3-stopit                     	       0        1        1        0        0
57369 python3-streamlink                 	       0       23       22        1        0
57370 python3-streamlink-doc             	       0        1        0        0        1
57371 python3-streamparser               	       0        1        1        0        0
57372 python3-strictyaml                 	       0        6        6        0        0
57373 python3-subliminal                 	       0        5        5        0        0
57374 python3-subprocess-tee             	       0        8        7        1        0
57375 python3-subunit                    	       0       10       10        0        0
57376 python3-subversion                 	       0        2        2        0        0
57377 python3-suds                       	       0       12       12        0        0
57378 python3-sunpy                      	       0        1        1        0        0
57379 python3-superqt                    	       0        4        3        1        0
57380 python3-surfer                     	       0        1        1        0        0
57381 python3-svg.path                   	       0        9        8        1        0
57382 python3-svgelements                	       0        2        2        0        0
57383 python3-svglib                     	       0        1        1        0        0
57384 python3-svgwrite                   	       0        2        2        0        0
57385 python3-svtplay-dl                 	       0        3        0        0        3
57386 python3-swiftclient                	       0        3        3        0        0
57387 python3-swiglpk                    	       0        1        1        0        0
57388 python3-syndom                     	       0        1        1        0        0
57389 python3-synphot                    	       0        1        1        0        0
57390 python3-taglib                     	       0        5        5        0        0
57391 python3-tagpy                      	       0       13       12        1        0
57392 python3-tango                      	       0        1        1        0        0
57393 python3-tap                        	       0        1        1        0        0
57394 python3-taurus                     	       0        1        1        0        0
57395 python3-taurus-pyqtgraph           	       0        1        1        0        0
57396 python3-tblib                      	       0       17       17        0        0
57397 python3-tempita                    	       0       19       19        0        0
57398 python3-tempora                    	       0       15       15        0        0
57399 python3-tenacity                   	       0       50       47        3        0
57400 python3-termbox                    	       0        5        5        0        0
57401 python3-terminaltables             	       0       15       15        0        0
57402 python3-terminaltables3            	       0        1        0        1        0
57403 python3-terminaltexteffects        	       0        1        1        0        0
57404 python3-tesserocr                  	       0        5        4        1        0
57405 python3-testfixtures               	       0        1        1        0        0
57406 python3-testresources              	       0        7        7        0        0
57407 python3-testscenarios              	       0        3        3        0        0
57408 python3-testtools                  	       0       15       13        2        0
57409 python3-texext                     	       0        1        1        0        0
57410 python3-text-unidecode             	       0        2        2        0        0
57411 python3-textdistance               	       0       25       25        0        0
57412 python3-textual                    	       0        1        1        0        0
57413 python3-tf                         	       0        2        2        0        0
57414 python3-tf2                        	       0        2        2        0        0
57415 python3-tf2-msgs                   	       0        2        2        0        0
57416 python3-tf2-ros                    	       0        2        2        0        0
57417 python3-tf2-sensor-msgs            	       0        1        1        0        0
57418 python3-theano                     	       0        3        3        0        0
57419 python3-thefuzz                    	       0        2        2        0        0
57420 python3-thinc                      	       0        1        1        0        0
57421 python3-three-merge                	       0       25       25        0        0
57422 python3-throttler                  	       0        1        1        0        0
57423 python3-tifffile                   	       0       13       13        0        0
57424 python3-time-machine               	       0        1        1        0        0
57425 python3-tinydb                     	       0        1        1        0        0
57426 python3-tk-dbg                     	       0        7        7        0        0
57427 python3-tkstackrpms                	       0        3        3        0        0
57428 python3-tlpui                      	       0        2        2        0        0
57429 python3-tmdbsimple                 	       0        1        1        0        0
57430 python3-tmuxp                      	       0        8        8        0        0
57431 python3-tokenize-rt                	       0        1        1        0        0
57432 python3-tomli-w                    	       0        9        8        1        0
57433 python3-toolz                      	       0       22       22        0        0
57434 python3-tooz                       	       0        3        3        0        0
57435 python3-topic-tools                	       0        1        1        0        0
57436 python3-toposort                   	       0       10       10        0        0
57437 python3-torch                      	       0       14       13        1        0
57438 python3-torchaudio                 	       0        3        3        0        0
57439 python3-torchvision                	       0        5        4        1        0
57440 python3-torrequest                 	       0        1        1        0        0
57441 python3-tpm2-pkcs11-tools          	       0        1        1        0        0
57442 python3-tpm2-pytss                 	       0        1        1        0        0
57443 python3-tr                         	       0        6        6        0        0
57444 python3-traceback2                 	       0        3        3        0        0
57445 python3-traits                     	       0        3        3        0        0
57446 python3-traitsui                   	       0        3        3        0        0
57447 python3-trajectory-msgs            	       0        1        1        0        0
57448 python3-transaction                	       0        1        1        0        0
57449 python3-translate                  	       0       46       43        3        0
57450 python3-translationstring          	       0        2        2        0        0
57451 python3-transliterate              	       0        1        1        0        0
57452 python3-trezor                     	       0        1        1        0        0
57453 python3-trie                       	       0       20       20        0        0
57454 python3-trimesh                    	       0        1        0        1        0
57455 python3-trollimage                 	       0        1        1        0        0
57456 python3-trollius                   	       0        1        1        0        0
57457 python3-trollsched                 	       0        1        1        0        0
57458 python3-trollsift                  	       0        2        2        0        0
57459 python3-trove-classifiers          	       0       15       14        1        0
57460 python3-trufont                    	       0        3        3        0        0
57461 python3-tsk                        	       0       14       14        0        0
57462 python3-ttconv                     	       0        1        1        0        0
57463 python3-ttkthemes                  	       0        3        3        0        0
57464 python3-tvdb-api                   	       0        3        3        0        0
57465 python3-twilio                     	       0        1        1        0        0
57466 python3-twitter                    	       0        1        1        0        0
57467 python3-txaio                      	       0       22       22        0        0
57468 python3-txtorcon                   	       0       14       14        0        0
57469 python3-typechecks                 	       0        1        1        0        0
57470 python3-typedload                  	       0        2        2        0        0
57471 python3-typer                      	       0       82       66       16        0
57472 python3-typeshed                   	       0       92        0        0       92
57473 python3-typogrify                  	       0        5        5        0        0
57474 python3-uamqp                      	       0        8        8        0        0
57475 python3-ubjson                     	       0       19       19        0        0
57476 python3-ubuntutools                	       0        2        2        0        0
57477 python3-udatetime                  	       0        2        2        0        0
57478 python3-ufl                        	       0        2        2        0        0
57479 python3-ufl-legacy                 	       0        1        1        0        0
57480 python3-uflash                     	       0        1        1        0        0
57481 python3-uflash-doc                 	       0        1        0        0        1
57482 python3-ufo-extractor              	       0        3        3        0        0
57483 python3-ufo2ft                     	       0        5        5        0        0
57484 python3-ufonormalizer              	       0        3        3        0        0
57485 python3-ufoprocessor               	       0        2        2        0        0
57486 python3-uhashring                  	       0        3        3        0        0
57487 python3-uhd                        	       0        3        3        0        0
57488 python3-ukui-menu                  	       0        1        1        0        0
57489 python3-ulid                       	       0        2        2        0        0
57490 python3-umu-launcher               	       0        1        1        0        0
57491 python3-unbound                    	       0        4        4        0        0
57492 python3-uncertainties              	       0        6        6        0        0
57493 python3-unearth                    	       0        2        1        1        0
57494 python3-unicodecsv                 	       0        4        4        0        0
57495 python3-unidecode                  	       0      115      112        3        0
57496 python3-unittest2                  	       0       12       12        0        0
57497 python3-unrardll                   	       0        4        4        0        0
57498 python3-upstream-ontologist        	       0        4        4        0        0
57499 python3-urwid                      	       0      139      136        3        0
57500 python3-urwidtrees                 	       0        9        8        1        0
57501 python3-usb1                       	       0        4        4        0        0
57502 python3-userpath                   	       0      142      140        2        0
57503 python3-uvicorn                    	       0       18       16        2        0
57504 python3-vagrant                    	       0        2        2        0        0
57505 python3-validators                 	       0        2        2        0        0
57506 python3-validictory                	       0        2        2        0        0
57507 python3-vatnumber                  	       0        3        3        0        0
57508 python3-vcf                        	       0        1        1        0        0
57509 python3-vcr                        	       0        6        6        0        0
57510 python3-vcstools                   	       0        2        2        0        0
57511 python3-vdf                        	       0       20       20        0        0
57512 python3-venusian                   	       0        2        2        0        0
57513 python3-versioneer                 	       0        3        3        0        0
57514 python3-versiontools               	       0        1        1        0        0
57515 python3-veusz                      	       0        2        2        0        0
57516 python3-veusz.helpers              	       0        2        2        0        0
57517 python3-vigra                      	       0        2        2        0        0
57518 python3-vine                       	       0        6        6        0        0
57519 python3-virt-firmware              	       0        1        1        0        0
57520 python3-virtualsmartcard           	       0        2        2        0        0
57521 python3-vispy                      	       0        3        3        0        0
57522 python3-visualization-msgs         	       0        1        1        0        0
57523 python3-vitrage                    	       0        1        1        0        0
57524 python3-vlc                        	       0        8        7        1        0
57525 python3-vmdkstream                 	       0        2        2        0        0
57526 python3-volatile                   	       0        1        0        1        0
57527 python3-voluptuous                 	       0        7        7        0        0
57528 python3-vsts-cd-manager            	       0        2        2        0        0
57529 python3-vtk7                       	       0        1        1        0        0
57530 python3-vtk9                       	       0       13       13        0        0
57531 python3-vtkgdcm                    	       0        3        3        0        0
57532 python3-w3lib                      	       0        3        3        0        0
57533 python3-wand                       	       0        4        3        1        0
57534 python3-warlock                    	       0        2        2        0        0
57535 python3-wasabi                     	       0        1        1        0        0
57536 python3-watson                     	       0        1        1        0        0
57537 python3-wcag-contrast-ratio        	       0        1        1        0        0
57538 python3-wchartype                  	       0        1        1        0        0
57539 python3-wcmatch                    	       0        9        8        1        0
57540 python3-webdav                     	       0        4        4        0        0
57541 python3-webdavclient               	       0        1        1        0        0
57542 python3-webpy                      	       0        6        6        0        0
57543 python3-websockify                 	       0       11       11        0        0
57544 python3-webtest                    	       0        7        7        0        0
57545 python3-webview                    	       0        3        3        0        0
57546 python3-webvtt                     	       0        2        2        0        0
57547 python3-wget                       	       0        2        2        0        0
57548 python3-whatthepatch               	       0        2        2        0        0
57549 python3-wheel-whl                  	       0      117        0        0      117
57550 python3-whichcraft                 	       0        1        1        0        0
57551 python3-wicd                       	       0        1        1        0        0
57552 python3-widgetsnbextension         	       0       54       53        1        0
57553 python3-wikitrans                  	       0        1        1        0        0
57554 python3-wilderness                 	       0        1        1        0        0
57555 python3-willow                     	       0        6        6        0        0
57556 python3-winrm                      	       0       64       63        1        0
57557 python3-woob                       	       0        1        1        0        0
57558 python3-wsaccel                    	       0       19       19        0        0
57559 python3-wsgilog                    	       0        1        1        0        0
57560 python3-wstool                     	       0        1        1        0        0
57561 python3-wurlitzer                  	       0       25       25        0        0
57562 python3-wxgtk-media4.0             	       0        5        5        0        0
57563 python3-wxgtk-webview4.0           	       0        4        4        0        0
57564 python3-wxmplot                    	       0        3        3        0        0
57565 python3-wxutils                    	       0        6        6        0        0
57566 python3-x-wr-timezone              	       0        2        2        0        0
57567 python3-x2go                       	       0       18       17        1        0
57568 python3-x2gobroker                 	       0        1        1        0        0
57569 python3-xarray                     	       0        6        6        0        0
57570 python3-xarray-sentinel            	       0        2        2        0        0
57571 python3-xattr                      	       0       18       18        0        0
57572 python3-xcbgen                     	       0       12       12        0        0
57573 python3-xdo                        	       0        2        2        0        0
57574 python3-xeus-python-shell          	       0        1        1        0        0
57575 python3-xhtml2pdf                  	       0        3        3        0        0
57576 python3-xkit                       	       0        2        2        0        0
57577 python3-xmlschema                  	       0        3        3        0        0
57578 python3-xmltodict                  	       0       87       84        3        0
57579 python3-xmodem                     	       0        2        2        0        0
57580 python3-xopen                      	       0        1        1        0        0
57581 python3-xstatic                    	       0        1        1        0        0
57582 python3-xtermcolor                 	       0        1        1        0        0
57583 python3-xvfbwrapper                	       0        5        5        0        0
57584 python3-xyzservices                	       0        3        3        0        0
57585 python3-yapf                       	       0       33       33        0        0
57586 python3-yappi                      	       0        4        4        0        0
57587 python3-yapps                      	       0        7        7        0        0
57588 python3-yapsy                      	       0        1        1        0        0
57589 python3-yara                       	       0       13       13        0        0
57590 python3-yattag                     	       0        5        5        0        0
57591 python3-yubico                     	       0       24       24        0        0
57592 python3-yubikey-manager            	       0       26        0        0       26
57593 python3-yubiotp                    	       0        1        1        0        0
57594 python3-zake                       	       0        3        3        0        0
57595 python3-zarr                       	       0        7        7        0        0
57596 python3-zeep                       	       0        9        9        0        0
57597 python3-zeitgeist                  	       0        3        3        0        0
57598 python3-zfpy                       	       0        5        4        1        0
57599 python3-zict                       	       0       16       16        0        0
57600 python3-zipstream-ng               	       0        1        1        0        0
57601 python3-zlmdb                      	       0        1        1        0        0
57602 python3-zombie-imp                 	       0        8        5        3        0
57603 python3-zombie-telnetlib           	       0        2        2        0        0
57604 python3-zope.deprecation           	       0        2        2        0        0
57605 python3-zstd                       	       0        2        2        0        0
57606 python3-zxcvbn                     	       0        2        2        0        0
57607 python3-zzzeeksphinx               	       0        1        1        0        0
57608 python3.10                         	       0       25       25        0        0
57609 python3.10-dbg                     	       0        1        1        0        0
57610 python3.10-dev                     	       0        9        9        0        0
57611 python3.10-doc                     	       0        1        0        0        1
57612 python3.11-dbg                     	       0        4        4        0        0
57613 python3.11-doc                     	       0      105        0        0      105
57614 python3.11-full                    	       0       59        0        0       59
57615 python3.12-dbg                     	       0        1        1        0        0
57616 python3.12-doc                     	       0       10        0        0       10
57617 python3.12-examples                	       0        1        1        0        0
57618 python3.12-full                    	       0        1        0        0        1
57619 python3.12-gdbm                    	       0        4        4        0        0
57620 python3.12-venv                    	       0       43       42        1        0
57621 python3.13-dbg                     	       0        2        1        1        0
57622 python3.13-doc                     	       0        9        0        0        9
57623 python3.13-examples                	       0        3        0        3        0
57624 python3.13-full                    	       0        2        0        0        2
57625 python3.13-gdbm                    	       0        8        5        3        0
57626 python3.2                          	       0        6        6        0        0
57627 python3.2-minimal                  	       0        6        6        0        0
57628 python3.3                          	       0        4        4        0        0
57629 python3.3-minimal                  	       0        4        4        0        0
57630 python3.4                          	       0       34       34        0        0
57631 python3.4-dev                      	       0        3        3        0        0
57632 python3.5-dbg                      	       0        3        3        0        0
57633 python3.5-dev                      	       0       17       17        0        0
57634 python3.5-doc                      	       0        3        0        0        3
57635 python3.5-venv                     	       0        3        3        0        0
57636 python3.6                          	       0        6        6        0        0
57637 python3.6-dev                      	       0        1        1        0        0
57638 python3.6-minimal                  	       0        6        6        0        0
57639 python3.7-dbg                      	       0        3        3        0        0
57640 python3.7-dev                      	       0       34       34        0        0
57641 python3.7-doc                      	       0        7        0        0        7
57642 python3.7-examples                 	       0        1        1        0        0
57643 python3.8                          	       0        5        5        0        0
57644 python3.8-minimal                  	       0        6        6        0        0
57645 python3.9-dbg                      	       0        6        5        1        0
57646 python3.9-doc                      	       0       12        0        0       12
57647 python3.9-examples                 	       0        3        3        0        0
57648 python3.9-full                     	       0        2        0        0        2
57649 pythonpy                           	       0        1        1        0        0
57650 pytqt-doc                          	       0        1        1        0        0
57651 pytrainer                          	       0        2        2        0        0
57652 pyupgrade                          	       0        1        1        0        0
57653 pyvcf                              	       0        1        1        0        0
57654 pyvnc2swf                          	       0        1        1        0        0
57655 pywps                              	       0        2        0        0        2
57656 pywps-doc                          	       0        1        0        0        1
57657 pywps-wsgi                         	       0        2        0        0        2
57658 pyxplot                            	       0        3        3        0        0
57659 pyxplot-doc                        	       0        2        0        0        2
57660 pyzfs-doc                          	       0        1        0        0        1
57661 pyzor-doc                          	       0        4        0        0        4
57662 q2templates                        	       0        1        1        0        0
57663 q4os-api                           	       0        2        2        0        0
57664 q4os-base                          	       0        2        2        0        0
57665 q4os-cpuq                          	       0        1        1        0        0
57666 q4os-cpuq5                         	       0        1        1        0        0
57667 q4os-debfxs                        	       0        1        1        0        0
57668 q4os-deps                          	       0        2        0        0        2
57669 q4os-desktop                       	       0        2        0        0        2
57670 q4os-desktop-plasma                	       0        1        0        0        1
57671 q4os-desktop-trinity               	       0        1        0        0        1
57672 q4os-fonts-droid                   	       0        1        0        0        1
57673 q4os-fonts-noto                    	       0        2        0        0        2
57674 q4os-i18n                          	       0        2        1        0        1
57675 q4os-keyrings                      	       0        2        0        0        2
57676 q4os-qt5-style02                   	       0        1        0        0        1
57677 q4os-screenscaler                  	       0        1        1        0        0
57678 q4os-setup                         	       0        2        2        0        0
57679 q4os-styles-gtk3                   	       0        1        0        0        1
57680 q4os-styles-qt4                    	       0        1        0        0        1
57681 q4os-sw-profiler                   	       0        2        2        0        0
57682 q4os-sw-profiler-data              	       0        2        0        0        2
57683 q4os-swcentre                      	       0        2        2        0        0
57684 q4os-swcentre-data                 	       0        2        0        0        2
57685 q4os-tde-qtplugin                  	       0        1        0        0        1
57686 q4os-welcome                       	       0        1        1        0        0
57687 q4os-welcome-common                	       0        2        0        0        2
57688 q4os-welcome5                      	       0        1        1        0        0
57689 qabcs                              	       0        3        3        0        0
57690 qabcs-data                         	       0        3        0        0        3
57691 qalculate                          	       0        9        0        0        9
57692 qalculate-qt                       	       0        1        0        1        0
57693 qapt-batch                         	       0        9        9        0        0
57694 qapt-deb-installer                 	       0       13       13        0        0
57695 qapt-utils                         	       0        6        0        0        6
57696 qarte                              	       0        4        4        0        0
57697 qasmixer-dbgsym                    	       0        1        1        0        0
57698 qbankmanager                       	       0        1        1        0        0
57699 qbe                                	       0        1        1        0        0
57700 qbittorrent-dbg                    	       0        2        2        0        0
57701 qbrew                              	       0        1        1        0        0
57702 qbs                                	       0        3        3        0        0
57703 qbs-common                         	       0       11        0        0       11
57704 qbs-dev                            	       0        2        2        0        0
57705 qbs-doc                            	       0        2        0        0        2
57706 qbs-doc-html                       	       0        1        0        0        1
57707 qbs-examples                       	       0        1        1        0        0
57708 qc                                 	       0        1        1        0        0
57709 qc-usb-utils                       	       0        1        1        0        0
57710 qca-qt5-2-utils                    	       0        2        2        0        0
57711 qca-qt6-utils                      	       0        1        0        1        0
57712 qcad                               	       0        2        0        0        2
57713 qcad-data                          	       0        1        0        0        1
57714 qcad-doc                           	       0        1        0        0        1
57715 qcalcfilehash                      	       0        1        1        0        0
57716 qcam                               	       0        1        1        0        0
57717 qccrypt                            	       0        4        4        0        0
57718 qcodec2                            	       0        1        1        0        0
57719 qcomicbook                         	       0       13       13        0        0
57720 qconf                              	       0        4        4        0        0
57721 qcontrol                           	       0        1        1        0        0
57722 qcoro-doc                          	       0        3        3        0        0
57723 qcoro-qt5-dev                      	       0        1        1        0        0
57724 qcoro-qt6-dev                      	       0        1        0        1        0
57725 qd                                 	       0        1        1        0        0
57726 qdbm-doc                           	       0        1        0        0        1
57727 qdbm-util                          	       0        3        3        0        0
57728 qdbus                              	       0      132        0        0      132
57729 qdigidoc4                          	       0        1        1        0        0
57730 qdiskinfo                          	       0        3        3        0        0
57731 qdl                                	       0        1        1        0        0
57732 qelectrotech                       	       0       16       15        1        0
57733 qelectrotech-data                  	       0       16        0        0       16
57734 qelectrotech-examples              	       0       16        0        0       16
57735 qemu                               	       0      124        0        0      124
57736 qemu-efi                           	       0       22        0        0       22
57737 qemu-efi-aarch64                   	       0      181        0        0      181
57738 qemu-efi-arm                       	       0      173        0        0      173
57739 qemu-efi-riscv64                   	       0        1        0        0        1
57740 qemu-guest-agent-vz                	       0        1        1        0        0
57741 qemu-guest-agent-vz-dbg            	       0        1        1        0        0
57742 qemu-jfp-tools                     	       0        3        3        0        0
57743 qemu-keymaps                       	       0        1        0        0        1
57744 qemu-slof                          	       0       23        0        0       23
57745 qemu-system                        	       0      178        0        0      178
57746 qemu-system-data                   	       0      622        0        0      622
57747 qemu-system-modules-opengl         	       0       65        2        0       63
57748 qemu-system-modules-spice          	       0       55        2        0       53
57749 qemu-system-xen                    	       0       11        4        0        7
57750 qfinderpro                         	       0        1        1        0        0
57751 qfits-tools                        	       0        3        3        0        0
57752 qfitsview                          	       0        1        1        0        0
57753 qflow                              	       0        6        6        0        0
57754 qflow-tech-osu018                  	       0        6        0        0        6
57755 qflow-tech-osu035                  	       0        6        0        0        6
57756 qflow-tech-osu050                  	       0        6        0        0        6
57757 qgama                              	       0        1        1        0        0
57758 qgfe                               	       0        1        1        0        0
57759 qgis-api-doc                       	       0        1        0        0        1
57760 qgis-plugin-globe-common           	       0        1        0        0        1
57761 qgis-plugin-grass-common           	       0       43        0        0       43
57762 qgis-providers-common              	       0       48        0        0       48
57763 qgis-server                        	       0        2        0        0        2
57764 qgis-server-bin                    	       0        2        2        0        0
57765 qgis-server-common                 	       0        2        0        0        2
57766 qgis-server-dummy                  	       0        2        2        0        0
57767 qgis-server-landingpage            	       0        2        2        0        0
57768 qgis-server-wcs                    	       0        2        2        0        0
57769 qgis-server-wfs                    	       0        2        2        0        0
57770 qgis-server-wfs3                   	       0        2        2        0        0
57771 qgis-server-wms                    	       0        2        2        0        0
57772 qgis-server-wmts                   	       0        2        2        0        0
57773 qgis-sip                           	       0        3        0        0        3
57774 qgnomeplatform-qt5                 	       0        7        0        0        7
57775 qgo                                	       0       11       11        0        0
57776 qhimdtransfer                      	       0        1        1        0        0
57777 qimgv                              	       0       10       10        0        0
57778 qjackrcd                           	       0       11       11        0        0
57779 ql600pdrv                          	       0        1        0        0        1
57780 ql700pdrv                          	       0        5        0        0        5
57781 qlandkartegt                       	       0        1        1        0        0
57782 qlandkartegt-garmin                	       0        1        1        0        0
57783 qlcplus-data                       	       0        7        0        0        7
57784 qlogo                              	       0        4        4        0        0
57785 qmail-run                          	       0        4        4        0        0
57786 qmail-tools                        	       0        1        1        0        0
57787 qmail-uids-gids                    	       0        5        0        0        5
57788 qmenu                              	       0        1        1        0        0
57789 qmhandle                           	       0        1        1        0        0
57790 qmidinet                           	       0       13       13        0        0
57791 qmidiroute                         	       0       11       11        0        0
57792 qml                                	       0       12       12        0        0
57793 qml-module-gsettings               	       0       10        0        0       10
57794 qml-module-gsettings1.0            	       0      516        0        0      516
57795 qml-module-io-thp-pyotherside      	       0       31        2        0       29
57796 qml-module-lomiri-components       	       0        1        0        0        1
57797 qml-module-lomiri-components-labs  	       0        1        0        0        1
57798 qml-module-lomiri-performancemetrics	       0        1        0        0        1
57799 qml-module-ofono                   	       0        1        0        0        1
57800 qml-module-org-kde-analitza        	       0       45        0        0       45
57801 qml-module-org-kde-charts          	       0       59        0        0       59
57802 qml-module-org-kde-extensionplugin 	       0       12        0        0       12
57803 qml-module-org-kde-games-core      	       0       63        0        0       63
57804 qml-module-org-kde-graphicaleffects	       0        1        0        0        1
57805 qml-module-org-kde-i18n-localedata 	       0        1        0        0        1
57806 qml-module-org-kde-kaccounts       	       0       26        0        0       26
57807 qml-module-org-kde-kcmutils        	       0      849        0        0      849
57808 qml-module-org-kde-kholidays       	       0      541        0        0      541
57809 qml-module-org-kde-kio             	       0      560        5        0      555
57810 qml-module-org-kde-kirigami        	       0        5        0        0        5
57811 qml-module-org-kde-kirigami-addons-datetime	       0        1        0        0        1
57812 qml-module-org-kde-kirigami-addons-labs-components	       0        3        0        0        3
57813 qml-module-org-kde-kirigami-addons-labs-mobileform	       0       42        0        0       42
57814 qml-module-org-kde-kirigami-addons-sounds	       0        1        0        0        1
57815 qml-module-org-kde-kirigami-addons-treeview	       0        1        0        0        1
57816 qml-module-org-kde-kquickcontrols  	       0      836       10        2      824
57817 qml-module-org-kde-kquickimageeditor	       0        9        0        0        9
57818 qml-module-org-kde-notifications   	       0        5        0        0        5
57819 qml-module-org-kde-okular          	       0       11        0        0       11
57820 qml-module-org-kde-people          	       0      705        0        0      705
57821 qml-module-org-kde-purpose         	       0      761        2        0      759
57822 qml-module-org-kde-qqc2breezestyle 	       0        2        0        0        2
57823 qml-module-org-kde-runnermodel     	       0      934        0        0      934
57824 qml-module-org-kde-solid           	       0      543        0        0      543
57825 qml-module-org-kde-syntaxhighlighting	       0      439        0        0      439
57826 qml-module-org-kde-telepathy       	       0        6        2        0        4
57827 qml-module-org-nemomobile-mpris    	       0        1        0        0        1
57828 qml-module-qmltermwidget           	       0        6        0        0        6
57829 qml-module-qt-labs-animation       	       0       24        0        0       24
57830 qml-module-qt-labs-calendar        	       0       15        0        0       15
57831 qml-module-qt-labs-location        	       0        2        0        0        2
57832 qml-module-qt-labs-sharedimage     	       0        2        0        0        2
57833 qml-module-qt-labs-wavefrontmesh   	       0        2        0        0        2
57834 qml-module-qt-websockets           	       0        2        0        0        2
57835 qml-module-qt3d                    	       0        4        0        0        4
57836 qml-module-qtaudioengine           	       0        2        0        0        2
57837 qml-module-qtbluetooth             	       0        2        0        0        2
57838 qml-module-qtdatavisualization     	       0        3        0        0        3
57839 qml-module-qtfeedback              	       0        4        0        0        4
57840 qml-module-qtgamepad               	       0        1        0        0        1
57841 qml-module-qtgstreamer             	       0       16        0        0       16
57842 qml-module-qtlocation              	       0       21        0        0       21
57843 qml-module-qtnfc                   	       0        1        0        0        1
57844 qml-module-qtorganizer             	       0        1        0        0        1
57845 qml-module-qtpositioning           	       0       21        0        0       21
57846 qml-module-qtpublishsubscribe      	       0        1        0        0        1
57847 qml-module-qtqml-statemachine      	       0       41        0        0       41
57848 qml-module-qtqml-workerscript2     	       0        1        0        0        1
57849 qml-module-qtquick-controls-styles-breeze	       0       35        0        0       35
57850 qml-module-qtquick-extras          	       0      114        0        0      114
57851 qml-module-qtquick-localstorage    	       0       52        2        0       50
57852 qml-module-qtquick-pdf             	       0        3        0        0        3
57853 qml-module-qtquick-scene2d         	       0        1        0        0        1
57854 qml-module-qtquick-scene3d         	       0        5        0        0        5
57855 qml-module-qtquick-shapes          	       0      879        3        0      876
57856 qml-module-qtquick-xmllistmodel    	       0       57        2        0       55
57857 qml-module-qtremoteobjects         	       0        1        0        0        1
57858 qml-module-qtscxml                 	       0        3        0        0        3
57859 qml-module-qtsensors               	       0        1        0        0        1
57860 qml-module-qtsysteminfo            	       0        3        0        0        3
57861 qml-module-qttest                  	       0        9        0        0        9
57862 qml-module-qtwayland-client-texturesharing	       0        1        0        0        1
57863 qml-module-qtwayland-compositor    	       0        3        0        0        3
57864 qml-module-qtwebchannel            	       0       15        1        0       14
57865 qml-module-qtwebengine             	       0      741        3        0      738
57866 qml-module-qtwebkit                	       0      497        0        0      497
57867 qml-module-qtwebsockets            	       0        3        0        0        3
57868 qml-module-qtwebview               	       0        6        0        0        6
57869 qml-module-snapd                   	       0        1        0        0        1
57870 qml-module-termwidget              	       0       29        2        0       27
57871 qml-qt6                            	       0       42       38        4        0
57872 qml6-module-assets-downloader      	       0        1        0        0        1
57873 qml6-module-org-kde-baloo          	       0       30        0        0       30
57874 qml6-module-org-kde-calendarcore   	       0        1        0        0        1
57875 qml6-module-org-kde-config         	       0       56        1        0       55
57876 qml6-module-org-kde-contacts       	       0        1        0        0        1
57877 qml6-module-org-kde-games-core     	       0        6        0        0        6
57878 qml6-module-org-kde-guiaddons      	       0       12        0        0       12
57879 qml6-module-org-kde-i18n-localedata	       0        3        0        0        3
57880 qml6-module-org-kde-iconthemes     	       0       32        0        0       32
57881 qml6-module-org-kde-kaccounts      	       0        3        0        0        3
57882 qml6-module-org-kde-kholidays      	       0       31        0        0       31
57883 qml6-module-org-kde-kirigamiaddons-datetime	       0       48        0        0       48
57884 qml6-module-org-kde-kirigamiaddons-delegates	       0       49        0        0       49
57885 qml6-module-org-kde-kirigamiaddons-formcard	       0       50        0        0       50
57886 qml6-module-org-kde-kirigamiaddons-labs-components	       0        9        0        0        9
57887 qml6-module-org-kde-kirigamiaddons-settings	       0        9        0        0        9
57888 qml6-module-org-kde-kirigamiaddons-statefulapp	       0        5        0        0        5
57889 qml6-module-org-kde-kirigamiaddons-tableview	       0       30        0        0       30
57890 qml6-module-org-kde-kirigamiaddons-treeview	       0       48        0        0       48
57891 qml6-module-org-kde-kquickcontrols 	       0       30        3        0       27
57892 qml6-module-org-kde-kquickimageeditor	       0        2        0        0        2
57893 qml6-module-org-kde-ksysguard      	       0       30        3        0       27
57894 qml6-module-org-kde-layershell     	       0        2        0        0        2
57895 qml6-module-org-kde-marble         	       0        1        0        0        1
57896 qml6-module-org-kde-marble-imageprovider	       0        1        0        0        1
57897 qml6-module-org-kde-newstuff       	       0       45        2        0       43
57898 qml6-module-org-kde-okular         	       0        3        0        0        3
57899 qml6-module-org-kde-people         	       0       45        0        0       45
57900 qml6-module-org-kde-prison         	       0       54        0        0       54
57901 qml6-module-org-kde-purpose        	       0       53        0        0       53
57902 qml6-module-org-kde-syntaxhighlighting	       0       31        0        0       31
57903 qml6-module-org-kde-userfeedback   	       0       35        0        0       35
57904 qml6-module-qml                    	       0        1        0        0        1
57905 qml6-module-qmltime                	       0        6        0        0        6
57906 qml6-module-qt-labs-animation      	       0       18        0        0       18
57907 qml6-module-qt-labs-lottieqt       	       0       13        0        0       13
57908 qml6-module-qt-labs-settings       	       0       21        0        0       21
57909 qml6-module-qt-labs-sharedimage    	       0       18        0        0       18
57910 qml6-module-qt-labs-wavefrontmesh  	       0       18        0        0       18
57911 qml6-module-qt3d-animation         	       0        2        0        0        2
57912 qml6-module-qt3d-core              	       0        2        0        0        2
57913 qml6-module-qt3d-extras            	       0        2        0        0        2
57914 qml6-module-qt3d-input             	       0        2        0        0        2
57915 qml6-module-qt3d-logic             	       0        2        0        0        2
57916 qml6-module-qt3d-render            	       0        2        0        0        2
57917 qml6-module-qtcharts               	       0        6        0        0        6
57918 qml6-module-qtdatavisualization    	       0        2        0        0        2
57919 qml6-module-qtgraphs               	       0        1        0        0        1
57920 qml6-module-qtgrpc                 	       0        1        0        0        1
57921 qml6-module-qtlocation             	       0        1        0        0        1
57922 qml6-module-qtnetwork              	       0        6        0        0        6
57923 qml6-module-qtpositioning          	       0       32        0        0       32
57924 qml6-module-qtprotobuf             	       0        1        0        0        1
57925 qml6-module-qtqml                  	       0      107        0        0      107
57926 qml6-module-qtqml-base             	       0       72        0        0       72
57927 qml6-module-qtqml-models           	       0      138        0        0      138
57928 qml6-module-qtqml-statemachine     	       0       17        0        0       17
57929 qml6-module-qtqml-xmllistmodel     	       0       19        0        0       19
57930 qml6-module-qtquick                	       0      156        0        0      156
57931 qml6-module-qtquick-localstorage   	       0       19        0        0       19
57932 qml6-module-qtquick-nativestyle    	       0       19        0        0       19
57933 qml6-module-qtquick-particles      	       0       59        1        0       58
57934 qml6-module-qtquick-pdf            	       0        6        0        0        6
57935 qml6-module-qtquick-scene2d        	       0        3        0        0        3
57936 qml6-module-qtquick-scene3d        	       0        3        0        0        3
57937 qml6-module-qtquick-timeline       	       0       22        0        0       22
57938 qml6-module-qtquick-timeline-blendtrees	       0        1        0        0        1
57939 qml6-module-qtquick-tooling        	       0       69        0        0       69
57940 qml6-module-qtquick-vectorimage    	       0        1        0        0        1
57941 qml6-module-qtquick3d              	       0        9        0        0        9
57942 qml6-module-qtquick3d-assetutils   	       0        1        0        0        1
57943 qml6-module-qtquick3d-effects      	       0        1        0        0        1
57944 qml6-module-qtquick3d-helpers      	       0        1        0        0        1
57945 qml6-module-qtquick3d-materialeditor	       0        1        0        0        1
57946 qml6-module-qtquick3d-particleeffects	       0        1        0        0        1
57947 qml6-module-qtquick3d-particles3d  	       0        9        0        0        9
57948 qml6-module-qtquick3d-physics      	       0        2        0        0        2
57949 qml6-module-qtquick3d-spatialaudio 	       0       19        0        0       19
57950 qml6-module-qtquick3d-xr           	       0        1        0        0        1
57951 qml6-module-qtremoteobjects        	       0        2        0        0        2
57952 qml6-module-qtscxml                	       0        2        0        0        2
57953 qml6-module-qtsensors              	       0        4        0        0        4
57954 qml6-module-qttest                 	       0       11        0        0       11
57955 qml6-module-qttexttospeech         	       0        2        0        0        2
57956 qml6-module-qtwayland-client-texturesharing	       0        6        0        0        6
57957 qml6-module-qtwayland-compositor   	       0        7        0        0        7
57958 qml6-module-qtwebchannel           	       0       44        0        0       44
57959 qml6-module-qtwebengine            	       0       47        0        0       47
57960 qml6-module-qtwebengine-controlsdelegates	       0        4        0        0        4
57961 qml6-module-qtwebsockets           	       0        3        0        0        3
57962 qml6-module-qtwebview              	       0       26        0        0       26
57963 qml6-module-quick3d                	       0        1        0        0        1
57964 qml6-module-quick3d-assetutils     	       0        1        0        0        1
57965 qml6-module-quick3d-effects        	       0        1        0        0        1
57966 qml6-module-quick3d-helpers        	       0        1        0        0        1
57967 qml6-module-quick3d-particleeffects	       0        1        0        0        1
57968 qml6-module-quick3d-particles3d    	       0        1        0        0        1
57969 qml6-module-sso-onlineaccounts     	       0       38        0        0       38
57970 qmlscene                           	       0       28       26        0        2
57971 qmlscene-qt6                       	       0        2        1        1        0
57972 qmltermwidget-common               	       0       30        0        0       30
57973 qmpdclient                         	       0        2        2        0        0
57974 qnapi                              	       0        3        3        0        0
57975 qnetstatview                       	       0        2        2        0        0
57976 qodem                              	       0        1        1        0        0
57977 qodem-x11                          	       0        1        1        0        0
57978 qof-data                           	       0        1        0        0        1
57979 qogir-icon-theme                   	       0        1        0        0        1
57980 qogir-light                        	       0        1        0        0        1
57981 qogir-win-light                    	       0        1        0        0        1
57982 qonk                               	       0        5        5        0        0
57983 qosmic                             	       0        3        3        0        0
57984 qownnotes                          	       0        2        2        0        0
57985 qpdf-doc                           	       0        1        0        0        1
57986 qperf                              	       0        2        2        0        0
57987 qpopper                            	       0        3        3        0        0
57988 qprint-doc                         	       0        1        0        0        1
57989 qqwing                             	       0        2        2        0        0
57990 qrazercfg                          	       0        3        3        0        0
57991 qrazercfg-applet                   	       0        2        2        0        0
57992 qrcp                               	       0        1        1        0        0
57993 qreator                            	       0        4        4        0        0
57994 qrenderdoc                         	       0        3        3        0        0
57995 qrisk2                             	       0        1        1        0        0
57996 qrouter                            	       0        6        6        0        0
57997 qrq                                	       0       12       12        0        0
57998 qrterminal                         	       0        2        2        0        0
57999 qrtr-tools                         	       0        1        1        0        0
58000 qsampler                           	       0        3        3        0        0
58001 qsapecng                           	       0        2        2        0        0
58002 qsapecng-doc                       	       0        1        0        0        1
58003 qsf                                	       0        1        1        0        0
58004 qsopt-ex                           	       0        3        3        0        0
58005 qspeakers                          	       0        2        2        0        0
58006 qstardict                          	       0       10       10        0        0
58007 qstopmotion                        	       0        4        4        0        0
58008 qt-assistant-compat                	       0        3        3        0        0
58009 qt-at-spi                          	       0      101        0        0      101
58010 qt-ponies-data                     	       0        1        0        0        1
58011 qt3-apps-dev                       	       0        1        1        0        0
58012 qt3-assistant                      	       0        1        1        0        0
58013 qt3-dev-tools                      	       0        2        2        0        0
58014 qt3-doc                            	       0        2        0        0        2
58015 qt3-linguist                       	       0        1        1        0        0
58016 qt3-qtconfig                       	       0        1        1        0        0
58017 qt3d-assimpsceneimport-plugin      	       0       46        0        0       46
58018 qt3d-defaultgeometryloader-plugin  	       0       46        0        0       46
58019 qt3d-gltfsceneio-plugin            	       0       46        0        0       46
58020 qt3d-scene2d-plugin                	       0       46        0        0       46
58021 qt3d5-dev                          	       0        6        6        0        0
58022 qt3d5-dev-tools                    	       0        3        3        0        0
58023 qt3d5-doc                          	       0       39        0        0       39
58024 qt3d5-doc-html                     	       0       11        0        0       11
58025 qt3d5-examples                     	       0        3        0        0        3
58026 qt4-demos                          	       0        2        2        0        0
58027 qt4-designer                       	       0       16       16        0        0
58028 qt4-dev-tools                      	       0       11       11        0        0
58029 qt4-doc                            	       0       15        0        0       15
58030 qt4-doc-html                       	       0        3        0        0        3
58031 qt4-linguist-tools                 	       0       19       19        0        0
58032 qt4-qmlviewer                      	       0        3        0        0        3
58033 qt4-qtconfig                       	       0       13       13        0        0
58034 qt5-default                        	       0       11        0        0       11
58035 qt5-doc                            	       0       38        0        0       38
58036 qt5-doc-html                       	       0       10        0        0       10
58037 qt5-flatpak-platformtheme          	       0        7        0        0        7
58038 qt5-gtk-platformtheme-dbgsym       	       0        1        1        0        0
58039 qt5-qmake-bin-dbgsym               	       0        1        1        0        0
58040 qt5-qmltooling-plugins             	       0      176        0        0      176
58041 qt5-quick-demos                    	       0        5        0        0        5
58042 qt5-style-kvantum-l10n             	       0       54        0        0       54
58043 qt5-style-kvantum-themes           	       0       50        0        0       50
58044 qt5-style-plugins                  	       0      326        0        0      326
58045 qt5-styles-ukui                    	       0        8        0        0        8
58046 qt5-ukui-platformtheme             	       0        6        0        0        6
58047 qt5-xdgdesktopportal-platformtheme 	       0       11        0        0       11
58048 qt5keychain-dev                    	       0       17        2        0       15
58049 qt5qevercloud-dev                  	       0        1        1        0        0
58050 qt5serialport-examples             	       0        5        0        0        5
58051 qt6-3d-defaultgeometryloader-plugin	       0        1        0        0        1
58052 qt6-3d-dev                         	       0        1        0        1        0
58053 qt6-3d-doc                         	       0        1        0        0        1
58054 qt6-3d-doc-dev                     	       0        1        0        0        1
58055 qt6-3d-doc-html                    	       0        1        0        0        1
58056 qt6-3d-examples                    	       0        1        0        0        1
58057 qt6-5compat-doc                    	       0        1        0        0        1
58058 qt6-5compat-doc-html               	       0        1        0        0        1
58059 qt6-base-doc                       	       0        2        0        0        2
58060 qt6-base-doc-dev                   	       0        2        0        0        2
58061 qt6-base-doc-html                  	       0        2        0        0        2
58062 qt6-base-examples                  	       0        2        0        0        2
58063 qt6-base-private-dev               	       0       17       16        1        0
58064 qt6-charts-dev                     	       0        5        3        2        0
58065 qt6-charts-doc                     	       0        1        0        0        1
58066 qt6-charts-doc-html                	       0        1        0        0        1
58067 qt6-charts-examples                	       0        1        0        0        1
58068 qt6-connectivity-dev               	       0        1        0        1        0
58069 qt6-connectivity-doc               	       0        1        0        0        1
58070 qt6-connectivity-doc-html          	       0        1        0        0        1
58071 qt6-connectivity-examples          	       0        1        0        0        1
58072 qt6-datavis3d-dev                  	       0        1        0        1        0
58073 qt6-datavis3d-doc                  	       0        1        0        0        1
58074 qt6-datavis3d-doc-html             	       0        1        0        0        1
58075 qt6-datavis3d-examples             	       0        1        0        0        1
58076 qt6-datavisualization-dev          	       0        1        0        1        0
58077 qt6-declarative-dev                	       0       15       13        2        0
58078 qt6-declarative-dev-tools          	       0       53       48        5        0
58079 qt6-declarative-doc                	       0        1        0        0        1
58080 qt6-declarative-doc-dev            	       0        1        0        0        1
58081 qt6-declarative-doc-html           	       0        1        0        0        1
58082 qt6-declarative-examples           	       0        1        0        0        1
58083 qt6-declarative-private-dev        	       0        1        0        1        0
58084 qt6-graphs-dev                     	       0        1        0        1        0
58085 qt6-graphs-doc                     	       0        1        0        0        1
58086 qt6-graphs-doc-html                	       0        1        0        0        1
58087 qt6-graphs-examples                	       0        1        0        0        1
58088 qt6-grpc-dev                       	       0        1        0        1        0
58089 qt6-grpc-doc                       	       0        1        0        0        1
58090 qt6-grpc-doc-dev                   	       0        1        0        0        1
58091 qt6-grpc-doc-html                  	       0        1        0        0        1
58092 qt6-grpc-examples                  	       0        1        0        0        1
58093 qt6-grpc-tools                     	       0        1        0        1        0
58094 qt6-httpserver-doc                 	       0        1        0        0        1
58095 qt6-httpserver-doc-html            	       0        1        0        0        1
58096 qt6-httpserver-examples            	       0        1        0        0        1
58097 qt6-imageformats-doc               	       0        1        0        0        1
58098 qt6-imageformats-doc-html          	       0        1        0        0        1
58099 qt6-languageserver-dev             	       0        1        0        1        0
58100 qt6-languageserver-private-dev     	       0        1        0        1        0
58101 qt6-location-dev                   	       0        1        0        1        0
58102 qt6-location-doc                   	       0        1        0        0        1
58103 qt6-location-doc-dev               	       0        1        0        0        1
58104 qt6-location-doc-html              	       0        1        0        0        1
58105 qt6-location-examples              	       0        1        0        0        1
58106 qt6-location-plugins               	       0        1        0        0        1
58107 qt6-lottie-dev                     	       0        2        0        2        0
58108 qt6-multimedia-doc                 	       0        1        0        0        1
58109 qt6-multimedia-doc-html            	       0        1        0        0        1
58110 qt6-multimedia-examples            	       0        1        0        0        1
58111 qt6-networkauth-dev                	       0        3        1        2        0
58112 qt6-networkauth-doc                	       0        1        0        0        1
58113 qt6-networkauth-doc-dev            	       0        1        0        0        1
58114 qt6-networkauth-doc-html           	       0        1        0        0        1
58115 qt6-networkauth-examples           	       0        1        0        0        1
58116 qt6-pdf-dev                        	       0        8        7        1        0
58117 qt6-positioning-dev                	       0        8        7        1        0
58118 qt6-positioning-doc                	       0        1        0        0        1
58119 qt6-positioning-doc-dev            	       0        1        0        0        1
58120 qt6-positioning-doc-html           	       0        1        0        0        1
58121 qt6-positioning-examples           	       0        1        0        0        1
58122 qt6-positioning-private-dev        	       0        1        0        1        0
58123 qt6-qmllint-plugins                	       0        7        0        0        7
58124 qt6-qmlls-plugins                  	       0        1        0        0        1
58125 qt6-qmltooling-plugins             	       0       64        0        0       64
58126 qt6-qmltooling-quick3dprofiler-plugin	       0        1        0        0        1
58127 qt6-qpa-plugins                    	       0      560        0        0      560
58128 qt6-quick3d-assetimporters-plugin  	       0        1        0        0        1
58129 qt6-quick3d-dev                    	       0        1        0        1        0
58130 qt6-quick3d-dev-tools              	       0        1        0        1        0
58131 qt6-quick3d-doc                    	       0        1        0        0        1
58132 qt6-quick3d-doc-dev                	       0        1        0        0        1
58133 qt6-quick3d-doc-html               	       0        1        0        0        1
58134 qt6-quick3d-examples               	       0        1        0        0        1
58135 qt6-quick3d-private-dev            	       0        1        0        1        0
58136 qt6-quick3dphysics-dev             	       0        1        0        1        0
58137 qt6-quick3dphysics-dev-tools       	       0        1        0        1        0
58138 qt6-quick3dphysics-examples        	       0        1        0        0        1
58139 qt6-quicktimeline-dev              	       0        2        0        2        0
58140 qt6-remoteobjects-dev              	       0        1        0        1        0
58141 qt6-remoteobjects-doc              	       0        1        0        0        1
58142 qt6-remoteobjects-doc-dev          	       0        1        0        0        1
58143 qt6-remoteobjects-doc-html         	       0        1        0        0        1
58144 qt6-remoteobjects-examples         	       0        1        0        0        1
58145 qt6-scxml-dev                      	       0        1        0        1        0
58146 qt6-scxml-doc                      	       0        1        0        0        1
58147 qt6-scxml-doc-dev                  	       0        1        0        0        1
58148 qt6-scxml-doc-html                 	       0        1        0        0        1
58149 qt6-scxml-examples                 	       0        1        0        0        1
58150 qt6-sensors-dev                    	       0        2        0        2        0
58151 qt6-sensors-doc                    	       0        1        0        0        1
58152 qt6-sensors-doc-dev                	       0        1        0        0        1
58153 qt6-sensors-doc-html               	       0        1        0        0        1
58154 qt6-sensors-examples               	       0        1        0        0        1
58155 qt6-serialbus-dev                  	       0        3        1        2        0
58156 qt6-serialbus-doc                  	       0        1        0        0        1
58157 qt6-serialbus-doc-dev              	       0        1        0        0        1
58158 qt6-serialbus-doc-html             	       0        1        0        0        1
58159 qt6-serialbus-examples             	       0        1        0        0        1
58160 qt6-serialport-dev                 	       0        5        3        2        0
58161 qt6-serialport-doc                 	       0        1        0        0        1
58162 qt6-serialport-doc-html            	       0        1        0        0        1
58163 qt6-serialport-examples            	       0        1        0        0        1
58164 qt6-shader-baker                   	       0        3        1        2        0
58165 qt6-shadertools-dev                	       0        3        1        2        0
58166 qt6-shadertools-doc                	       0        1        0        0        1
58167 qt6-shadertools-doc-dev            	       0        1        0        0        1
58168 qt6-shadertools-doc-html           	       0        1        0        0        1
58169 qt6-speech-dev                     	       0        2        1        1        0
58170 qt6-speech-doc                     	       0        1        0        0        1
58171 qt6-speech-doc-html                	       0        1        0        0        1
58172 qt6-speech-examples                	       0        1        0        0        1
58173 qt6-speech-flite-plugin            	       0       23        0        0       23
58174 qt6-speech-speechd-plugin          	       0        3        0        0        3
58175 qt6-svg-doc                        	       0        1        0        0        1
58176 qt6-svg-doc-dev                    	       0        1        0        0        1
58177 qt6-svg-doc-html                   	       0        1        0        0        1
58178 qt6-svg-examples                   	       0        1        0        0        1
58179 qt6-svg-private-dev                	       0        1        0        1        0
58180 qt6-tools-doc                      	       0        1        0        0        1
58181 qt6-tools-doc-dev                  	       0        1        0        0        1
58182 qt6-tools-doc-html                 	       0        1        0        0        1
58183 qt6-tools-examples                 	       0        1        0        0        1
58184 qt6-tools-private-dev              	       0        1        0        1        0
58185 qt6-virtualkeyboard-dev            	       0        1        0        1        0
58186 qt6-wayland-dev                    	       0        7        6        1        0
58187 qt6-wayland-dev-tools              	       0        9        8        1        0
58188 qt6-wayland-doc                    	       0        1        0        0        1
58189 qt6-wayland-doc-html               	       0        1        0        0        1
58190 qt6-wayland-examples               	       0        1        0        0        1
58191 qt6-wayland-private-dev            	       0        1        0        1        0
58192 qt6-webchannel-dev                 	       0        9        7        2        0
58193 qt6-webchannel-doc                 	       0        1        0        0        1
58194 qt6-webchannel-doc-dev             	       0        1        0        0        1
58195 qt6-webchannel-doc-html            	       0        1        0        0        1
58196 qt6-webchannel-examples            	       0        1        0        0        1
58197 qt6-webengine-dev                  	       0        8        7        1        0
58198 qt6-webengine-dev-tools            	       0        8        7        1        0
58199 qt6-webengine-doc                  	       0        1        0        0        1
58200 qt6-webengine-doc-dev              	       0        1        0        0        1
58201 qt6-webengine-doc-html             	       0        1        0        0        1
58202 qt6-webengine-examples             	       0        1        0        0        1
58203 qt6-webengine-private-dev          	       0        1        0        1        0
58204 qt6-websockets-dev                 	       0        2        0        2        0
58205 qt6-websockets-doc                 	       0        1        0        0        1
58206 qt6-websockets-doc-dev             	       0        1        0        0        1
58207 qt6-websockets-doc-html            	       0        1        0        0        1
58208 qt6-websockets-examples            	       0        1        0        0        1
58209 qt6-websockets-private-dev         	       0        1        0        1        0
58210 qt6-webview-dev                    	       0        1        0        1        0
58211 qt6-webview-doc                    	       0        1        0        0        1
58212 qt6-webview-doc-html               	       0        1        0        0        1
58213 qt6-webview-examples               	       0        1        0        0        1
58214 qt6-webview-plugins                	       0        1        0        0        1
58215 qt6-xdgdesktopportal-platformtheme 	       0        8        1        0        7
58216 qt6ct                              	       0       31       27        4        0
58217 qtads                              	       0        3        3        0        0
58218 qtalarm                            	       0        1        1        0        0
58219 qtbase-opensource-src-build-deps   	       0        1        0        0        1
58220 qtbase5-dev-tools-dbgsym           	       0        1        1        0        0
58221 qtbase5-doc                        	       0       39        0        0       39
58222 qtbase5-doc-dev                    	       0        4        0        0        4
58223 qtbase5-doc-html                   	       0       15        0        0       15
58224 qtbase5-examples                   	       0        6        0        0        6
58225 qtbase5-gles-dev                   	       0        1        1        0        0
58226 qtbase5-private-dev                	       0       42       40        2        0
58227 qtcharts5-doc                      	       0       36        0        0       36
58228 qtcharts5-doc-html                 	       0       10        0        0       10
58229 qtcharts5-examples                 	       0        1        0        0        1
58230 qtchess                            	       0        1        0        0        1
58231 qtconnectivity5-dev                	       0        5        5        0        0
58232 qtconnectivity5-doc                	       0       38        0        0       38
58233 qtconnectivity5-doc-html           	       0       10        0        0       10
58234 qtconnectivity5-examples           	       0        3        0        0        3
58235 qtcore4-l10n                       	       0      178        0        0      178
58236 qtcreator-doc                      	       0       59        0        0       59
58237 qtcurve                            	       0       23        0        0       23
58238 qtcurve-l10n                       	       0       24        0        0       24
58239 qtcurve-trinity                    	       0        2        0        0        2
58240 qtdatavisualization5-doc           	       0       32        0        0       32
58241 qtdatavisualization5-doc-html      	       0        9        0        0        9
58242 qtdatavisualization5-examples      	       0        3        0        0        3
58243 qtdbustest-runner                  	       0        1        1        0        0
58244 qtdeclarative4-kqtquickcharts-1    	       0        2        2        0        0
58245 qtdeclarative5-doc                 	       0       38        0        0       38
58246 qtdeclarative5-doc-dev             	       0        1        0        0        1
58247 qtdeclarative5-doc-html            	       0       10        0        0       10
58248 qtdeclarative5-examples            	       0        6        0        0        6
58249 qtdeclarative5-private-dev         	       0        7        7        0        0
58250 qtearth                            	       0        1        1        0        0
58251 qtel                               	       0        4        4        0        0
58252 qtel-icons                         	       0        4        0        0        4
58253 qtemu                              	       0        2        2        0        0
58254 qtenginio5-doc                     	       0        1        0        0        1
58255 qtfeedback5-dev                    	       0        2        2        0        0
58256 qtfeedback5-examples               	       0        3        3        0        0
58257 qtgain                             	       0        1        1        0        0
58258 qtgamepad5-doc                     	       0       22        0        0       22
58259 qtgamepad5-doc-html                	       0        8        0        0        8
58260 qtgamepad5-examples                	       0        2        0        0        2
58261 qtgraphicaleffects5-doc            	       0       38        0        0       38
58262 qtgraphicaleffects5-doc-html       	       0       10        0        0       10
58263 qtgstreamer-doc                    	       0        1        0        0        1
58264 qtgstreamer-plugins-qt5            	       0      557        0        0      557
58265 qtikz                              	       0        4        4        0        0
58266 qtiplot                            	       0        1        1        0        0
58267 qtiplot-doc                        	       0        1        0        0        1
58268 qtkeychain-qt5-dev                 	       0       20       19        1        0
58269 qtlocation5-dev                    	       0        9        9        0        0
58270 qtlocation5-doc                    	       0       38        0        0       38
58271 qtlocation5-doc-dev                	       0        1        0        0        1
58272 qtlocation5-doc-html               	       0       10        0        0       10
58273 qtlocation5-examples               	       0        2        0        0        2
58274 qtltools                           	       0        1        1        0        0
58275 qtmib                              	       0        1        1        0        0
58276 qtmir                              	       0        1        0        0        1
58277 qtmir-desktop                      	       0        2        0        0        2
58278 qtmultimedia5-dev                  	       0       52       51        1        0
58279 qtmultimedia5-doc                  	       0       38        0        0       38
58280 qtmultimedia5-doc-html             	       0       10        0        0       10
58281 qtmultimedia5-examples             	       0        3        0        0        3
58282 qtnetworkauth5-doc                 	       0       32        0        0       32
58283 qtnetworkauth5-doc-html            	       0        9        0        0        9
58284 qtnetworkauth5-examples            	       0        3        0        0        3
58285 qtop                               	       0        8        8        0        0
58286 qtpass                             	       0       11       11        0        0
58287 qtpdf5-dev                         	       0        2        2        0        0
58288 qtpdf5-doc                         	       0        1        0        0        1
58289 qtpdf5-doc-html                    	       0        1        0        0        1
58290 qtpdf5-examples                    	       0        3        0        0        3
58291 qtpim5-dev                         	       0        2        2        0        0
58292 qtpim5-examples                    	       0        3        0        0        3
58293 qtpositioning5-dev                 	       0       54       54        0        0
58294 qtpositioning5-doc                 	       0        1        0        0        1
58295 qtproj                             	       0        1        1        0        0
58296 qtquickcontrols2-5-dev             	       0       31       31        0        0
58297 qtquickcontrols2-5-doc             	       0       39        0        0       39
58298 qtquickcontrols2-5-doc-html        	       0       11        0        0       11
58299 qtquickcontrols2-5-examples        	       0        4        0        0        4
58300 qtquickcontrols2-5-private-dev     	       0        3        3        0        0
58301 qtquickcontrols5-doc               	       0       39        0        0       39
58302 qtquickcontrols5-doc-html          	       0       11        0        0       11
58303 qtquickcontrols5-examples          	       0        2        0        0        2
58304 qtractor                           	       0       18       18        0        0
58305 qtrassa                            	       0        1        1        0        0
58306 qtremoteobjects5-doc               	       0        1        0        0        1
58307 qtremoteobjects5-doc-html          	       0        1        0        0        1
58308 qtremoteobjects5-examples          	       0        2        0        0        2
58309 qtscript-tools                     	       0        1        1        0        0
58310 qtscript5-doc                      	       0       38        0        0       38
58311 qtscript5-doc-html                 	       0       10        0        0       10
58312 qtscript5-examples                 	       0        2        0        0        2
58313 qtscxml5-doc                       	       0       32        0        0       32
58314 qtscxml5-doc-html                  	       0        9        0        0        9
58315 qtscxml5-examples                  	       0        2        0        0        2
58316 qtsensors5-doc                     	       0       39        0        0       39
58317 qtsensors5-doc-html                	       0       11        0        0       11
58318 qtsensors5-examples                	       0        3        0        0        3
58319 qtserialbus5-doc                   	       0       32        0        0       32
58320 qtserialbus5-doc-html              	       0        9        0        0        9
58321 qtserialbus5-examples              	       0        2        0        0        2
58322 qtserialport5-doc                  	       0       38        0        0       38
58323 qtserialport5-doc-html             	       0       10        0        0       10
58324 qtspeech5-doc                      	       0        1        0        0        1
58325 qtspeech5-doc-html                 	       0        1        0        0        1
58326 qtspeech5-examples                 	       0        2        0        0        2
58327 qtspeech5-flite-plugin             	       0       14        0        0       14
58328 qtsvg5-doc                         	       0       38        0        0       38
58329 qtsvg5-doc-html                    	       0       10        0        0       10
58330 qtsvg5-examples                    	       0        2        0        0        2
58331 qtsystems5-dev                     	       0        6        6        0        0
58332 qtsystems5-examples                	       0        4        0        0        4
58333 qtsystems5-private-dev             	       0        4        4        0        0
58334 qtsystems5-tools                   	       0        3        3        0        0
58335 qttinysa                           	       0        2        2        0        0
58336 qttools5-doc                       	       0       40        0        0       40
58337 qttools5-doc-html                  	       0       11        0        0       11
58338 qttools5-examples                  	       0        3        0        0        3
58339 qttools5-private-dev               	       0        7        7        0        0
58340 qtvirtualkeyboard-plugin           	       0       16        1        0       15
58341 qtvirtualkeyboard5-doc             	       0       36        0        0       36
58342 qtvirtualkeyboard5-doc-html        	       0       10        0        0       10
58343 qtvirtualkeyboard5-examples        	       0        2        0        0        2
58344 qtwayland5-dev-tools               	       0       15       15        0        0
58345 qtwayland5-doc                     	       0       40        0        0       40
58346 qtwayland5-doc-html                	       0       10        0        0       10
58347 qtwayland5-examples                	       0        2        0        0        2
58348 qtwayland5-private-dev             	       0        7        7        0        0
58349 qtwebchannel5-doc                  	       0       39        0        0       39
58350 qtwebchannel5-doc-html             	       0       10        0        0       10
58351 qtwebchannel5-examples             	       0        2        0        0        2
58352 qtwebengine5-dev                   	       0       45       45        0        0
58353 qtwebengine5-dev-tools             	       0       59       56        3        0
58354 qtwebengine5-doc                   	       0       68        0        0       68
58355 qtwebengine5-doc-html              	       0       10        0        0       10
58356 qtwebengine5-examples              	       0        3        0        0        3
58357 qtwebengine5-private-dev           	       0        4        4        0        0
58358 qtwebkit5-doc                      	       0        3        0        0        3
58359 qtwebkit5-examples-doc             	       0        3        0        0        3
58360 qtwebsockets5-doc                  	       0       39        0        0       39
58361 qtwebsockets5-doc-html             	       0       10        0        0       10
58362 qtwebsockets5-examples             	       0        2        0        0        2
58363 qtwebview5-doc                     	       0       37        0        0       37
58364 qtwebview5-doc-html                	       0       10        0        0       10
58365 qtwebview5-examples                	       0        2        0        0        2
58366 qtx11extras5-doc                   	       0       39        0        0       39
58367 qtx11extras5-doc-html              	       0       10        0        0       10
58368 qtxdg-dev-tools                    	       0        4        4        0        0
58369 qtxmlpatterns5-dev-tools           	       0       27       25        0        2
58370 qtxmlpatterns5-doc                 	       0       39        0        0       39
58371 qtxmlpatterns5-doc-html            	       0       10        0        0       10
58372 qtxmlpatterns5-examples            	       0        2        0        0        2
58373 quagga                             	       0        2        0        0        2
58374 quagga-bgpd                        	       0        2        2        0        0
58375 quagga-core                        	       0        3        3        0        0
58376 quagga-isisd                       	       0        2        2        0        0
58377 quagga-ospf6d                      	       0        2        2        0        0
58378 quagga-ospfd                       	       0        3        3        0        0
58379 quagga-pimd                        	       0        2        2        0        0
58380 quagga-ripd                        	       0        2        2        0        0
58381 quagga-ripngd                      	       0        2        2        0        0
58382 quake                              	       0        8        8        0        0
58383 quake-armagon                      	       0        2        0        0        2
58384 quake-armagon-music                	       0        1        0        0        1
58385 quake-dissolution                  	       0        2        0        0        2
58386 quake-dissolution-music            	       0        1        0        0        1
58387 quake-music                        	       0        3        0        0        3
58388 quake-registered                   	       0        4        0        0        4
58389 quake-shareware                    	       0        1        0        0        1
58390 quake2                             	       0        8        8        0        0
58391 quake2-data                        	       0        1        0        0        1
58392 quake2-demo-data                   	       0        3        0        0        3
58393 quake2-full-data                   	       0        2        0        0        2
58394 quake2-groundzero-data             	       0        1        0        0        1
58395 quake2-groundzero-music            	       0        1        0        0        1
58396 quake2-music                       	       0        2        0        0        2
58397 quake2-reckoning-data              	       0        1        0        0        1
58398 quake2-reckoning-music             	       0        1        0        0        1
58399 quake3                             	       0        6        6        0        0
58400 quake3-data                        	       0        1        1        0        0
58401 quake3-demo-data                   	       0        2        2        0        0
58402 quake4                             	       0        1        1        0        0
58403 quakespasm                         	       0       12       12        0        0
58404 quakespasm-build-deps              	       0        1        0        0        1
58405 quakespasm-dbgsym                  	       0        1        1        0        0
58406 qualys-cloud-agent                 	       0        1        0        0        1
58407 quanta-data-trinity                	       0       24       22        2        0
58408 quanta-trinity                     	       0       24       22        2        0
58409 quantum-espresso                   	       0        1        1        0        0
58410 quantum-espresso-data              	       0        1        0        0        1
58411 quarry                             	       0       11       11        0        0
58412 quassel-data                       	       0      151        0        0      151
58413 qucs-s                             	       0        2        2        0        0
58414 quelcom                            	       0        3        3        0        0
58415 quentier-qt5                       	       0        1        1        0        0
58416 quick-n-easy-web-builder-11        	       0        1        0        0        1
58417 quickcal                           	       0        3        3        0        0
58418 quickgui                           	       0        2        0        0        2
58419 quickplot                          	       0        2        2        0        0
58420 quickroute-gps                     	       0        1        1        0        0
58421 quicktime-x11utils                 	       0        5        5        0        0
58422 quisk                              	       0        7        7        0        0
58423 quitcount                          	       0        1        1        0        0
58424 quiteinsane                        	       0        1        1        0        0
58425 quollwriter                        	       0        1        1        0        0
58426 qupzilla                           	       0        7        6        0        1
58427 qupzilla-plugin-kwallet            	       0        1        0        0        1
58428 qutebrowser-qtwebengine            	       0       53        0        0       53
58429 qutebrowser-qtwebkit               	       0        2        0        0        2
58430 qutemol                            	       0        1        1        0        0
58431 quvi                               	       0       10       10        0        0
58432 qv4l2                              	       0       25       25        0        0
58433 qvge                               	       0        2        2        0        0
58434 qvidcap                            	       0        2        2        0        0
58435 qweborf                            	       0        3        3        0        0
58436 qwertone                           	       0        3        3        0        0
58437 qwo                                	       0        1        1        0        0
58438 qxgedit                            	       0        4        4        0        0
58439 qxp2epub                           	       0        1        1        0        0
58440 qxp2odg                            	       0        2        2        0        0
58441 qxw                                	       0        8        8        0        0
58442 r-base                             	       0       78        0        0       78
58443 r-base-dev                         	       0      134        0        0      134
58444 r-bioc-all                         	       0        1        1        0        0
58445 r-bioc-annotate                    	       0        1        1        0        0
58446 r-bioc-annotationdbi               	       0        1        1        0        0
58447 r-bioc-beachmat                    	       0        1        1        0        0
58448 r-bioc-biocneighbors               	       0        1        1        0        0
58449 r-bioc-biocparallel                	       0        1        1        0        0
58450 r-bioc-biocsingular                	       0        1        1        0        0
58451 r-bioc-biocstyle                   	       0        3        3        0        0
58452 r-bioc-biocviews                   	       0        1        1        0        0
58453 r-bioc-biostrings                  	       0        1        1        0        0
58454 r-bioc-bluster                     	       0        1        1        0        0
58455 r-bioc-complexheatmap              	       0        1        1        0        0
58456 r-bioc-consensusclusterplus        	       0        1        1        0        0
58457 r-bioc-degreport                   	       0        1        1        0        0
58458 r-bioc-delayedarray                	       0        1        1        0        0
58459 r-bioc-delayedmatrixstats          	       0        1        1        0        0
58460 r-bioc-deseq2                      	       0        1        1        0        0
58461 r-bioc-edger                       	       0        1        1        0        0
58462 r-bioc-genefilter                  	       0        1        1        0        0
58463 r-bioc-geneplotter                 	       0        1        1        0        0
58464 r-bioc-genomeinfodb                	       0        1        1        0        0
58465 r-bioc-genomeinfodbdata            	       0        1        1        0        0
58466 r-bioc-genomicalignments           	       0        1        1        0        0
58467 r-bioc-genomicranges               	       0        1        1        0        0
58468 r-bioc-glmgampoi                   	       0        1        1        0        0
58469 r-bioc-go.db                       	       0        1        1        0        0
58470 r-bioc-hdf5array                   	       0        1        1        0        0
58471 r-bioc-hsmmsinglecell              	       0        1        1        0        0
58472 r-bioc-iranges                     	       0        1        1        0        0
58473 r-bioc-limma                       	       0        1        1        0        0
58474 r-bioc-matrixgenerics              	       0        1        1        0        0
58475 r-bioc-monocle                     	       0        1        1        0        0
58476 r-bioc-org.hs.eg.db                	       0        1        1        0        0
58477 r-bioc-rbgl                        	       0        1        1        0        0
58478 r-bioc-rhdf5                       	       0        1        1        0        0
58479 r-bioc-rhdf5filters                	       0        1        1        0        0
58480 r-bioc-rhdf5lib                    	       0        1        1        0        0
58481 r-bioc-rhtslib                     	       0        1        1        0        0
58482 r-bioc-rsamtools                   	       0        1        1        0        0
58483 r-bioc-s4vectors                   	       0        1        1        0        0
58484 r-bioc-scran                       	       0        1        1        0        0
58485 r-bioc-scuttle                     	       0        1        1        0        0
58486 r-bioc-shortread                   	       0        1        1        0        0
58487 r-bioc-singlecellexperiment        	       0        1        1        0        0
58488 r-bioc-sparsematrixstats           	       0        1        1        0        0
58489 r-bioc-summarizedexperiment        	       0        1        1        0        0
58490 r-bioc-tximport                    	       0        1        1        0        0
58491 r-bioc-xvector                     	       0        1        1        0        0
58492 r-bioc-zlibbioc                    	       0        1        1        0        0
58493 r-cran-ade4                        	       0        2        2        0        0
58494 r-cran-adegraphics                 	       0        1        1        0        0
58495 r-cran-airr                        	       0        1        1        0        0
58496 r-cran-amore                       	       0        3        3        0        0
58497 r-cran-argparse                    	       0        2        2        0        0
58498 r-cran-argparser                   	       0        1        0        1        0
58499 r-cran-base64url                   	       0        4        4        0        0
58500 r-cran-batchjobs                   	       0        5        5        0        0
58501 r-cran-batchtools                  	       0        4        4        0        0
58502 r-cran-bayesm                      	       0        2        2        0        0
58503 r-cran-bayestestr                  	       0        6        5        1        0
58504 r-cran-bbmisc                      	       0        6        6        0        0
58505 r-cran-bibtex                      	       0        4        4        0        0
58506 r-cran-bindr                       	       0        4        4        0        0
58507 r-cran-bindrcpp                    	       0        4        4        0        0
58508 r-cran-blockmodeling               	       0        1        1        0        0
58509 r-cran-bms                         	       0        1        1        0        0
58510 r-cran-bold                        	       0        1        1        0        0
58511 r-cran-bradleyterry2               	       0        1        1        0        0
58512 r-cran-brglm                       	       0        1        1        0        0
58513 r-cran-cairodevice                 	       0        1        1        0        0
58514 r-cran-cftime                      	       0        1        0        1        0
58515 r-cran-circlize                    	       0        3        3        0        0
58516 r-cran-clisymbols                  	       0        1        1        0        0
58517 r-cran-cmdfun                      	       0        1        1        0        0
58518 r-cran-combinat                    	       0        3        3        0        0
58519 r-cran-conditionz                  	       0        1        1        0        0
58520 r-cran-conting                     	       0        1        1        0        0
58521 r-cran-corpcor                     	       0        1        1        0        0
58522 r-cran-ctmcd                       	       0        1        1        0        0
58523 r-cran-cutpointr                   	       0        1        1        0        0
58524 r-cran-date                        	       0        6        6        0        0
58525 r-cran-ddrtree                     	       0        1        1        0        0
58526 r-cran-deal                        	       0        1        1        0        0
58527 r-cran-densityclust                	       0        1        1        0        0
58528 r-cran-deoptim                     	       0        1        1        0        0
58529 r-cran-diagnosismed                	       0        1        1        0        0
58530 r-cran-dqrng                       	       0        1        1        0        0
58531 r-cran-dynamictreecut              	       0        3        3        0        0
58532 r-cran-eaf                         	       0        3        3        0        0
58533 r-cran-eco                         	       0        1        1        0        0
58534 r-cran-emoa                        	       0        3        3        0        0
58535 r-cran-epitools                    	       0        1        1        0        0
58536 r-cran-erm                         	       0        1        1        0        0
58537 r-cran-estimatr                    	       0        6        5        1        0
58538 r-cran-fail                        	       0        1        1        0        0
58539 r-cran-fasianoptions               	       0        1        1        0        0
58540 r-cran-fastcluster                 	       0        2        1        1        0
58541 r-cran-fbonds                      	       0        1        1        0        0
58542 r-cran-fexoticoptions              	       0        1        1        0        0
58543 r-cran-fextremes                   	       0        1        1        0        0
58544 r-cran-fimport                     	       0        1        1        0        0
58545 r-cran-findpython                  	       0        2        2        0        0
58546 r-cran-flexmix                     	       0        5        4        1        0
58547 r-cran-foptions                    	       0        1        1        0        0
58548 r-cran-freetypeharfbuzz            	       0        4        4        0        0
58549 r-cran-fregression                 	       0        1        1        0        0
58550 r-cran-ftrading                    	       0        1        1        0        0
58551 r-cran-funitroots                  	       0        1        1        0        0
58552 r-cran-g.data                      	       0        1        1        0        0
58553 r-cran-genabel                     	       0        1        1        0        0
58554 r-cran-genabel.data                	       0        1        1        0        0
58555 r-cran-genetics                    	       0        1        1        0        0
58556 r-cran-getopt                      	       0        2        2        0        0
58557 r-cran-getoptlong                  	       0        2        2        0        0
58558 r-cran-ggdendro                    	       0        2        2        0        0
58559 r-cran-globaloptions               	       0        4        4        0        0
58560 r-cran-gmaps                       	       0        1        1        0        0
58561 r-cran-gmm                         	       0        3        3        0        0
58562 r-cran-gmodels                     	       0        2        2        0        0
58563 r-cran-gnm                         	       0        2        2        0        0
58564 r-cran-googlevis                   	       0        3        3        0        0
58565 r-cran-goplot                      	       0        1        1        0        0
58566 r-cran-gregmisc                    	       0        1        1        0        0
58567 r-cran-gridtext                    	       0        1        1        0        0
58568 r-cran-gwidgets                    	       0        2        2        0        0
58569 r-cran-gwidgetstcltk               	       0        2        2        0        0
58570 r-cran-hdf5                        	       0        1        1        0        0
58571 r-cran-httptest2                   	       0        3        3        0        0
58572 r-cran-hwriter                     	       0        2        2        0        0
58573 r-cran-insight                     	       0        7        6        1        0
58574 r-cran-irace                       	       0        3        3        0        0
58575 r-cran-irkernel                    	       0        1        1        0        0
58576 r-cran-iso                         	       0        2        2        0        0
58577 r-cran-isoweek                     	       0        1        1        0        0
58578 r-cran-its                         	       0        1        1        0        0
58579 r-cran-janeaustenr                 	       0        4        4        0        0
58580 r-cran-lamw                        	       0        1        1        0        0
58581 r-cran-lasso2                      	       0        1        1        0        0
58582 r-cran-lexrankr                    	       0        1        1        0        0
58583 r-cran-lhs                         	       0        4        4        0        0
58584 r-cran-logging                     	       0        1        1        0        0
58585 r-cran-lsd                         	       0        1        1        0        0
58586 r-cran-luminescence                	       0        1        1        0        0
58587 r-cran-manipulatewidgets           	       0        1        1        0        0
58588 r-cran-maptree                     	       0        2        2        0        0
58589 r-cran-matching                    	       0        1        1        0        0
58590 r-cran-matchit                     	       0        6        5        1        0
58591 r-cran-matlab                      	       0        1        1        0        0
58592 r-cran-matrixcalc                  	       0        4        4        0        0
58593 r-cran-mcmc                        	       0        3        3        0        0
58594 r-cran-mcmcpack                    	       0        3        3        0        0
58595 r-cran-mfilter                     	       0        1        1        0        0
58596 r-cran-mi                          	       0        5        5        0        0
58597 r-cran-minpack.lm                  	       0        1        1        0        0
58598 r-cran-mlr                         	       0        3        3        0        0
58599 r-cran-mnp                         	       0        2        2        0        0
58600 r-cran-modeest                     	       0        1        1        0        0
58601 r-cran-multicore                   	       0        5        5        0        0
58602 r-cran-natserv                     	       0        1        1        0        0
58603 r-cran-nortest                     	       0        2        2        0        0
58604 r-cran-nozzle.r1                   	       0        1        1        0        0
58605 r-cran-optparse                    	       0        1        1        0        0
58606 r-cran-pander                      	       0        8        6        2        0
58607 r-cran-parallelmap                 	       0        3        3        0        0
58608 r-cran-paramhelpers                	       0        3        3        0        0
58609 r-cran-pbdzmq                      	       0        1        1        0        0
58610 r-cran-pheatmap                    	       0        1        1        0        0
58611 r-cran-pixmap                      	       0        2        2        0        0
58612 r-cran-pkgmaker                    	       0        2        2        0        0
58613 r-cran-posterior                   	       0        8        7        1        0
58614 r-cran-prettyr                     	       0        1        1        0        0
58615 r-cran-prevalence                  	       0        1        1        0        0
58616 r-cran-profilemodel                	       0        1        1        0        0
58617 r-cran-proto                       	       0        1        1        0        0
58618 r-cran-psy                         	       0        1        1        0        0
58619 r-cran-psychotree                  	       0        2        2        0        0
58620 r-cran-pwt                         	       0        1        1        0        0
58621 r-cran-pwt8                        	       0        1        1        0        0
58622 r-cran-qlcmatrix                   	       0        1        1        0        0
58623 r-cran-qtl                         	       0        1        1        0        0
58624 r-cran-qvcalc                      	       0        2        2        0        0
58625 r-cran-r2html                      	       0        1        1        0        0
58626 r-cran-raschsampler                	       0        1        1        0        0
58627 r-cran-ratelimitr                  	       0        2        2        0        0
58628 r-cran-rcarb                       	       0        1        1        0        0
58629 r-cran-rcmdr                       	       0        2        2        0        0
58630 r-cran-rcmdrmisc                   	       0        2        2        0        0
58631 r-cran-rcpphnsw                    	       0        1        1        0        0
58632 r-cran-rcppml                      	       0        3        3        0        0
58633 r-cran-readstata13                 	       0        3        3        0        0
58634 r-cran-reformulas                  	       0        1        1        0        0
58635 r-cran-relimp                      	       0        3        3        0        0
58636 r-cran-rentrez                     	       0        1        1        0        0
58637 r-cran-renv                        	       0        5        4        1        0
58638 r-cran-rgenoud                     	       0        1        1        0        0
58639 r-cran-rggobi                      	       0        1        1        0        0
58640 r-cran-rgtk2                       	       0        2        2        0        0
58641 r-cran-rhandsontable               	       0        1        1        0        0
58642 r-cran-rinside                     	       0        1        1        0        0
58643 r-cran-ritis                       	       0        1        1        0        0
58644 r-cran-rjags                       	       0        2        2        0        0
58645 r-cran-rjava                       	       0        4        4        0        0
58646 r-cran-rjson                       	       0        5        5        0        0
58647 r-cran-rlumshiny                   	       0        1        1        0        0
58648 r-cran-rmariadb                    	       0        2        2        0        0
58649 r-cran-rmutil                      	       0        1        1        0        0
58650 r-cran-rncl                        	       0        1        1        0        0
58651 r-cran-rodbc                       	       0        3        3        0        0
58652 r-cran-rprotobuf                   	       0        1        1        0        0
58653 r-cran-rquantlib                   	       0        1        1        0        0
58654 r-cran-rredlist                    	       0        1        1        0        0
58655 r-cran-rsclient                    	       0        1        1        0        0
58656 r-cran-rsdmx                       	       0        1        1        0        0
58657 r-cran-rserve                      	       0        1        1        0        0
58658 r-cran-rsprng                      	       0        1        1        0        0
58659 r-cran-rsvd                        	       0        1        1        0        0
58660 r-cran-scatterd3                   	       0        1        1        0        0
58661 r-cran-segmented                   	       0        1        1        0        0
58662 r-cran-sem                         	       0        5        5        0        0
58663 r-cran-sendmailr                   	       0        5        5        0        0
58664 r-cran-shinybs                     	       0        1        1        0        0
58665 r-cran-shinydashboard              	       0        1        1        0        0
58666 r-cran-simplermarkdown             	       0        2        2        0        0
58667 r-cran-sitmo                       	       0        1        1        0        0
58668 r-cran-sjplot                      	       0        1        1        0        0
58669 r-cran-snow                        	       0        2        2        0        0
58670 r-cran-snowballc                   	       0        3        3        0        0
58671 r-cran-solrium                     	       0        1        1        0        0
58672 r-cran-sparsesvd                   	       0        1        1        0        0
58673 r-cran-sparsevctrs                 	       0        1        0        1        0
58674 r-cran-spatialreg                  	       0        5        4        1        0
58675 r-cran-spatstat.univar             	       0        1        0        1        0
58676 r-cran-splines2                    	       0        8        7        1        0
58677 r-cran-stable                      	       0        1        1        0        0
58678 r-cran-stablelearner               	       0        2        2        0        0
58679 r-cran-statip                      	       0        1        1        0        0
58680 r-cran-stringdist                  	       0        2        2        0        0
58681 r-cran-suppdists                   	       0        1        1        0        0
58682 r-cran-tcltk2                      	       0        4        4        0        0
58683 r-cran-tgp                         	       0        2        2        0        0
58684 r-cran-tidytext                    	       0        1        1        0        0
58685 r-cran-tiff                        	       0        1        1        0        0
58686 r-cran-tkrplot                     	       0        1        1        0        0
58687 r-cran-tmvnsim                     	       0        4        4        0        0
58688 r-cran-tokenizers                  	       0        1        1        0        0
58689 r-cran-vcdextra                    	       0        1        1        0        0
58690 r-cran-wdi                         	       0        1        1        0        0
58691 r-cran-wikidataqueryservicer       	       0        2        2        0        0
58692 r-cran-wikidatar                   	       0        2        2        0        0
58693 r-cran-wikipedir                   	       0        2        2        0        0
58694 r-cran-wikitaxa                    	       0        1        1        0        0
58695 r-cran-wordcloud                   	       0        1        1        0        0
58696 r-cran-worrms                      	       0        1        1        0        0
58697 r-cran-zelig                       	       0        1        1        0        0
58698 r-doc-html                         	       0      142        0        0      142
58699 r-doc-info                         	       0       15        0        0       15
58700 r-doc-pdf                          	       0       10        0        0       10
58701 r-mathlib                          	       0       18       17        1        0
58702 r-omegahat-xmlrpc                  	       0        1        1        0        0
58703 r-other-iwrlars                    	       0        1        1        0        0
58704 r-other-mott-happy.hbrem           	       0        1        1        0        0
58705 r-recommended                      	       0      141        0        0      141
58706 r5rs-doc                           	       0        1        0        0        1
58707 r8168-dkms                         	       0       12       12        0        0
58708 rabbit                             	       0        1        1        0        0
58709 rabbitvcs-gedit                    	       0        2        2        0        0
58710 rabbitvcs-nautilus                 	       0        1        0        0        1
58711 rabbitvcs-thunar                   	       0        2        0        0        2
58712 racket                             	       0       17       17        0        0
58713 racket-common                      	       0       17       17        0        0
58714 racket-doc                         	       0       18        0        0       18
58715 racoon                             	       0        1        1        0        0
58716 radiance-materials                 	       0        1        0        0        1
58717 radiant                            	       0        1        1        0        0
58718 radicale                           	       0       13       13        0        0
58719 radioclk                           	       0        2        2        0        0
58720 radiotray                          	       0        1        1        0        0
58721 radium-compressor                  	       0        2        2        0        0
58722 radon                              	       0        1        1        0        0
58723 radvdump                           	       0       12       11        1        0
58724 rafkill                            	       0        4        4        0        0
58725 rafkill-data                       	       0        4        0        0        4
58726 ragel                              	       0       11       11        0        0
58727 raidutils                          	       0        1        0        0        1
58728 rails                              	       0        6        0        0        6
58729 raincat                            	       0       17       17        0        0
58730 raincat-data                       	       0       17        0        0       17
58731 rainloop                           	       0        1        1        0        0
58732 rakarrack                          	       0       10        9        1        0
58733 rake-compiler                      	       0        3        3        0        0
58734 raku                               	       0        8        0        0        8
58735 raku-file-find                     	       0        8        8        0        0
58736 raku-file-which                    	       0        8        8        0        0
58737 raku-getopt-long                   	       0        9        9        0        0
58738 raku-hash-merge                    	       0        8        8        0        0
58739 raku-json-class                    	       0        8        8        0        0
58740 raku-json-fast                     	       0        8        8        0        0
58741 raku-json-marshal                  	       0        8        8        0        0
58742 raku-json-name                     	       0        8        8        0        0
58743 raku-json-optin                    	       0        8        8        0        0
58744 raku-json-unmarshal                	       0        8        8        0        0
58745 raku-librarycheck                  	       0        8        8        0        0
58746 raku-license-spdx                  	       0        8        8        0        0
58747 raku-log                           	       0        8        8        0        0
58748 raku-meta6                         	       0        8        8        0        0
58749 raku-readline                      	       0        8        8        0        0
58750 raku-tap-harness                   	       0        9        9        0        0
58751 raku-test-meta                     	       0        8        8        0        0
58752 raku-uri                           	       0        8        8        0        0
58753 rambo-k                            	       0        2        2        0        0
58754 ramond                             	       0        1        1        0        0
58755 rampler                            	       0        1        1        0        0
58756 randmac                            	       0        3        3        0        0
58757 randomplay                         	       0        1        1        0        0
58758 randomsound                        	       0        3        3        0        0
58759 randtype                           	       0        5        5        0        0
58760 rapid-photo-downloader             	       0        4        4        0        0
58761 rapid-spring                       	       0        1        1        0        0
58762 rapiddisk                          	       0        1        1        0        0
58763 rapidjson-doc                      	       0        1        0        0        1
58764 rapmap                             	       0        1        1        0        0
58765 raptor-utils                       	       0        1        1        0        0
58766 raptor2-utils                      	       0       14       14        0        0
58767 rar-2.80                           	       0        1        1        0        0
58768 rasmol                             	       0        4        4        0        0
58769 rasmol-doc                         	       0        1        0        0        1
58770 raspberrypi-bootloader             	       0        3        0        0        3
58771 raspberrypi-kernel-headers         	       0        1        1        0        0
58772 raspberrypi-net-mods               	       0        1        1        0        0
58773 raspell                            	       0        6        6        0        0
58774 raspi-config                       	       0        2        2        0        0
58775 raspi-firmware                     	       0        7        7        0        0
58776 raspi-gpio                         	       0        1        1        0        0
58777 rasqal-utils                       	       0        5        5        0        0
58778 raster3d                           	       0        2        2        0        0
58779 raster3d-doc                       	       0        1        0        0        1
58780 rasterio                           	       0        1        1        0        0
58781 rasterlite2-bin                    	       0        4        4        0        0
58782 rasterview                         	       0        3        3        0        0
58783 ratbagd                            	       0       10       10        0        0
58784 rate4site                          	       0        1        1        0        0
58785 ratfor                             	       0        2        2        0        0
58786 rationalplan-single                	       0        1        1        0        0
58787 ratmenu                            	       0        3        3        0        0
58788 ratt                               	       0        3        2        1        0
58789 rav1e                              	       0        2        2        0        0
58790 rawdog                             	       0        1        1        0        0
58791 rawtherapee-data                   	       0       55        0        0       55
58792 rawtran                            	       0        3        3        0        0
58793 rawtran-doc                        	       0        2        0        0        2
58794 raxml                              	       0        4        4        0        0
58795 ray                                	       0        1        1        0        0
58796 raysession                         	       0        1        1        0        0
58797 razergenie                         	       0        1        1        0        0
58798 rbd-fuse                           	       0        1        1        0        0
58799 rbd-nbd                            	       0        1        1        0        0
58800 rbdoom3bfg                         	       0        6        6        0        0
58801 rblcheck                           	       0        6        6        0        0
58802 rbldnsd                            	       0        1        1        0        0
58803 rc                                 	       0        9        9        0        0
58804 rccl                               	       0        3        0        0        3
58805 rccl-dev                           	       0        3        3        0        0
58806 rclone-browser                     	       0       19       18        1        0
58807 rcm                                	       0        3        3        0        0
58808 rcon                               	       0        1        1        0        0
58809 rcs-dbgsym                         	       0        1        1        0        0
58810 rcse                               	       0        1        1        0        0
58811 rcse-build-deps                    	       0        1        0        0        1
58812 rdd                                	       0        1        1        0        0
58813 rdf2rml                            	       0        1        1        0        0
58814 rdiff-backup-fs                    	       0       12       12        0        0
58815 rdist                              	       0        3        3        0        0
58816 rdmacm-utils                       	       0        1        1        0        0
58817 rdopng                             	       0        1        1        0        0
58818 rdopt                              	       0        1        1        0        0
58819 rdp-alignment                      	       0        1        1        0        0
58820 rdp-classifier                     	       0        1        1        0        0
58821 rdp-readseq                        	       0        1        1        0        0
58822 rdtool                             	       0        4        3        1        0
58823 rdtool-elisp                       	       0        2        2        0        0
58824 rdup                               	       0        1        1        0        0
58825 readline-common                    	       0     4162        0        0     4162
58826 readline-doc                       	       0       26        0        0       26
58827 readpe                             	       0        2        2        0        0
58828 readpst                            	       0        5        0        0        5
58829 readseq                            	       0        3        3        0        0
58830 realpath                           	       0       16        0        0       16
58831 realplayer                         	       0        1        1        0        0
58832 realtimebattle                     	       0        1        1        0        0
58833 realtimebattle-common              	       0        1        1        0        0
58834 rear                               	       0        6        6        0        0
58835 rear-doc                           	       0        2        0        0        2
58836 rebar                              	       0        1        1        0        0
58837 rebar3                             	       0        2        1        1        0
58838 reboot-notifier                    	       0        1        0        0        1
58839 recite                             	       0        1        1        0        0
58840 reclass                            	       0        1        1        0        0
58841 reclass-doc                        	       0        1        0        0        1
58842 recode-doc                         	       0        5        0        0        5
58843 recoll                             	       0       33        0        0       33
58844 recommonmark-scripts               	       0        1        1        0        0
58845 recon-ng                           	       0        2        2        0        0
58846 recover                            	       0        2        2        0        0
58847 recutils                           	       0       12       12        0        0
58848 redeclipse-common                  	       0       11        0        0       11
58849 redeclipse-data                    	       0       11        0        0       11
58850 redeclipse-server                  	       0        1        1        0        0
58851 redet                              	       0        1        1        0        0
58852 redis                              	       0       41        0        0       41
58853 redis-redisearch                   	       0        1        1        0        0
58854 redis-sentinel                     	       0        2        2        0        0
58855 redland-bindings-build-deps        	       0        1        0        0        1
58856 redland-utils                      	       0        6        6        0        0
58857 redmine                            	       0        5        5        0        0
58858 redmine-mysql                      	       0        2        0        0        2
58859 redmine-pgsql                      	       0        1        0        0        1
58860 redmine-sqlite                     	       0        3        0        0        3
58861 rednotebook                        	       0        9        9        0        0
58862 redsea                             	       0        1        1        0        0
58863 redshift-build-deps                	       0        1        0        0        1
58864 redshift-dbgsym                    	       0        1        1        0        0
58865 redshift-qt                        	       0        1        1        0        0
58866 refblas3                           	       0        1        0        0        1
58867 referenceassemblies-pcl            	       0       22       22        0        0
58868 reflex                             	       0        1        1        0        0
58869 refracta2usb                       	       0        4        4        0        0
58870 refurb                             	       0        1        1        0        0
58871 regexxer                           	       0       10       10        0        0
58872 regina-normal                      	       0        1        1        0        0
58873 regina-normal-doc                  	       0        1        0        0        1
58874 regina-rexx                        	       0        4        4        0        0
58875 registry-tools                     	       0        6        5        1        0
58876 reglookup-doc                      	       0       21        0        0       21
58877 regolith-compositor-picom-glx      	       0        1        0        0        1
58878 regolith-control-center            	       0        1        1        0        0
58879 regolith-default-settings          	       0        1        0        0        1
58880 regolith-desktop                   	       0        1        0        0        1
58881 regolith-ftue                      	       0        1        1        0        0
58882 regolith-i3-compositor             	       0        1        0        0        1
58883 regolith-i3-control-center-regolith	       0        1        0        0        1
58884 regolith-i3-dbus-activation        	       0        1        0        0        1
58885 regolith-i3-default-style          	       0        1        0        0        1
58886 regolith-i3-gaps                   	       0        1        0        0        1
58887 regolith-i3-i3xrocks               	       0        1        0        0        1
58888 regolith-i3-ilia                   	       0        1        0        0        1
58889 regolith-i3-root-config            	       0        1        0        0        1
58890 regolith-i3-session                	       0        1        0        0        1
58891 regolith-i3-unclutter              	       0        1        0        0        1
58892 regolith-i3xrocks-config           	       0        1        0        0        1
58893 regolith-look-ayu                  	       0        1        0        0        1
58894 regolith-look-ayu-dark             	       0        1        0        0        1
58895 regolith-look-ayu-mirage           	       0        1        0        0        1
58896 regolith-look-blackhole            	       0        1        0        0        1
58897 regolith-look-default              	       0        1        0        0        1
58898 regolith-look-default-loader       	       0        1        0        0        1
58899 regolith-look-dracula              	       0        1        0        0        1
58900 regolith-look-gruvbox              	       0        1        0        0        1
58901 regolith-look-i3-default           	       0        1        0        0        1
58902 regolith-look-lascaille            	       0        1        0        0        1
58903 regolith-look-nevil                	       0        1        0        0        1
58904 regolith-look-nord                 	       0        1        0        0        1
58905 regolith-look-solarized-dark       	       0        1        0        0        1
58906 regolith-rofication                	       0        1        1        0        0
58907 regolith-session-common            	       0        1        1        0        0
58908 regolith-session-flashback         	       0        1        1        0        0
58909 regolith-session-flashback-ext     	       0        1        0        0        1
58910 regolith-unclutter-xfixes          	       0        1        1        0        0
58911 regolith-wm-base-launchers         	       0        1        0        0        1
58912 regolith-wm-config                 	       0        1        1        0        0
58913 regolith-wm-ftue                   	       0        1        0        0        1
58914 regolith-wm-navigation             	       0        1        0        0        1
58915 regolith-wm-networkmanager         	       0        1        0        0        1
58916 regolith-wm-resize                 	       0        1        0        0        1
58917 regolith-wm-rofication-ilia        	       0        1        0        0        1
58918 regolith-wm-swap-focus             	       0        1        0        0        1
58919 regolith-wm-workspace-config       	       0        1        0        0        1
58920 rehex                              	       0        1        1        0        0
58921 rekor                              	       0        2        1        1        0
58922 relational-cli                     	       0        1        1        0        0
58923 relevation                         	       0        1        1        0        0
58924 remake                             	       0        5        5        0        0
58925 remaster-iso                       	       0        2        2        0        0
58926 remmina-dev                        	       0        2        2        0        0
58927 remmina-plugin-exec                	       0       15        0        0       15
58928 remmina-plugin-python              	       0        5        0        0        5
58929 remmina-plugin-www                 	       0       14        0        0       14
58930 remote-logon-config-agent          	       0        2        2        0        0
58931 remote-logon-service               	       0        2        0        0        2
58932 remotetrx                          	       0        2        2        0        0
58933 rename-flac                        	       0        5        5        0        0
58934 renattach                          	       0        1        1        0        0
58935 render-dev                         	       0        1        0        0        1
58936 renderdoc                          	       0        3        0        0        3
58937 renderdoccmd                       	       0        3        3        0        0
58938 reniced                            	       0        2        2        0        0
58939 renpy                              	       0        5        5        0        0
58940 renpy-demo                         	       0        1        1        0        0
58941 renpy-doc                          	       0        1        0        0        1
58942 renpy-thequestion                  	       0        5        5        0        0
58943 renrot                             	       0        6        6        0        0
58944 rep-doc                            	       0        6        0        0        6
58945 repetier-host                      	       0        2        2        0        0
58946 replaygain                         	       0        1        1        0        0
58947 repmgr                             	       0        1        0        0        1
58948 repo                               	       0       17       16        1        0
58949 repo-manager-loc-os                	       0        1        1        0        0
58950 repopush                           	       0        1        1        0        0
58951 reportbug-gtk                      	       0       10        0        0       10
58952 reportbug-ng                       	       0        1        1        0        0
58953 reposurgeon                        	       0        3        3        0        0
58954 repowerd                           	       0        1        1        0        0
58955 repowerd-data                      	       0        1        0        0        1
58956 repowerd-tools                     	       0        1        1        0        0
58957 reprof                             	       0        1        1        0        0
58958 request-tracker4                   	       0        1        1        0        0
58959 rerun                              	       0        1        1        0        0
58960 resample                           	       0        1        1        0        0
58961 rescuezilla                        	       0        1        1        0        0
58962 reserialize                        	       0        3        3        0        0
58963 residualvm                         	       0        1        1        0        0
58964 residualvm-data                    	       0        1        0        0        1
58965 resource-agents                    	       0        8        8        0        0
58966 restartd                           	       0        1        1        0        0
58967 resvg                              	       0        1        1        0        0
58968 retro-runner                       	       0        5        0        0        5
58969 retroarch-assets                   	       0       26        0        0       26
58970 retroshare                         	       0        1        1        0        0
58971 reuse                              	       0        1        1        0        0
58972 revelation                         	       0        4        4        0        0
58973 revolt                             	       0        6        6        0        0
58974 rex                                	       0        1        1        0        0
58975 rexical                            	       0        1        1        0        0
58976 rexima                             	       0        3        3        0        0
58977 rfkill-dbgsym                      	       0        1        1        0        0
58978 rgbpaint                           	       0        7        7        0        0
58979 rgxg                               	       0        1        1        0        0
58980 rhvoice-english                    	       0        3        0        0        3
58981 rhvoice-russian                    	       0        2        0        0        2
58982 rhythmbox-dev                      	       0        1        1        0        0
58983 rhythmbox-doc                      	       0        6        0        0        6
58984 rhythmbox-plugin-alternative-toolbar	       0        9        9        0        0
58985 ri                                 	       0       25        0        0       25
58986 ri-li-data                         	       0       20        0        0       20
58987 ri1.8                              	       0        1        0        0        1
58988 ri1.9.1                            	       0        1        0        0        1
58989 ric                                	       0        1        1        0        0
58990 ricks-amdgpu-utils                 	       0       20        3        0       17
58991 ricochet                           	       0        2        2        0        0
58992 ricochet-im                        	       0        1        1        0        0
58993 riece                              	       0        1        1        0        0
58994 riemann-c-client                   	       0        1        1        0        0
58995 rien-certbot-manualdns-alwaysdata  	       0        2        2        0        0
58996 rien-cloud                         	       0        1        1        0        0
58997 rien-cloud-nextcloud               	       0        1        1        0        0
58998 rien-common                        	       0       15       14        1        0
58999 rien-desktop                       	       0        4        4        0        0
59000 rien-fpm                           	       0        3        0        0        3
59001 rien-guest                         	       0        9        0        0        9
59002 rien-host                          	       0        2        1        1        0
59003 rien-keyring                       	       0       15        0        0       15
59004 rien-knot-resolver                 	       0        5        0        1        4
59005 rien-mx                            	       0        2        2        0        0
59006 rien-nginx                         	       0        7        0        0        7
59007 rien-torrent                       	       0        1        0        1        0
59008 rien-webmail                       	       0        2        0        0        2
59009 rig                                	       0        8        8        0        0
59010 rime-data-bopomofo                 	       0        3        0        0        3
59011 rime-data-cangjie5                 	       0        4        0        0        4
59012 rime-data-luna-pinyin              	       0        4        1        0        3
59013 rime-data-stroke                   	       0        4        0        0        4
59014 rime-data-terra-pinyin             	       0        4        0        0        4
59015 rime-essay                         	       0        4        0        0        4
59016 rime-prelude                       	       0        4        0        0        4
59017 ring                               	       0        2        1        0        1
59018 ring-daemon                        	       0        2        1        0        1
59019 rinse                              	       0       10       10        0        0
59020 riot-desktop                       	       0        1        0        0        1
59021 riot-nightly                       	       0        1        0        0        1
59022 ripit                              	       0       16       16        0        0
59023 ripmake                            	       0        1        1        0        0
59024 ripole                             	       0       12       12        0        0
59025 ripper                             	       0        3        3        0        0
59026 ripperx                            	       0       56       56        0        0
59027 riseup-vpn                         	       0        7        7        0        0
59028 rkflashkit                         	       0        1        1        0        0
59029 rkward                             	       0       14       13        1        0
59030 rkward-data                        	       0       14        0        0       14
59031 rlfe                               	       0        4        4        0        0
59032 rlinetd                            	       0        4        4        0        0
59033 rlinux                             	       0        1        1        0        0
59034 rlpr                               	       0        4        4        0        0
59035 rlvm                               	       0        4        4        0        0
59036 rmail                              	       0        3        2        1        0
59037 rman                               	       0        1        1        0        0
59038 rmligs-german                      	       0        2        2        0        0
59039 rmlint                             	       0       26       26        0        0
59040 rmlint-doc                         	       0        4        0        0        4
59041 rmlint-gui                         	       0       20       20        0        0
59042 rmw                                	       0        1        1        0        0
59043 rna-star                           	       0        1        1        0        0
59044 rnahybrid                          	       0        1        1        0        0
59045 rnbyc                              	       0        1        1        0        0
59046 rng-tools                          	       0       23        4        0       19
59047 rng-tools6                         	       0        1        1        0        0
59048 rnnoise                            	       0        1        1        0        0
59049 rnp                                	       0        1        1        0        0
59050 roam-research                      	       0        1        1        0        0
59051 roarclients                        	       0        1        1        0        0
59052 roarplaylistd                      	       0        1        1        0        0
59053 roarplaylistd-codechelper-gst      	       0        1        1        0        0
59054 roarplaylistd-tools                	       0        1        1        0        0
59055 robocode                           	       0        4        4        0        0
59056 robocode-doc                       	       0        1        0        0        1
59057 robocut                            	       0        1        1        0        0
59058 robotfindskitten                   	       0        6        6        0        0
59059 robotour                           	       0        1        1        0        0
59060 rocalution                         	       0        3        0        0        3
59061 rocalution-dev                     	       0        3        3        0        0
59062 rocblas                            	       0        4        4        0        0
59063 rocblas-dev                        	       0        4        4        0        0
59064 roccat-tools                       	       0        1        1        0        0
59065 rocfft                             	       0        3        3        0        0
59066 rocfft-dev                         	       0        3        3        0        0
59067 rockdodger                         	       0        2        2        0        0
59068 rocksdb-tools                      	       0        1        1        0        0
59069 rocksndiamonds                     	       0       10       10        0        0
59070 rocm                               	       0        1        0        0        1
59071 rocm-bandwidth-test                	       0        1        1        0        0
59072 rocm-clang-ocl                     	       0        2        2        0        0
59073 rocm-cmake                         	       0        9        0        0        9
59074 rocm-core                          	       0       16       16        0        0
59075 rocm-core-asan                     	       0        1        1        0        0
59076 rocm-core5.0.2                     	       0        1        1        0        0
59077 rocm-dbgapi                        	       0        3        3        0        0
59078 rocm-debug-agent                   	       0        3        0        0        3
59079 rocm-developer-tools               	       0        1        0        0        1
59080 rocm-device-libs                   	       0       12       12        0        0
59081 rocm-gdb                           	       0        1        1        0        0
59082 rocm-hip-libraries                 	       0        3        0        0        3
59083 rocm-hip-runtime                   	       0        5        0        0        5
59084 rocm-hip-runtime-dev               	       0        3        0        0        3
59085 rocm-hip-sdk                       	       0        3        0        0        3
59086 rocm-khronos-cts                   	       0        1        1        0        0
59087 rocm-language-runtime              	       0       14        0        0       14
59088 rocm-llvm                          	       0        5        5        0        0
59089 rocm-llvm-dev                      	       0        1        1        0        0
59090 rocm-ml-libraries                  	       0        3        0        0        3
59091 rocm-ml-sdk                        	       0        3        0        0        3
59092 rocm-ocl-icd                       	       0        6        3        0        3
59093 rocm-ocltst                        	       0        1        0        0        1
59094 rocm-opencl                        	       0       15       15        0        0
59095 rocm-opencl-dev                    	       0        7        7        0        0
59096 rocm-opencl-icd                    	       0        1        0        0        1
59097 rocm-opencl-runtime                	       0       14        0        0       14
59098 rocm-opencl-sdk                    	       0        5        0        0        5
59099 rocm-openmp-sdk                    	       0        3        0        0        3
59100 rocm-smi-lib                       	       0        4        4        0        0
59101 rocm-utils                         	       0        4        0        0        4
59102 rocm-validation-suite              	       0        2        2        0        0
59103 rocprim-dev                        	       0        3        3        0        0
59104 rocprofiler                        	       0        1        1        0        0
59105 rocprofiler-dev                    	       0        2        1        0        1
59106 rocprofiler-plugins                	       0        1        1        0        0
59107 rocprofiler-register               	       0       10       10        0        0
59108 rocprofiler-sdk                    	       0        1        1        0        0
59109 rocprofiler-sdk-roctx              	       0        1        1        0        0
59110 rocrand                            	       0        4        0        0        4
59111 rocrand-dev                        	       0        4        4        0        0
59112 rocs                               	       0       43       42        1        0
59113 rocsolver                          	       0        4        0        0        4
59114 rocsolver-dev                      	       0        4        4        0        0
59115 rocsparse                          	       0        4        0        0        4
59116 rocsparse-dev                      	       0        4        4        0        0
59117 rocthrust-dev                      	       0        3        3        0        0
59118 roctracer                          	       0        3        3        0        0
59119 roctracer-dev                      	       0        3        2        0        1
59120 rocwmma-dev                        	       0        3        1        0        2
59121 roffit                             	       0        2        2        0        0
59122 roger                              	       0        1        1        0        0
59123 roger-plugins-evolution            	       0        1        0        0        1
59124 roger-plugins-fritzfon             	       0        1        0        0        1
59125 roger-plugins-gtknotify            	       0        1        0        0        1
59126 roger-plugins-indicator            	       0        1        0        0        1
59127 roger-plugins-notification         	       0        1        0        0        1
59128 roger-plugins-statusicon           	       0        1        0        0        1
59129 roger-router                       	       0        1        1        0        0
59130 roger-router-cli                   	       0        1        1        0        0
59131 rolisteam                          	       0        1        1        0        0
59132 rolisteam-build-deps               	       0        1        0        0        1
59133 rolldice                           	       0        7        7        0        0
59134 rolldice-build-deps                	       0        1        0        0        1
59135 rolldice-dbgsym                    	       0        1        1        0        0
59136 rollup                             	       0        2        2        0        0
59137 rolo                               	       0        6        6        0        0
59138 ronn                               	       0        5        5        0        0
59139 roodi                              	       0        1        1        0        0
59140 rootlesskit                        	       0       11       10        1        0
59141 ros-actionlib-msgs                 	       0        1        0        0        1
59142 ros-base                           	       0        1        0        0        1
59143 ros-base-dev                       	       0        1        0        0        1
59144 ros-base-lisp-dev                  	       0        1        0        0        1
59145 ros-base-python-dev                	       0        1        0        0        1
59146 ros-cmake-modules                  	       0        1        0        0        1
59147 ros-core                           	       0        1        0        0        1
59148 ros-core-dev                       	       0        1        0        0        1
59149 ros-core-lisp-dev                  	       0        1        0        0        1
59150 ros-core-python-dev                	       0        1        0        0        1
59151 ros-core-rosbuild-dev              	       0        1        0        0        1
59152 ros-desktop                        	       0        1        0        0        1
59153 ros-desktop-full                   	       0        1        0        0        1
59154 ros-desktop-full-lisp-dev          	       0        1        0        0        1
59155 ros-desktop-lisp-dev               	       0        1        0        0        1
59156 ros-diagnostic-msgs                	       0        1        0        0        1
59157 ros-environment                    	       0        1        0        0        1
59158 ros-geometry-msgs                  	       0        1        0        0        1
59159 ros-groovy-eigen-stl-containers    	       0        1        0        0        1
59160 ros-map-msgs                       	       0        1        0        0        1
59161 ros-message-generation             	       0        2        0        0        2
59162 ros-message-runtime                	       0        3        0        0        3
59163 ros-mk                             	       0        1        0        0        1
59164 ros-move-base-msgs                 	       0        1        0        0        1
59165 ros-nav-msgs                       	       0        1        0        0        1
59166 ros-pcl-msgs                       	       0        1        0        0        1
59167 ros-perception                     	       0        1        0        0        1
59168 ros-perception-lisp-dev            	       0        1        0        0        1
59169 ros-robot                          	       0        1        0        0        1
59170 ros-robot-dev                      	       0        1        0        0        1
59171 ros-robot-lisp-dev                 	       0        1        0        0        1
59172 ros-robot-python-dev               	       0        1        0        0        1
59173 ros-roscpp-msg                     	       0        1        0        0        1
59174 ros-rosgraph-msgs                  	       0        1        0        0        1
59175 ros-sensor-msgs                    	       0        1        0        0        1
59176 ros-shape-msgs                     	       0        1        0        0        1
59177 ros-simulators                     	       0        1        0        0        1
59178 ros-simulators-dev                 	       0        1        0        0        1
59179 ros-simulators-lisp-dev            	       0        1        0        0        1
59180 ros-simulators-python-dev          	       0        1        0        0        1
59181 ros-std-msgs                       	       0        1        0        0        1
59182 ros-std-srvs                       	       0        1        0        0        1
59183 ros-stereo-msgs                    	       0        1        0        0        1
59184 ros-tf2-msgs                       	       0        1        0        0        1
59185 ros-topic-tools-srvs               	       0        1        0        0        1
59186 ros-trajectory-msgs                	       0        1        0        0        1
59187 ros-visualization-msgs             	       0        1        0        0        1
59188 ros-viz                            	       0        1        0        0        1
59189 rosbash                            	       0        2        2        0        0
59190 rosbuild                           	       0        1        1        0        0
59191 roslang                            	       0        1        0        0        1
59192 roslisp                            	       0        2        0        0        2
59193 rosnix-firewall                    	       0        2        0        0        2
59194 rosout                             	       0        1        1        0        0
59195 rospack-tools                      	       0        3        2        1        0
59196 rotix                              	       0        4        4        0        0
59197 rott                               	       0        6        6        0        0
59198 rotter                             	       0        7        7        0        0
59199 roundcube                          	       0       18        0        0       18
59200 roundcube-mysql                    	       0       19        0        0       19
59201 roundcube-plugin-authres-status    	       0        1        1        0        0
59202 roundcube-plugin-compose-addressbook	       0        1        1        0        0
59203 roundcube-plugin-contextmenu       	       0        1        1        0        0
59204 roundcube-plugin-dovecot-impersonate	       0        1        1        0        0
59205 roundcube-plugin-fail2ban          	       0        1        1        0        0
59206 roundcube-plugin-html5-notifier    	       0        1        1        0        0
59207 roundcube-plugin-keyboard-shortcuts	       0        1        1        0        0
59208 roundcube-plugin-listcommands      	       0        1        1        0        0
59209 roundcube-plugin-message-highlight 	       0        1        1        0        0
59210 roundcube-plugin-sauserprefs       	       0        1        1        0        0
59211 roundcube-plugin-thunderbird-labels	       0        1        1        0        0
59212 roundcube-skin-classic             	       0        2        0        0        2
59213 roundcube-skin-larry               	       0        2        0        0        2
59214 roundcubemail                      	       0        1        1        0        0
59215 roundcubemail-plugin-kolab-delegation	       0        1        1        0        0
59216 roundcubemail-plugins-kolab        	       0        1        0        0        1
59217 roundcubemail-skin-chameleon       	       0        1        0        0        1
59218 route-rnd                          	       0        2        2        0        0
59219 routino-common                     	       0       22        0        0       22
59220 routino-www                        	       0        3        0        0        3
59221 rovclock                           	       0       11       10        1        0
59222 rover                              	       0        3        3        0        0
59223 rox-archive                        	       0        2        2        0        0
59224 rox-edit                           	       0        2        2        0        0
59225 rox-lib2                           	       0        2        2        0        0
59226 rox-mime-jon                       	       0        2        0        0        2
59227 rox-wallpaper                      	       0        2        2        0        0
59228 roxterm                            	       0        4        0        0        4
59229 roxterm-common                     	       0        4        0        0        4
59230 roxterm-gtk3                       	       0        4        4        0        0
59231 rpi-eeprom                         	       0        1        1        0        0
59232 rpi-imager-dbgsym                  	       0        1        1        0        0
59233 rpi-update                         	       0        1        1        0        0
59234 rplay-client                       	       0        2        2        0        0
59235 rpm-i18n                           	       0       14        0        0       14
59236 rpm2html                           	       0        2        2        0        0
59237 rpmlint                            	       0        3        2        1        0
59238 rpp                                	       0        2        0        0        2
59239 rpp-dev                            	       0        1        1        0        0
59240 rr                                 	       0        6        5        1        0
59241 rrdtool-tcl                        	       0        5        0        0        5
59242 rrootage                           	       0        4        4        0        0
59243 rrootage-data                      	       0        6        0        0        6
59244 rs                                 	       0        2        2        0        0
59245 rsbackup-lib                       	       0        1        1        0        0
59246 rsem                               	       0        1        1        0        0
59247 rsemd                              	       0        1        1        0        0
59248 rsendmail                          	       0        1        0        1        0
59249 rsgain                             	       0        2        2        0        0
59250 rsh-redone-client                  	       0        2        2        0        0
59251 rsh-redone-server                  	       0        2        2        0        0
59252 rsplib-doc                         	       0        2        0        0        2
59253 rsplib-fgp-cfgfiles                	       0        2        0        0        2
59254 rsplib-tools                       	       0        2        2        0        0
59255 rsrce                              	       0        1        1        0        0
59256 rssguard                           	       0        2        2        0        0
59257 rsshfs                             	       0        3        3        0        0
59258 rsstail                            	       0        8        8        0        0
59259 rst2pdf                            	       0       11       11        0        0
59260 rstatd                             	       0        1        1        0        0
59261 rstcheck                           	       0        3        3        0        0
59262 rstudio-server                     	       0        3        3        0        0
59263 rsync-build-deps                   	       0        1        0        0        1
59264 rsyncbackup-2pir                   	       0        1        1        0        0
59265 rsyncrypto                         	       0        2        2        0        0
59266 rsyslog-dbgsym                     	       0        1        1        0        0
59267 rsyslog-doc                        	       0       23        0        0       23
59268 rsyslog-gssapi                     	       0        1        0        0        1
59269 rsyslog-openssl                    	       0        2        0        0        2
59270 rsyslog-pgsql                      	       0        1        0        0        1
59271 rsyslog-snmp                       	       0        1        0        0        1
59272 rt-tests                           	       0        2        2        0        0
59273 rt3573sta-driver                   	       0        1        0        0        1
59274 rt4-apache2                        	       0        1        0        0        1
59275 rt4-clients                        	       0        1        1        0        0
59276 rt4-db-mysql                       	       0        1        0        0        1
59277 rtags                              	       0        2        2        0        0
59278 rtax                               	       0        1        1        0        0
59279 rtcw                               	       0        3        3        0        0
59280 rtcw-common                        	       0        3        3        0        0
59281 rtcw-en-data                       	       0        1        0        0        1
59282 rtirq-init                         	       0       10        0        0       10
59283 rtklib                             	       0        3        3        0        0
59284 rtklib-doc                         	       0        1        0        0        1
59285 rtklib-qt                          	       0        3        3        0        0
59286 rtl-ais                            	       0        2        2        0        0
59287 rtl-connector                      	       0        1        1        0        0
59288 rtl-tcp-connector                  	       0        1        1        0        0
59289 rtl8192eu-dkms                     	       0        1        1        0        0
59290 rtl8821cu-dkms                     	       0        1        1        0        0
59291 rtl88x2bu-dkms                     	       0        2        2        0        0
59292 rtorrent-build-deps                	       0        1        0        0        1
59293 rtorrent-dbgsym                    	       0        1        1        0        0
59294 rts5229                            	       0        1        0        0        1
59295 rttool                             	       0        1        1        0        0
59296 rtv                                	       0        2        2        0        0
59297 rtw89-dkms                         	       0        2        1        1        0
59298 rtx                                	       0        1        1        0        0
59299 rubberband-lv2                     	       0        2        2        0        0
59300 rubberband-vamp                    	       0        7        6        0        1
59301 rubi-deb                           	       0        2        0        0        2
59302 rubiks                             	       0        3        3        0        0
59303 rubocop                            	       0        5        5        0        0
59304 ruby-abstract-type                 	       0        1        1        0        0
59305 ruby-ace-rails-ap                  	       0        1        1        0        0
59306 ruby-acme-client                   	       0        1        1        0        0
59307 ruby-actioncable                   	       0       11       10        1        0
59308 ruby-actionmailbox                 	       0       10        9        1        0
59309 ruby-actionmailer                  	       0       14       13        1        0
59310 ruby-actionpack                    	       0       14       13        1        0
59311 ruby-actionpack-action-caching     	       0        5        5        0        0
59312 ruby-actionpack-xml-parser         	       0        6        6        0        0
59313 ruby-actiontext                    	       0       10        9        1        0
59314 ruby-actionview                    	       0       14       13        1        0
59315 ruby-active-model-serializers      	       0        1        1        0        0
59316 ruby-activejob                     	       0       14       13        1        0
59317 ruby-activeldap                    	       0        1        1        0        0
59318 ruby-activemodel                   	       0       17       16        1        0
59319 ruby-activemodel-serializers-xml   	       0        1        1        0        0
59320 ruby-activerecord                  	       0       16       15        1        0
59321 ruby-activestorage                 	       0       11       10        1        0
59322 ruby-acts-as-api                   	       0        1        1        0        0
59323 ruby-acts-as-list                  	       0        1        1        0        0
59324 ruby-acts-as-taggable-on           	       0        1        0        1        0
59325 ruby-acts-as-tree                  	       0        1        1        0        0
59326 ruby-adsf                          	       0        1        1        0        0
59327 ruby-adsf-live                     	       0        1        1        0        0
59328 ruby-ae                            	       0        1        1        0        0
59329 ruby-aes-key-wrap                  	       0        1        1        0        0
59330 ruby-afm                           	       0        6        5        1        0
59331 ruby-aggregate                     	       0        1        1        0        0
59332 ruby-ahoy-email                    	       0        1        0        1        0
59333 ruby-airbrussh                     	       0        1        1        0        0
59334 ruby-akismet                       	       0        1        1        0        0
59335 ruby-all-dev                       	       0       12       12        0        0
59336 ruby-amq-protocol                  	       0        1        1        0        0
59337 ruby-amrita2                       	       0        1        1        0        0
59338 ruby-ansi                          	       0        5        5        0        0
59339 ruby-archive-zip                   	       0        2        2        0        0
59340 ruby-arel                          	       0        5        5        0        0
59341 ruby-arr-pm                        	       0        1        1        0        0
59342 ruby-ascii85                       	       0        6        5        1        0
59343 ruby-asciidoctor-include-ext       	       0        1        1        0        0
59344 ruby-asciidoctor-pdf               	       0        2        1        1        0
59345 ruby-asciidoctor-plantuml          	       0        1        1        0        0
59346 ruby-ast                           	       0        5        4        1        0
59347 ruby-async                         	       0        1        1        0        0
59348 ruby-async-http                    	       0        1        1        0        0
59349 ruby-async-io                      	       0        1        1        0        0
59350 ruby-async-pool                    	       0        1        1        0        0
59351 ruby-async-process                 	       0        1        1        0        0
59352 ruby-async-rspec                   	       0        1        1        0        0
59353 ruby-atomic                        	       0       16       15        0        1
59354 ruby-aubio                         	       0        4        4        0        0
59355 ruby-avl-tree                      	       0        2        1        0        1
59356 ruby-backports                     	       0        3        3        0        0
59357 ruby-bcrypt-pbkdf                  	       0       31        6        0       25
59358 ruby-bdb                           	       0        1        0        0        1
59359 ruby-bindata                       	       0        1        1        0        0
59360 ruby-bindex                        	       0        7        1        0        6
59361 ruby-binding-of-caller             	       0        6        6        0        0
59362 ruby-blankslate                    	       0       12       12        0        0
59363 ruby-bootsnap                      	       0        7        7        0        0
59364 ruby-bootstrap-form                	       0        1        1        0        0
59365 ruby-bson                          	       0        2        1        0        1
59366 ruby-bunny                         	       0        1        1        0        0
59367 ruby-byebug                        	       0       11       11        0        0
59368 ruby-capybara                      	       0        7        7        0        0
59369 ruby-case-transform                	       0        1        1        0        0
59370 ruby-celluloid                     	       0        4        4        0        0
59371 ruby-celluloid-essentials          	       0        1        1        0        0
59372 ruby-celluloid-extras              	       0        1        1        0        0
59373 ruby-celluloid-fsm                 	       0        1        1        0        0
59374 ruby-celluloid-pool                	       0        1        1        0        0
59375 ruby-celluloid-supervision         	       0        1        1        0        0
59376 ruby-charlock-holmes               	       0        3        0        0        3
59377 ruby-chef-utils                    	       0        3        3        0        0
59378 ruby-chromedriver-helper           	       0        1        1        0        0
59379 ruby-chronic                       	       0        1        1        0        0
59380 ruby-chunky-png                    	       0        4        4        0        0
59381 ruby-cleanroom                     	       0        1        1        0        0
59382 ruby-coffee-rails                  	       0        4        4        0        0
59383 ruby-coffee-script                 	       0       10       10        0        0
59384 ruby-coffee-script-source          	       0       11       11        0        0
59385 ruby-color                         	       0        1        1        0        0
59386 ruby-colored2                      	       0        1        1        0        0
59387 ruby-colorize                      	       0        5        5        0        0
59388 ruby-columnize                     	       0       11       11        0        0
59389 ruby-commandline                   	       0        1        1        0        0
59390 ruby-commonmarker                  	       0        2        0        0        2
59391 ruby-connection-pool               	       0       10       10        0        0
59392 ruby-console                       	       0        1        1        0        0
59393 ruby-crack                         	       0        1        1        0        0
59394 ruby-crass                         	       0       11       11        0        0
59395 ruby-cri                           	       0        1        1        0        0
59396 ruby-cri-doc                       	       0        1        0        0        1
59397 ruby-css-parser                    	       0        7        6        1        0
59398 ruby-csv                           	       0        1        1        0        0
59399 ruby-cucumber-core                 	       0        1        1        0        0
59400 ruby-cucumber-wire                 	       0        1        1        0        0
59401 ruby-curb                          	       0        1        0        0        1
59402 ruby-curses                        	       0       10       10        0        0
59403 ruby-daemons                       	       0        3        3        0        0
59404 ruby-dataobjects                   	       0        2        2        0        0
59405 ruby-dataobjects-mysql             	       0        1        1        0        0
59406 ruby-dataobjects-postgres          	       0        2        2        0        0
59407 ruby-dataobjects-sqlite3           	       0        2        2        0        0
59408 ruby-dbd-mysql                     	       0        1        1        0        0
59409 ruby-dbd-pg                        	       0        2        2        0        0
59410 ruby-dbd-sqlite3                   	       0        1        1        0        0
59411 ruby-dbi                           	       0        2        2        0        0
59412 ruby-dbm                           	       0       14        0        0       14
59413 ruby-dbus                          	       0        1        1        0        0
59414 ruby-ddmetrics                     	       0        1        1        0        0
59415 ruby-ddplugin                      	       0        1        1        0        0
59416 ruby-deb-version                   	       0        1        1        0        0
59417 ruby-debug-inspector               	       0        6        3        0        3
59418 ruby-declarative                   	       0        1        1        0        0
59419 ruby-declarative-option            	       0        1        1        0        0
59420 ruby-deprecated                    	       0        2        2        0        0
59421 ruby-dev                           	       0       85        0        0       85
59422 ruby-device-detector               	       0        1        1        0        0
59423 ruby-diff-lcs                      	       0       10       10        0        0
59424 ruby-dirty-memoize                 	       0        1        1        0        0
59425 ruby-distribution                  	       0        1        1        0        0
59426 ruby-docile                        	       0        2        2        0        0
59427 ruby-docker-api                    	       0        1        1        0        0
59428 ruby-dotenv                        	       0        1        1        0        0
59429 ruby-ecasound                      	       0        1        1        0        0
59430 ruby-ed25519                       	       0       31        6        0       25
59431 ruby-eim-xml                       	       0        1        1        0        0
59432 ruby-enum                          	       0        2        2        0        0
59433 ruby-errbase                       	       0        1        1        0        0
59434 ruby-escape                        	       0        1        1        0        0
59435 ruby-ethon                         	       0        1        1        0        0
59436 ruby-execjs                        	       0       14       14        0        0
59437 ruby-exif                          	       0        4        0        0        4
59438 ruby-expression-parser             	       0        1        1        0        0
59439 ruby-expression-parser-doc         	       0        1        0        0        1
59440 ruby-extlib                        	       0        2        2        0        0
59441 ruby-facets                        	       0        1        1        0        0
59442 ruby-fakefs                        	       0        2        2        0        0
59443 ruby-faraday-middleware            	       0        1        1        0        0
59444 ruby-faraday-net-http              	       0        2        2        0        0
59445 ruby-faraday-retry                 	       0        1        1        0        0
59446 ruby-fast-gettext                  	       0        3        3        0        0
59447 ruby-fast-xs                       	       0        2        2        0        0
59448 ruby-fcgi                          	       0        4        4        0        0
59449 ruby-feedparser                    	       0        1        1        0        0
59450 ruby-ffi                           	       0       85       14        0       71
59451 ruby-ffi-compiler                  	       0        4        4        0        0
59452 ruby-ffi-libarchive                	       0        2        2        0        0
59453 ruby-ffi-yajl                      	       0        1        1        0        0
59454 ruby-fiber-local                   	       0        1        1        0        0
59455 ruby-flexmock                      	       0        2        2        0        0
59456 ruby-fssm                          	       0        1        1        0        0
59457 ruby-full                          	       0       12        0        0       12
59458 ruby-fuzzyurl                      	       0        1        1        0        0
59459 ruby-gdk4                          	       0        1        0        1        0
59460 ruby-gettext-activerecord          	       0        1        1        0        0
59461 ruby-gettext-i18n-rails            	       0        1        1        0        0
59462 ruby-gettext-setup                 	       0        1        1        0        0
59463 ruby-gherkin                       	       0        1        1        0        0
59464 ruby-git                           	       0        2        2        0        0
59465 ruby-globalid                      	       0       14       13        1        0
59466 ruby-god                           	       0        1        1        0        0
59467 ruby-gpgme                         	       0        1        1        0        0
59468 ruby-grit                          	       0        1        1        0        0
59469 ruby-grpc                          	       0       23        0        0       23
59470 ruby-gsl                           	       0        1        0        0        1
59471 ruby-gssapi                        	       0        1        1        0        0
59472 ruby-gstreamer                     	       0        4        4        0        0
59473 ruby-haml                          	       0        3        3        0        0
59474 ruby-hamster                       	       0        3        3        0        0
59475 ruby-hashery                       	       0        5        4        1        0
59476 ruby-hashie                        	       0        1        1        0        0
59477 ruby-hdfeos5                       	       0        3        3        0        0
59478 ruby-hiera                         	       0       10        0        0       10
59479 ruby-highline                      	       0        9        9        0        0
59480 ruby-hike                          	       0       14       14        0        0
59481 ruby-hikidoc                       	       0        2        2        0        0
59482 ruby-hitimes                       	       0        5        1        0        4
59483 ruby-hivex                         	       0        1        0        1        0
59484 ruby-hmac                          	       0        3        3        0        0
59485 ruby-hpricot                       	       0        2        2        0        0
59486 ruby-html-pipeline                 	       0        3        3        0        0
59487 ruby-html2haml                     	       0        3        3        0        0
59488 ruby-html2text                     	       0        1        1        0        0
59489 ruby-htmlentities                  	       0        8        8        0        0
59490 ruby-htree                         	       0        3        3        0        0
59491 ruby-http                          	       0        4        4        0        0
59492 ruby-http-accept                   	       0        4        4        0        0
59493 ruby-http-connection               	       0        1        1        0        0
59494 ruby-http-form-data                	       0        4        4        0        0
59495 ruby-http-parser                   	       0        4        4        0        0
59496 ruby-http-parser.rb-doc            	       0        1        0        0        1
59497 ruby-hyperestraier                 	       0        2        2        0        0
59498 ruby-i18n-data                     	       0        1        1        0        0
59499 ruby-imagesize                     	       0        1        1        0        0
59500 ruby-iniparse                      	       0        1        1        0        0
59501 ruby-innate                        	       0        2        2        0        0
59502 ruby-innertube                     	       0        1        1        0        0
59503 ruby-inotify                       	       0        1        1        0        0
59504 ruby-io-like                       	       0        2        2        0        0
59505 ruby-jar-dependencies              	       0        1        1        0        0
59506 ruby-jbuilder                      	       0       10       10        0        0
59507 ruby-jekyll-avatar                 	       0        1        1        0        0
59508 ruby-jekyll-coffeescript           	       0        6        6        0        0
59509 ruby-jekyll-compose                	       0        1        1        0        0
59510 ruby-jekyll-gist                   	       0        6        6        0        0
59511 ruby-jekyll-last-modified-at       	       0        1        0        0        1
59512 ruby-jekyll-mentions               	       0        1        1        0        0
59513 ruby-jekyll-paginate               	       0        5        5        0        0
59514 ruby-jekyll-paginate-v2            	       0        1        0        0        1
59515 ruby-jekyll-redirect-from          	       0        1        1        0        0
59516 ruby-jekyll-seo-tag                	       0        9        0        0        9
59517 ruby-jekyll-sitemap                	       0        1        1        0        0
59518 ruby-joiner                        	       0        1        1        0        0
59519 ruby-jquery-rails                  	       0        8        8        0        0
59520 ruby-jsonapi-renderer              	       0        1        1        0        0
59521 ruby-kde4                          	       0        3        3        0        0
59522 ruby-kramdown-rfc2629              	       0        1        1        0        0
59523 ruby-krb5-auth                     	       0        1        1        0        0
59524 ruby-launchy-shim                  	       0        1        1        0        0
59525 ruby-libnotify                     	       0        1        1        0        0
59526 ruby-librarian                     	       0        1        1        0        0
59527 ruby-lockfile                      	       0        1        1        0        0
59528 ruby-loofah                        	       0       14       13        1        0
59529 ruby-mab                           	       0        1        1        0        0
59530 ruby-magic                         	       0        2        2        0        0
59531 ruby-mail                          	       0       23       23        0        0
59532 ruby-mapscript                     	       0        1        0        0        1
59533 ruby-marcel                        	       0       12       12        0        0
59534 ruby-maruku                        	       0        2        2        0        0
59535 ruby-mathml                        	       0        1        1        0        0
59536 ruby-mdl                           	       0        1        1        0        0
59537 ruby-mecab                         	       0        1        0        0        1
59538 ruby-mechanize                     	       0        3        3        0        0
59539 ruby-memcache-client               	       0        1        1        0        0
59540 ruby-metaclass                     	       0        3        3        0        0
59541 ruby-metriks                       	       0        1        1        0        0
59542 ruby-middleware                    	       0        1        1        0        0
59543 ruby-mime                          	       0       23       23        0        0
59544 ruby-mimemagic                     	       0        7        7        0        0
59545 ruby-mini-exiftool                 	       0       26       26        0        0
59546 ruby-mini-magick                   	       0        3        3        0        0
59547 ruby-mini-mime                     	       0       19       19        0        0
59548 ruby-minitar                       	       0        4        4        0        0
59549 ruby-mixlib-archive                	       0        1        1        0        0
59550 ruby-mixlib-authentication         	       0        1        1        0        0
59551 ruby-mixlib-cli                    	       0        5        5        0        0
59552 ruby-mixlib-config                 	       0        3        3        0        0
59553 ruby-mixlib-log                    	       0        2        2        0        0
59554 ruby-mixlib-shellout               	       0        3        3        0        0
59555 ruby-mocha                         	       0        3        3        0        0
59556 ruby-mongo                         	       0        2        1        1        0
59557 ruby-mp3tag                        	       0        2        2        0        0
59558 ruby-msfrpc-client                 	       0        1        1        0        0
59559 ruby-msgpack                       	       0       10        2        0        8
59560 ruby-multi-test                    	       0        1        1        0        0
59561 ruby-multibitnums                  	       0        1        0        0        1
59562 ruby-mustache                      	       0        9        9        0        0
59563 ruby-mustermann                    	       0        3        3        0        0
59564 ruby-mysql                         	       0        2        2        0        0
59565 ruby-narray                        	       0        6        0        0        6
59566 ruby-narray-miss                   	       0        2        2        0        0
59567 ruby-ncurses                       	       0        6        5        1        0
59568 ruby-nenv                          	       0        1        1        0        0
59569 ruby-neovim                        	       0        1        1        0        0
59570 ruby-net-dns                       	       0        1        1        0        0
59571 ruby-net-http-digest-auth          	       0       25       25        0        0
59572 ruby-net-http-persistent           	       0       16       16        0        0
59573 ruby-net-ldap                      	       0        7        7        0        0
59574 ruby-net-ssh-gateway               	       0        3        3        0        0
59575 ruby-net-ssh-multi                 	       0        2        2        0        0
59576 ruby-netcdf                        	       0        1        1        0        0
59577 ruby-netrc                         	       0        6        6        0        0
59578 ruby-nio4r                         	       0       12        3        0        9
59579 ruby-notifier                      	       0        1        1        0        0
59580 ruby-notify                        	       0        2        2        0        0
59581 ruby-notmuch                       	       0        4        0        0        4
59582 ruby-ntlm                          	       0        3        3        0        0
59583 ruby-numerizer                     	       0        2        2        0        0
59584 ruby-oauth                         	       0        1        1        0        0
59585 ruby-octokit                       	       0        6        6        0        0
59586 ruby-odbc                          	       0        2        2        0        0
59587 ruby-oily-png                      	       0        1        1        0        0
59588 ruby-okular                        	       0        1        1        0        0
59589 ruby-ole                           	       0        1        1        0        0
59590 ruby-open4                         	       0        4        4        0        0
59591 ruby-openid                        	       0        3        3        0        0
59592 ruby-opennebula                    	       0        1        1        0        0
59593 ruby-optimist                      	       0       47        0        0       47
59594 ruby-origami                       	       0        4        4        0        0
59595 ruby-packet                        	       0        2        2        0        0
59596 ruby-parallel                      	       0        5        5        0        0
59597 ruby-parser                        	       0        1        1        0        0
59598 ruby-parslet                       	       0        2        2        0        0
59599 ruby-passenger                     	       0        5        0        0        5
59600 ruby-password                      	       0        2        1        0        1
59601 ruby-pastel                        	       0        2        2        0        0
59602 ruby-pdf-core                      	       0        6        5        1        0
59603 ruby-pdf-inspector                 	       0        2        2        0        0
59604 ruby-pdf-reader                    	       0        5        4        1        0
59605 ruby-pg                            	       0        6        6        0        0
59606 ruby-phonon                        	       0        2        2        0        0
59607 ruby-plasma                        	       0        3        3        0        0
59608 ruby-plist                         	       0        1        1        0        0
59609 ruby-polyglot                      	       0       14       13        1        0
59610 ruby-poppler                       	       0        2        2        0        0
59611 ruby-posix-spawn                   	       0        6        6        0        0
59612 ruby-prawn                         	       0        4        3        1        0
59613 ruby-prawn-icon                    	       0        2        1        1        0
59614 ruby-prawn-svg                     	       0        2        1        1        0
59615 ruby-prawn-table                   	       0        3        2        1        0
59616 ruby-prawn-templates               	       0        2        1        1        0
59617 ruby-progressbar                   	       0        5        5        0        0
59618 ruby-protected-attributes          	       0        3        3        0        0
59619 ruby-protocol-hpack                	       0        1        1        0        0
59620 ruby-protocol-http                 	       0        1        1        0        0
59621 ruby-protocol-http1                	       0        1        1        0        0
59622 ruby-protocol-http2                	       0        1        1        0        0
59623 ruby-proxifier                     	       0        1        1        0        0
59624 ruby-psych                         	       0        1        0        0        1
59625 ruby-puppet-forge                  	       0        1        1        0        0
59626 ruby-puppet-resource-api           	       0        1        1        0        0
59627 ruby-puppetserver-ca-cli           	       0        1        1        0        0
59628 ruby-qdbm                          	       0        3        0        0        3
59629 ruby-qt4                           	       0        6        6        0        0
59630 ruby-qt4-script                    	       0        3        3        0        0
59631 ruby-qt4-test                      	       0        3        3        0        0
59632 ruby-qt4-uitools                   	       0        3        3        0        0
59633 ruby-qt4-webkit                    	       0        3        3        0        0
59634 ruby-rack                          	       0       39       38        1        0
59635 ruby-rack-livereload               	       0        1        0        1        0
59636 ruby-rack-openid                   	       0        3        3        0        0
59637 ruby-rack-protection               	       0        7        7        0        0
59638 ruby-rack-proxy                    	       0        6        6        0        0
59639 ruby-rack-session                  	       0        1        0        1        0
59640 ruby-rack-test                     	       0       16       16        0        0
59641 ruby-rackup                        	       0        1        1        0        0
59642 ruby-rails                         	       0       14        0        0       14
59643 ruby-rails-deprecated-sanitizer    	       0       14       14        0        0
59644 ruby-rails-dom-testing             	       0       14       14        0        0
59645 ruby-rails-html-sanitizer          	       0       14       13        1        0
59646 ruby-rails-i18n                    	       0        1        1        0        0
59647 ruby-rails-observers               	       0        5        5        0        0
59648 ruby-railties                      	       0       14       13        1        0
59649 ruby-ramaze                        	       0        1        1        0        0
59650 ruby-rbpdf                         	       0        6        6        0        0
59651 ruby-rbpdf-font                    	       0        6        6        0        0
59652 ruby-rbtree                        	       0       18        0        0       18
59653 ruby-rc4                           	       0        5        4        1        0
59654 ruby-rd                            	       0        5        4        1        0
59655 ruby-redis                         	       0        2        2        0        0
59656 ruby-regexp-parser                 	       0       11       11        0        0
59657 ruby-request-store                 	       0        5        5        0        0
59658 ruby-rest-client                   	       0        6        6        0        0
59659 ruby-riddle                        	       0        1        1        0        0
59660 ruby-rmagick                       	       0       25       25        0        0
59661 ruby-rmagick-doc                   	       0        2        0        0        2
59662 ruby-roadie                        	       0        5        5        0        0
59663 ruby-roadie-rails                  	       0        5        5        0        0
59664 ruby-ronn                          	       0        7        7        0        0
59665 ruby-rotp                          	       0        3        3        0        0
59666 ruby-rpam-ruby19                   	       0        2        2        0        0
59667 ruby-rqrcode                       	       0        3        3        0        0
59668 ruby-rqrcode-core                  	       0        3        3        0        0
59669 ruby-rrd                           	       0        6        0        0        6
59670 ruby-rspec                         	       0        5        5        0        0
59671 ruby-rspec-core                    	       0        6        6        0        0
59672 ruby-rspec-expectations            	       0        6        6        0        0
59673 ruby-rspec-files                   	       0        1        1        0        0
59674 ruby-rspec-logsplit                	       0        1        1        0        0
59675 ruby-rspec-memory                  	       0        1        1        0        0
59676 ruby-rspec-mocks                   	       0        6        6        0        0
59677 ruby-rspec-support                 	       0        6        6        0        0
59678 ruby-rsvg2                         	       0        1        1        0        0
59679 ruby-rsync                         	       0        1        1        0        0
59680 ruby-rt                            	       0        2        2        0        0
59681 ruby-rubame                        	       0        4        4        0        0
59682 ruby-rubocop-ast                   	       0        5        5        0        0
59683 ruby-rubocop-rspec                 	       0        1        1        0        0
59684 ruby-ruby-parser                   	       0        3        3        0        0
59685 ruby-ruby2-keywords                	       0       33        0        0       33
59686 ruby-rubymail                      	       0        2        2        0        0
59687 ruby-rubymail-doc                  	       0        1        0        0        1
59688 ruby-rugged                        	       0        3        0        0        3
59689 ruby-safely-block                  	       0        1        1        0        0
59690 ruby-sanitize                      	       0        2        2        0        0
59691 ruby-sass                          	       0       24       24        0        0
59692 ruby-sass-rails                    	       0       10       10        0        0
59693 ruby-sassc-rails                   	       0        6        6        0        0
59694 ruby-sawyer                        	       0        6        6        0        0
59695 ruby-scanf                         	       0        2        0        0        2
59696 ruby-schash                        	       0        1        1        0        0
59697 ruby-sdbm                          	       0      747        0        0      747
59698 ruby-sdl                           	       0        7        7        0        0
59699 ruby-sdoc                          	       0        7        7        0        0
59700 ruby-selenium-webdriver            	       0        7        7        0        0
59701 ruby-selinux                       	       0       18        1        0       17
59702 ruby-semantic-puppet               	       0       16       16        0        0
59703 ruby-semantic-range                	       0        6        6        0        0
59704 ruby-sequel                        	       0        5        5        0        0
59705 ruby-sequel-pg                     	       0        2        2        0        0
59706 ruby-settingslogic-doc             	       0        1        0        0        1
59707 ruby-setup                         	       0        1        1        0        0
59708 ruby-sexp-processor                	       0        4        4        0        0
59709 ruby-shadow                        	       0       29        1        0       28
59710 ruby-sigar                         	       0        1        0        0        1
59711 ruby-simple-po-parser              	       0        1        1        0        0
59712 ruby-simplecov                     	       0        2        2        0        0
59713 ruby-simplecov-html                	       0        2        2        0        0
59714 ruby-simpleidn                     	       0        3        3        0        0
59715 ruby-sinatra                       	       0        7        7        0        0
59716 ruby-sinatra-contrib               	       0        2        2        0        0
59717 ruby-slim                          	       0        2        2        0        0
59718 ruby-sorted-set                    	       0       18        0        0       18
59719 ruby-specinfra                     	       0        1        1        0        0
59720 ruby-spider                        	       0       24       24        0        0
59721 ruby-spreadsheet                   	       0        1        1        0        0
59722 ruby-spring                        	       0       10       10        0        0
59723 ruby-spring-watcher-listen         	       0        6        6        0        0
59724 ruby-sprockets                     	       0       14       14        0        0
59725 ruby-sprockets-rails               	       0       14       14        0        0
59726 ruby-sshkit                        	       0        1        1        0        0
59727 ruby-stackprof                     	       0        1        1        0        0
59728 ruby-state-machines                	       0        1        1        0        0
59729 ruby-stomp                         	       0        3        3        0        0
59730 ruby-svg-graph                     	       0        2        2        0        0
59731 ruby-svn                           	       0       10        9        1        0
59732 ruby-sync                          	       0        3        0        0        3
59733 ruby-sys-filesystem                	       0       14       14        0        0
59734 ruby-syslog-logger                 	       0        1        1        0        0
59735 ruby-systemu                       	       0        3        3        0        0
59736 ruby-table-print                   	       0        1        1        0        0
59737 ruby-task-list                     	       0        2        2        0        0
59738 ruby-tcltk                         	       0        1        0        0        1
59739 ruby-temple                        	       0        3        3        0        0
59740 ruby-term-ansicolor                	       0        4        4        0        0
59741 ruby-termios                       	       0        2        1        0        1
59742 ruby-terser                        	       0        5        5        0        0
59743 ruby-text-format                   	       0        1        1        0        0
59744 ruby-thinking-sphinx               	       0        1        1        0        0
59745 ruby-thread-safe                   	       0       14       14        0        0
59746 ruby-tilt                          	       0       23       23        0        0
59747 ruby-timers                        	       0        5        5        0        0
59748 ruby-tins                          	       0        4        4        0        0
59749 ruby-tioga                         	       0        1        1        0        0
59750 ruby-tioga-doc                     	       0        1        0        0        1
59751 ruby-tokyocabinet                  	       0        1        0        0        1
59752 ruby-toml                          	       0        1        1        0        0
59753 ruby-traces                        	       0        1        1        0        0
59754 ruby-treetop                       	       0       14       13        1        0
59755 ruby-trollop                       	       0        9        9        0        0
59756 ruby-ttfunk                        	       0        7        6        1        0
59757 ruby-tty-color                     	       0        2        2        0        0
59758 ruby-tty-command                   	       0        1        1        0        0
59759 ruby-tty-screen                    	       0        1        1        0        0
59760 ruby-turbolinks                    	       0        9        9        0        0
59761 ruby-turbolinks-source             	       0        7        7        0        0
59762 ruby-twitter4r                     	       0        1        1        0        0
59763 ruby-uconv                         	       0        4        4        0        0
59764 ruby-uglifier                      	       0        4        4        0        0
59765 ruby-unicorn-engine                	       0        1        0        0        1
59766 ruby-unidecode                     	       0        1        1        0        0
59767 ruby-usb                           	       0        1        1        0        0
59768 ruby-useragent                     	       0        1        0        1        0
59769 ruby-uuidtools                     	       0        2        2        0        0
59770 ruby-uuidtools-doc                 	       0        1        0        0        1
59771 ruby-validatable                   	       0        1        1        0        0
59772 ruby-wavefile                      	       0        4        4        0        0
59773 ruby-web-console                   	       0       10       10        0        0
59774 ruby-webpacker                     	       0        6        6        0        0
59775 ruby-webrobots                     	       0        3        3        0        0
59776 ruby-websocket                     	       0       10       10        0        0
59777 ruby-websocket-driver              	       0       11       11        0        0
59778 ruby-websocket-extensions          	       0       11       11        0        0
59779 ruby-whitequark-parser             	       0        5        5        0        0
59780 ruby-whitewash                     	       0        1        1        0        0
59781 ruby-wirble                        	       0        2        2        0        0
59782 ruby-xapian                        	       0        1        1        0        0
59783 ruby-xml-simple                    	       0       21        0        0       21
59784 ruby-xpath                         	       0        7        7        0        0
59785 ruby-ya2yaml                       	       0        1        1        0        0
59786 ruby-yell                          	       0        1        1        0        0
59787 ruby-zeitwerk                      	       0       19       19        0        0
59788 ruby1.6                            	       0        1        1        0        0
59789 ruby1.8-dev                        	       0        2        2        0        0
59790 ruby1.8-examples                   	       0        1        0        0        1
59791 ruby1.9.1-dev                      	       0        3        3        0        0
59792 ruby1.9.1-examples                 	       0        2        0        0        2
59793 ruby1.9.1-full                     	       0        1        0        0        1
59794 ruby2.0                            	       0        5        5        0        0
59795 ruby2.1-dev                        	       0        1        1        0        0
59796 ruby2.1-doc                        	       0        1        1        0        0
59797 ruby2.2                            	       0        2        2        0        0
59798 ruby2.3-dev                        	       0        6        6        0        0
59799 ruby2.3-doc                        	       0        2        2        0        0
59800 ruby2.3-tcltk                      	       0        1        1        0        0
59801 ruby2.5-dev                        	       0        6        6        0        0
59802 ruby2.5-doc                        	       0        6        6        0        0
59803 ruby2.7-dev                        	       0       14       14        0        0
59804 ruby2.7-doc                        	       0       12       12        0        0
59805 ruby3.0                            	       0        7        7        0        0
59806 ruby3.1-doc                        	       0       68        0        0       68
59807 ruby3.3-doc                        	       0        5        0        0        5
59808 rubybook                           	       0        1        0        0        1
59809 rubygems                           	       0        1        1        0        0
59810 rubygems-doc                       	       0        1        0        0        1
59811 rudder-agent                       	       0        1        1        0        0
59812 rudder-jetty                       	       0        1        1        0        0
59813 rudder-techniques                  	       0        1        0        0        1
59814 rulex                              	       0        1        1        0        0
59815 runawk                             	       0        1        1        0        0
59816 runds-connector                    	       0        1        1        0        0
59817 runescape-launcher                 	       0        2        2        0        0
59818 rungetty                           	       0        1        1        0        0
59819 rungettyloop                       	       0        1        1        0        0
59820 runit-run                          	       0       22       21        1        0
59821 runit-services                     	       0      229        4        1      224
59822 runit-sysv                         	       0        6        0        0        6
59823 runlim                             	       0        1        1        0        0
59824 runoverssh                         	       0        3        3        0        0
59825 runsc                              	       0        2        2        0        0
59826 rush                               	       0        3        3        0        0
59827 rust                               	       0        1        1        0        0
59828 rust-all                           	       0       14        0        0       14
59829 rust-analyzer                      	       0        4        2        2        0
59830 rust-clippy                        	       0       15       13        2        0
59831 rust-coreutils                     	       0        1        1        0        0
59832 rust-diffutils                     	       0        1        1        0        0
59833 rust-doc                           	       0       13        0        0       13
59834 rust-findutils                     	       0        1        1        0        0
59835 rust-gdb                           	       0       30       29        1        0
59836 rust-lldb                          	       0        4        4        0        0
59837 rust-llvm                          	       0       13       11        2        0
59838 rust-oids                          	       0        1        1        0        0
59839 rust-src                           	       0        8        8        0        0
59840 rustc-web                          	       0        1        1        0        0
59841 rustdesk                           	       0       27       22        0        5
59842 rustfmt                            	       0       18       17        1        0
59843 rustfmt-web                        	       0        1        1        0        0
59844 rustup                             	       0        2        2        0        0
59845 rutebook                           	       0        1        0        0        1
59846 rviz                               	       0        1        1        0        0
59847 rwho                               	       0       13       13        0        0
59848 rx320                              	       0        1        1        0        0
59849 rxp                                	       0        1        1        0        0
59850 rxvt-unicode-256color              	       0       26        5        0       21
59851 rxvt-unicode-lite                  	       0        2        0        0        2
59852 rygel-2.6-dev                      	       0        1        1        0        0
59853 rygel-gst-launch                   	       0        1        0        0        1
59854 rygel-preferences                  	       0       10       10        0        0
59855 rygel-ruih                         	       0        2        0        0        2
59856 rygel-tracker                      	       0      136        4        0      132
59857 ryzom-client-config                	       0        1        0        0        1
59858 s-tui                              	       0       27       26        1        0
59859 s3270                              	       0        2        2        0        0
59860 s3cmd                              	       0        8        8        0        0
59861 s3d-data                           	       0        1        0        0        1
59862 s3dfm                              	       0        3        3        0        0
59863 s3dvt                              	       0        2        2        0        0
59864 s3dx11gate                         	       0        1        1        0        0
59865 s3fs                               	       0        3        3        0        0
59866 s4cmd                              	       0        2        2        0        0
59867 s5                                 	       0        4        4        0        0
59868 s51dude                            	       0        2        1        1        0
59869 s6                                 	       0        8        8        0        0
59870 s6-doc                             	       0        6        0        0        6
59871 sa-exim                            	       0        2        2        0        0
59872 sabnzbdplus                        	       0        1        1        0        0
59873 sac                                	       0        2        2        0        0
59874 sacc                               	       0        4        4        0        0
59875 sacd                               	       0        1        1        0        0
59876 sacd-extract                       	       0        1        1        0        0
59877 safecat                            	       0        2        2        0        0
59878 safeeyes                           	       0        6        4        2        0
59879 safte-monitor                      	       0        1        1        0        0
59880 saga-common                        	       0        7        0        0        7
59881 sagemath                           	       0        9        9        0        0
59882 sagemath-common                    	       0        2        2        0        0
59883 sagemath-database-conway-polynomials	       0       12        0        0       12
59884 sagemath-database-cremona-elliptic-curves	       0        2        0        0        2
59885 sagemath-database-elliptic-curves  	       0       12        0        0       12
59886 sagemath-database-graphs           	       0       12        0        0       12
59887 sagemath-database-mutually-combinatorial-designs	       0       12        0        0       12
59888 sagemath-database-polytopes        	       0       12        0        0       12
59889 sagemath-doc                       	       0       10        0        0       10
59890 sagemath-doc-en                    	       0        2        0        0        2
59891 sagemath-jupyter                   	       0        9        0        0        9
59892 sagetex                            	       0        8        0        0        8
59893 sagetex-doc                        	       0        2        0        0        2
59894 saidar                             	       0        7        7        0        0
59895 sail-codecs                        	       0        2        0        0        2
59896 sailcut                            	       0        1        1        0        0
59897 saint                              	       0        1        1        0        0
59898 salliere                           	       0        9        9        0        0
59899 salmid                             	       0        1        1        0        0
59900 salmon                             	       0        1        1        0        0
59901 salt-api                           	       0        1        1        0        0
59902 salt-cloud                         	       0        1        1        0        0
59903 salt-doc                           	       0        1        0        0        1
59904 salt-master                        	       0        1        1        0        0
59905 salt-pepper                        	       0        1        1        0        0
59906 salt-ssh                           	       0        1        1        0        0
59907 sam                                	       0        1        1        0        0
59908 sam2p                              	       0        3        3        0        0
59909 samba-ad-provision                 	       0      196        0        0      196
59910 samba-common                       	       0     1077        0        0     1077
59911 samba-dev                          	       0        6        5        1        0
59912 samba-doc-pdf                      	       0        3        0        0        3
59913 samba-vfs-ceph                     	       0        1        0        0        1
59914 samba-vfs-replic                   	       0        1        0        0        1
59915 sambamba                           	       0        1        1        0        0
59916 samhain                            	       0        3        3        0        0
59917 samplerate-programs                	       0        1        0        0        1
59918 samplv1                            	       0        7        7        0        0
59919 samplv1-common                     	       0        9        1        0        8
59920 samplv1-lv2                        	       0        5        5        0        0
59921 samtools                           	       0        7        7        0        0
59922 sancho                             	       0        1        1        0        0
59923 sandsifter-build-deps              	       0        1        0        0        1
59924 sane-dbg                           	       0        1        1        0        0
59925 sanoid                             	       0        4        4        0        0
59926 saods9                             	       0       12       12        0        0
59927 saods9-doc                         	       0       12        0        0       12
59928 saods9-tclpackages                 	       0        1        0        0        1
59929 saoxmlrpc                          	       0        1        0        0        1
59930 sapmachine-11-jdk                  	       0        1        1        0        0
59931 sapphire                           	       0        1        1        0        0
59932 sarg                               	       0        1        1        0        0
59933 sas2ircu                           	       0        4        4        0        0
59934 sasm                               	       0        1        1        0        0
59935 sasmodels-private-libs             	       0        1        1        0        0
59936 sass-elisp                         	       0        1        1        0        0
59937 sat4j                              	       0        5        5        0        0
59938 satellite-gtk                      	       0        2        2        0        0
59939 savi                               	       0        5        5        0        0
59940 sawfish-data                       	       0       18       16        0        2
59941 sawfish-lisp-source                	       0        7        0        0        7
59942 sawfish-merlin-ugliness            	       0        1        0        0        1
59943 sawfish-pager                      	       0        1        1        0        0
59944 sawfish-themes                     	       0        5        0        0        5
59945 sayonara                           	       0        6        6        0        0
59946 sbackup                            	       0        1        1        0        0
59947 sbc-tools                          	       0        2        2        0        0
59948 sbcl-doc                           	       0       13        0        0       13
59949 sbcl-source                        	       0       10       10        0        0
59950 sbrsh                              	       0        1        1        0        0
59951 sbrshd                             	       0        1        1        0        0
59952 sbt                                	       0        2        2        0        0
59953 sbt-ivy                            	       0        1        0        0        1
59954 sbuild-debian-developer-setup      	       0        1        1        0        0
59955 sbuild-qemu                        	       0        2        2        0        0
59956 sbws                               	       0        2        2        0        0
59957 sbxkb                              	       0        1        1        0        0
59958 sc-im                              	       0        1        1        0        0
59959 sc3-plugins                        	       0        1        0        0        1
59960 sc3-plugins-language               	       0        1        0        0        1
59961 sc3-plugins-server                 	       0        5        5        0        0
59962 scala                              	       0        9        9        0        0
59963 scala-asm                          	       0        1        0        0        1
59964 scala-doc                          	       0        2        0        0        2
59965 scala-library                      	       0       15        0        0       15
59966 scala-mode-el                      	       0        1        0        0        1
59967 scala-parser-combinators           	       0       15        0        0       15
59968 scala-xml                          	       0       10        0        0       10
59969 scalable-cyrfonts-tex              	       0        1        0        0        1
59970 scalapack-mpi-test                 	       0        1        0        0        1
59971 scalapack-test-common              	       0        1        0        0        1
59972 scale2x                            	       0        1        1        0        0
59973 scamp                              	       0        2        2        0        0
59974 scanbd                             	       0        1        1        0        0
59975 scangearmp-common                  	       0        2        2        0        0
59976 scangearmp-mg2500series            	       0        1        1        0        0
59977 scangearmp-mg3500series            	       0        1        1        0        0
59978 scangearmp2                        	       0        6        6        0        0
59979 scantailor                         	       0        1        1        0        0
59980 scantailor-advanced                	       0        1        1        0        0
59981 scantailor-deviant                 	       0        1        1        0        0
59982 scantailor-experimental            	       0        1        1        0        0
59983 scantailor-universal               	       0        1        1        0        0
59984 scantool                           	       0        4        4        0        0
59985 scdoc                              	       0       20       20        0        0
59986 scdoc-dbgsym                       	       0        1        1        0        0
59987 scenebuilder                       	       0        2        1        0        1
59988 sch-rnd                            	       0        2        0        0        2
59989 sch-rnd-core                       	       0        2        2        0        0
59990 sch-rnd-doc                        	       0        2        0        0        2
59991 sch-rnd-export-extra               	       0        2        2        0        0
59992 sch-rnd-export-vector              	       0        2        2        0        0
59993 sch-rnd-io-alien                   	       0        2        2        0        0
59994 sch-rnd-lib-gui                    	       0        2        2        0        0
59995 schema2ldif                        	       0        5        5        0        0
59996 scheme48                           	       0        4        4        0        0
59997 scheme48-doc                       	       0        4        0        0        4
59998 scheme9                            	       0        2        2        0        0
59999 schildichat-desktop                	       0        2        0        0        2
60000 schroot-common                     	       0       56        0        0       56
60001 scid                               	       0       18       18        0        0
60002 scid-data                          	       0       18        0        0       18
60003 scid-rating-data                   	       0        2        0        0        2
60004 scid-spell-data                    	       0        2        0        0        2
60005 sciebo-client-caja                 	       0        1        0        0        1
60006 sciebo-client-overlays-icons       	       0        1        0        0        1
60007 science-all                        	       0        1        0        0        1
60008 science-config                     	       0       11       11        0        0
60009 science-electronics                	       0        2        1        0        1
60010 science-highenergy-physics         	       0        1        1        0        0
60011 science-imageanalysis              	       0        2        2        0        0
60012 science-imageanalysis-dev          	       0        2        2        0        0
60013 science-linguistics                	       0        1        1        0        0
60014 science-machine-learning           	       0        2        2        0        0
60015 science-neuroscience-modeling      	       0        1        1        0        0
60016 science-numericalcomputation       	       0        1        1        0        0
60017 science-tasks                      	       0       11        0        0       11
60018 science-typesetting                	       0        3        3        0        0
60019 scilab-ann                         	       0        2        2        0        0
60020 scilab-celestlab                   	       0        1        1        0        0
60021 scilab-cli                         	       0       17       17        0        0
60022 scilab-data                        	       0       17       17        0        0
60023 scilab-doc                         	       0       12        0        0       12
60024 scilab-doc-fr                      	       0        1        0        0        1
60025 scilab-doc-pt-br                   	       0        1        0        0        1
60026 scilab-include                     	       0       17       17        0        0
60027 scilab-jims                        	       0        1        1        0        0
60028 scilab-minimal-bin                 	       0       17       17        0        0
60029 scilab-overload                    	       0        1        1        0        0
60030 scilab-plotlib                     	       0        1        1        0        0
60031 scilab-scimax                      	       0        1        1        0        0
60032 scilab-scimax-doc                  	       0        1        1        0        0
60033 scilab-test                        	       0        1        1        0        0
60034 scim-dev-doc                       	       0        2        0        0        2
60035 scim-qt-immodule                   	       0        1        0        0        1
60036 scim-tables-ja                     	       0        2        0        0        2
60037 sciplot-bin                        	       0        1        1        0        0
60038 sciteproj                          	       0       10       10        0        0
60039 scm                                	       0        3        3        0        0
60040 scmxx                              	       0        2        2        0        0
60041 scons-doc                          	       0        3        0        0        3
60042 scorched3d                         	       0       13       13        0        0
60043 scorched3d-data                    	       0       14        0        0       14
60044 scotch                             	       0        1        1        0        0
60045 scottfree                          	       0        7        7        0        0
60046 scowl                              	       0        1        0        0        1
60047 scram                              	       0        2        2        0        0
60048 scram-gui                          	       0        1        1        0        0
60049 scratch-desktop                    	       0        2        2        0        0
60050 scratchbox-devkit-apt-https        	       0        1        1        0        0
60051 scratchbox-devkit-autotools-legacy 	       0        1        1        0        0
60052 scratchbox-devkit-debian-squeeze   	       0        1        1        0        0
60053 scratchbox-devkit-doctools         	       0        1        1        0        0
60054 scratchbox-devkit-git              	       0        1        1        0        0
60055 scratchbox-devkit-perl             	       0        1        1        0        0
60056 scratchbox-devkit-python-legacy    	       0        1        1        0        0
60057 scratchbox-devkit-qemu             	       0        1        1        0        0
60058 scratchbox-devkit-svn              	       0        1        1        0        0
60059 scratchbox-libs                    	       0        2        2        0        0
60060 scratchbox-toolchain-cs2007q3-glibc2.5-arm7	       0        1        1        0        0
60061 scratchbox-toolchain-cs2007q3-glibc2.5-i486	       0        1        1        0        0
60062 scratchbox-toolchain-cs2009q3-eglibc2.10-armv7-hard	       0        1        1        0        0
60063 scratchbox-toolchain-cs2009q3-eglibc2.10-i486	       0        1        1        0        0
60064 scratchbox-toolchain-host-gcc      	       0        2        2        0        0
60065 scrcpy-server                      	       0       19        0        0       19
60066 screenie                           	       0       21       20        1        0
60067 screenkey                          	       0       11       10        1        0
60068 screentest                         	       0        4        4        0        0
60069 scribble                           	       0        1        1        0        0
60070 scribus-archive-keyring            	       0        1        0        0        1
60071 scribus-data                       	       0      133        0        0      133
60072 scribus-dev                        	       0        3        3        0        0
60073 scribus-doc                        	       0       28        0        0       28
60074 scribus-ng                         	       0        1        0        0        1
60075 scribus-ng-doc                     	       0        1        0        0        1
60076 scribus-template                   	       0       39        0        0       39
60077 scrm                               	       0        1        1        0        0
60078 scrollkeeper                       	       0        4        0        0        4
60079 scrollz                            	       0        2        2        0        0
60080 scrypt                             	       0        3        3        0        0
60081 scsi-idle                          	       0        1        1        0        0
60082 scsiadd                            	       0        3        3        0        0
60083 scst-dkms                          	       0        1        1        0        0
60084 scstadmin                          	       0        1        1        0        0
60085 sctk                               	       0        2        2        0        0
60086 scummvm-data                       	       0       60        0        0       60
60087 scummvm-tools                      	       0       11       10        1        0
60088 scute                              	       0        3        0        0        3
60089 scythe                             	       0        1        1        0        0
60090 sd2epub                            	       0        1        1        0        0
60091 sd2odf                             	       0        1        1        0        0
60092 sdate                              	       0        1        1        0        0
60093 sdb                                	       0        1        1        0        0
60094 sdcc                               	       0       28       27        1        0
60095 sdcc-doc                           	       0       25        0        0       25
60096 sdcc-libraries                     	       0       28       27        1        0
60097 sdcc-ucsim                         	       0       11       10        1        0
60098 sdd                                	       0        1        1        0        0
60099 sddm-theme-breeze                  	       0      354        0        0      354
60100 sddm-theme-circles                 	       0        1        0        0        1
60101 sddm-theme-debian-breeze           	       0      326        0        0      326
60102 sddm-theme-debian-elarun           	       0       32        0        0       32
60103 sddm-theme-debian-maui             	       0      190        0        0      190
60104 sddm-theme-elarun                  	       0       32        0        0       32
60105 sddm-theme-maldives                	       0       53        0        0       53
60106 sddm-theme-maui                    	       0      155        0        0      155
60107 sddm-theme-maya                    	       0       27        0        0       27
60108 sdf                                	       0        6        6        0        0
60109 sdf-doc                            	       0        2        0        0        2
60110 sdformat-doc                       	       0        1        0        0        1
60111 sdformat-sdf                       	       0        2        0        0        2
60112 sdl-ball                           	       0        8        8        0        0
60113 sdl-ball-data                      	       0        8        0        0        8
60114 sdlbasic                           	       0        2        2        0        0
60115 sdlbrt                             	       0        2        2        0        0
60116 sdlfrotz                           	       0        7        7        0        0
60117 sdop                               	       0        5        5        0        0
60118 sdpb-doc                           	       0        1        0        0        1
60119 sdrangelove                        	       0        3        3        0        0
60120 sdrpp                              	       0        4        4        0        0
60121 seabios                            	       0      659        0        0      659
60122 seadrive-daemon                    	       0        1        1        0        0
60123 seafile-cli                        	       0        2        2        0        0
60124 seafile-daemon                     	       0        6        6        0        0
60125 seafile-gui                        	       0        5        5        0        0
60126 seahorse-adventures                	       0        1        1        0        0
60127 seahorse-nautilus                  	       0        1        1        0        0
60128 seamonkey                          	       0        2        2        0        0
60129 sear                               	       0        1        1        0        0
60130 sear-media                         	       0        1        0        0        1
60131 search-ccsb                        	       0        2        2        0        0
60132 searchandrescue                    	       0        9        9        0        0
60133 searchandrescue-common             	       0        9        0        0        9
60134 searchandrescue-data               	       0        9        0        0        9
60135 searx                              	       0        5        5        0        0
60136 seaview                            	       0        1        1        0        0
60137 sec                                	       0        1        1        0        0
60138 secback-client                     	       0        1        1        0        0
60139 secback-client-dbgsym              	       0        1        1        0        0
60140 secback-libs                       	       0        1        1        0        0
60141 secback-libs-dbgsym                	       0        1        1        0        0
60142 secback-server                     	       0        1        1        0        0
60143 secback-server-dbgsym              	       0        1        1        0        0
60144 secback-simpel-config              	       0        1        0        0        1
60145 seccomp                            	       0        3        3        0        0
60146 seccure                            	       0        3        3        0        0
60147 secpanel                           	       0        3        3        0        0
60148 secrecy                            	       0        2        2        0        0
60149 secrets                            	       0        2        2        0        0
60150 securefs                           	       0        1        1        0        0
60151 sedparse                           	       0        1        1        0        0
60152 sedsed                             	       0        1        1        0        0
60153 seed                               	       0        1        1        0        0
60154 seedtools                          	       0        2        2        0        0
60155 seekwatcher                        	       0        1        1        0        0
60156 seergdb                            	       0        2        1        1        0
60157 segger-jlink-udev-rules            	       0        4        0        0        4
60158 selektor                           	       0        3        3        0        0
60159 selfhtml                           	       0        3        0        0        3
60160 selflinux                          	       0        1        1        0        0
60161 selflinux-pdf                      	       0        1        0        0        1
60162 selint                             	       0        1        1        0        0
60163 selinux-basics                     	       0        3        3        0        0
60164 selinux-doc                        	       0        1        0        0        1
60165 selinux-policy-default             	       0        9        0        0        9
60166 selinux-policy-dev                 	       0        4        3        1        0
60167 selinux-policy-doc                 	       0        1        0        0        1
60168 selinux-policy-mls                 	       0        2        0        0        2
60169 selinux-policy-src                 	       0        1        0        0        1
60170 semanage-utils                     	       0        1        0        0        1
60171 semi                               	       0        2        2        0        0
60172 semodule-utils                     	       0        4        3        1        0
60173 sen                                	       0        4        3        1        0
60174 sendemail                          	       0       10       10        0        0
60175 sendip                             	       0        4        4        0        0
60176 sendmail                           	       0       39        0        0       39
60177 sendmail-cf                        	       0       57        0        0       57
60178 sendmail-doc                       	       0       12        0        0       12
60179 sensors-applet                     	       0       25        0        0       25
60180 sent                               	       0        8        8        0        0
60181 sentencepiece                      	       0        1        1        0        0
60182 sentinelagent                      	       0       12        0        0       12
60183 sentineldl                         	       0        2        2        0        0
60184 sentinelsat                        	       0        4        4        0        0
60185 seq24                              	       0        9        9        0        0
60186 seqkit                             	       0        1        1        0        0
60187 seqprep                            	       0        1        1        0        0
60188 seqtk                              	       0        2        2        0        0
60189 sequencer64                        	       0        5        5        0        0
60190 ser                                	       0        1        1        0        0
60191 ser-jabber-module                  	       0        1        1        0        0
60192 serdi                              	       0        5        5        0        0
60193 seriousproton-build-deps           	       0        1        0        0        1
60194 servefile                          	       0        1        1        0        0
60195 session-desktop                    	       0        2        2        0        0
60196 session-manager-plugin             	       0        1        1        0        0
60197 sessioninstaller                   	       0        1        1        0        0
60198 setbfree                           	       0        5        5        0        0
60199 setnet                             	       0       22       22        0        0
60200 setpriv                            	       0        1        1        0        0
60201 setpwc                             	       0        1        1        0        0
60202 setzer                             	       0        4        4        0        0
60203 sextractor                         	       0        9        1        0        8
60204 seyon                              	       0        2        2        0        0
60205 sf2-librazik                       	       0        1        0        0        1
60206 sf2-uiems                          	       0        1        0        0        1
60207 sf3convert                         	       0        3        3        0        0
60208 sfarkxtc                           	       0        2        2        0        0
60209 sfeed                              	       0        2        1        1        0
60210 sfftw-dev                          	       0        4        4        0        0
60211 sfftw2                             	       0        5        0        0        5
60212 sffview                            	       0        4        4        0        0
60213 sfind                              	       0        1        1        0        0
60214 sfizz                              	       0        2        2        0        0
60215 sfnt2woff-zopfli                   	       0        1        1        0        0
60216 sformat                            	       0        2        2        0        0
60217 sfwbar                             	       0        1        1        0        0
60218 sfz-avldrumkits                    	       0        1        0        0        1
60219 sfz-librazik                       	       0        1        0        0        1
60220 sfz-nobudgetorchestra              	       0        1        0        0        1
60221 sgabios                            	       0       15        0        0       15
60222 sgb                                	       0        1        1        0        0
60223 sgb-doc                            	       0        1        0        0        1
60224 sgf2dg                             	       0        3        3        0        0
60225 sgml-base-doc                      	       0       12        0        0       12
60226 sgml-data                          	       0     2039        0        0     2039
60227 sgml-spell-checker                 	       0        2        2        0        0
60228 sgmls-doc                          	       0        9        0        0        9
60229 sgmltools-lite                     	       0        2        2        0        0
60230 sha1cdsum                          	       0        3        2        0        1
60231 shaderc                            	       0        2        2        0        0
60232 shadowsocks-v2ray-plugin           	       0        1        1        0        0
60233 shake-fs                           	       0        1        1        0        0
60234 shaketracker                       	       0        1        1        0        0
60235 shanty                             	       0        1        1        0        0
60236 shapelib                           	       0        4        4        0        0
60237 shapetools-tutorial                	       0        1        0        0        1
60238 shared-desktop-ontologies          	       0       11        0        0       11
60239 sharutils-doc                      	       0       15        0        0       15
60240 shatag                             	       0        1        1        0        0
60241 shc                                	       0        7        7        0        0
60242 shelldap                           	       0        3        3        0        0
60243 shellex                            	       0        1        1        0        0
60244 shellia                            	       0        4        0        0        4
60245 shelr                              	       0        2        2        0        0
60246 sherlock                           	       0        1        1        0        0
60247 sherlock.lv2                       	       0        1        1        0        0
60248 shiboken2-doc                      	       0        2        0        0        2
60249 shift-nano                         	       0        1        0        0        1
60250 shiki-brave-theme                  	       0       15        0        0       15
60251 shiki-colors                       	       0       12        0        0       12
60252 shiki-colors-metacity-theme        	       0       16        0        0       16
60253 shiki-colors-xfwm-theme            	       0       21        0        0       21
60254 shiki-dust-theme                   	       0       16        0        0       16
60255 shiki-human-theme                  	       0       15        0        0       15
60256 shiki-illustrious-theme            	       0       15        0        0       15
60257 shiki-noble-theme                  	       0       15        0        0       15
60258 shiki-wine-theme                   	       0       16        0        0       16
60259 shiki-wise-theme                   	       0       15        0        0       15
60260 shim                               	       0        1        1        0        0
60261 shim-helpers-amd64-signed-template 	       0        2        0        0        2
60262 shim-helpers-arm64-signed          	       0        3        2        1        0
60263 shim-helpers-i386-signed           	       0        2        2        0        0
60264 shineenc                           	       0        1        1        0        0
60265 shiro-plugins                      	       0        2        2        0        0
60266 shishi-common                      	       0        5        0        0        5
60267 shogivar                           	       0        3        3        0        0
60268 shogivar-data                      	       0        3        0        0        3
60269 shoogle                            	       0        1        1        0        0
60270 shorewall-doc                      	       0        9        0        0        9
60271 shorewall-init                     	       0        5        5        0        0
60272 shorewall-lite                     	       0        1        1        0        0
60273 shorewall6-lite                    	       0        1        1        0        0
60274 shortwave                          	       0        1        0        1        0
60275 shotcut-data                       	       0       63        0        0       63
60276 shotdetect                         	       0        1        1        0        0
60277 shove                              	       0        1        1        0        0
60278 showfoto                           	       0        8        7        1        0
60279 showq                              	       0        5        5        0        0
60280 shunit2                            	       0        6        6        0        0
60281 si                                 	       0        1        1        0        0
60282 sibsim4                            	       0        1        1        0        0
60283 sic                                	       0        1        1        0        0
60284 sidplay-base                       	       0       10       10        0        0
60285 sidplayfp                          	       0       16       14        2        0
60286 siduction-archive-keyring          	       0        2        0        0        2
60287 siege                              	       0        2        2        0        0
60288 sieve-connect                      	       0        3        3        0        0
60289 siftool                            	       0        1        1        0        0
60290 siggen                             	       0        3        3        0        0
60291 sightviewer                        	       0        1        1        0        0
60292 sigil                              	       0       24       24        0        0
60293 sigil-data                         	       0       24        0        0       24
60294 sigma-align                        	       0        1        1        0        0
60295 signal-cli-jre                     	       0        1        0        1        0
60296 signal-desktop-beta                	       0        3        1        0        2
60297 signald                            	       0        1        1        0        0
60298 signaldctl                         	       0        1        1        0        0
60299 signapk                            	       0        2        2        0        0
60300 signify                            	       0        4        4        0        0
60301 signify-openbsd                    	       0       17       17        0        0
60302 signify-openbsd-keys               	       0        4        0        0        4
60303 signing-party                      	       0        7        7        0        0
60304 signon-kwallet-extension           	       0       29        0        0       29
60305 signon-plugin-oauth2               	       0      767        0        0      767
60306 signon-plugin-oauth2-dev           	       0        1        1        0        0
60307 signon-plugin-password             	       0       30        0        0       30
60308 signon-ui-qt                       	       0        2        1        1        0
60309 signon-ui-service                  	       0       30        0        0       30
60310 signon-ui-x11                      	       0       27       26        1        0
60311 signond                            	       0       30       29        1        0
60312 signond-dev                        	       0        1        1        0        0
60313 signond-doc                        	       0        1        0        0        1
60314 sigrok                             	       0       15        0        0       15
60315 sigrok-cli                         	       0       16       16        0        0
60316 sigrok-firmware-fx2lafw            	       0       17        0        0       17
60317 sigviewer                          	       0        3        3        0        0
60318 silan                              	       0        6        6        0        0
60319 silentjack                         	       0        9        9        0        0
60320 silicon-sword                      	       0        2        1        1        0
60321 silkaj                             	       0        1        1        0        0
60322 silverjuke                         	       0       10        9        1        0
60323 silversearcher-ag                  	       0       22       22        0        0
60324 sim-data                           	       0        1        0        0        1
60325 sim4                               	       0        4        4        0        0
60326 sim4db                             	       0        2        2        0        0
60327 simavr                             	       0        8        8        0        0
60328 simg2img                           	       0        3        0        0        3
60329 simh                               	       0       12       12        0        0
60330 simhash                            	       0        1        1        0        0
60331 similarity-tester                  	       0        4        4        0        0
60332 simple-cdd                         	       0        4        4        0        0
60333 simple-fb2-reader                  	       0        1        1        0        0
60334 simple-image-filter                	       0        1        1        0        0
60335 simple-image-reducer               	       0        1        1        0        0
60336 simple-mtpfs                       	       0        1        1        0        0
60337 simple-netaid-gtk2                 	       0        1        1        0        0
60338 simple-obfs                        	       0        1        1        0        0
60339 simpleburn                         	       0        2        2        0        0
60340 simplemonitor                      	       0        1        1        0        0
60341 simplenote                         	       0        1        0        0        1
60342 simpleproxy                        	       0        4        4        0        0
60343 simplescreenrecorder-lib           	       0      157        0        0      157
60344 simpletimesheet                    	       0        1        0        0        1
60345 simplex                            	       0        4        4        0        0
60346 simplyhtml                         	       0       30       29        1        0
60347 simplyhtml-doc                     	       0        1        0        0        1
60348 simstring-bin                      	       0        1        1        0        0
60349 simulavr                           	       0        8        8        0        0
60350 simulide                           	       0       16       16        0        0
60351 simulpic                           	       0        7        7        0        0
60352 simutrans                          	       0        9        9        0        0
60353 simutrans-data                     	       0        9        0        0        9
60354 simutrans-pak128.britain           	       0        3        0        0        3
60355 simutrans-pak64                    	       0       10        0        0       10
60356 sing                               	       0        1        1        0        0
60357 singular                           	       0       15        0        0       15
60358 singular-data                      	       0       15        0        0       15
60359 singular-doc                       	       0       13        0        0       13
60360 singular-modules                   	       0       15        0        0       15
60361 singularity-ce                     	       0        1        1        0        0
60362 singularity-music                  	       0        5        0        0        5
60363 sinntp                             	       0        2        2        0        0
60364 sioyek                             	       0        5        5        0        0
60365 sip-dev                            	       0       15       14        1        0
60366 sip-tester                         	       0        5        5        0        0
60367 sip-tools                          	       0        2        2        0        0
60368 sip5-tools                         	       0        1        1        0        0
60369 sip6-doc                           	       0        1        0        0        1
60370 sipsak                             	       0        5        5        0        0
60371 siril                              	       0        4        4        0        0
60372 siril-common                       	       0        4        0        0        4
60373 sispmctl                           	       0        1        1        0        0
60374 sispwctrl                          	       0        1        1        0        0
60375 sisu                               	       0        2        2        0        0
60376 sisu-markup-samples                	       0        1        0        0        1
60377 sisu-pdf                           	       0        2        2        0        0
60378 sisu-postgresql                    	       0        2        2        0        0
60379 sisu-sqlite                        	       0        2        2        0        0
60380 sitecopy                           	       0        8        8        0        0
60381 sjaakii                            	       0        4        4        0        0
60382 skalibs                            	       0        1        0        0        1
60383 skanpage                           	       0       10        9        1        0
60384 sketch                             	       0        9        9        0        0
60385 sketch-doc                         	       0        6        0        0        6
60386 skippy-xd                          	       0        3        3        0        0
60387 skkdic-cdb                         	       0        1        0        0        1
60388 skkdic-extra                       	       0        5        1        0        4
60389 skladnik                           	       0        8        7        1        0
60390 skopeo                             	       0        3        3        0        0
60391 skrooge-common                     	       0       13        0        0       13
60392 sks                                	       0        1        1        0        0
60393 skycat                             	       0        7        7        0        0
60394 skydns                             	       0        1        1        0        0
60395 skylighting                        	       0        1        1        0        0
60396 skype                              	       0        1        1        0        0
60397 skypeweb                           	       0        1        0        0        1
60398 skyview                            	       0        2        2        0        0
60399 skyview-java                       	       0        2        0        0        2
60400 sl-modem-daemon                    	       0        1        1        0        0
60401 sl-modem-dkms                      	       0        1        1        0        0
60402 sl-modem-source                    	       0        1        0        0        1
60403 sla                                	       0        1        1        0        0
60404 slac                               	       0        1        1        0        0
60405 slade                              	       0        2        2        0        0
60406 slang-cfitsio                      	       0        2        0        0        2
60407 slang-curl                         	       0        3        0        0        3
60408 slang-expat                        	       0        2        2        0        0
60409 slang-gdbm                         	       0        2        2        0        0
60410 slang-gsl                          	       0        1        0        0        1
60411 slang-pvm                          	       0        1        1        0        0
60412 slang-sqlite                       	       0        2        0        0        2
60413 slang-tess                         	       0        1        1        0        0
60414 slang-wildcard                     	       0        2        2        0        0
60415 slang-xfig                         	       0        1        0        0        1
60416 slang1                             	       0        2        0        0        2
60417 slapd-contrib                      	       0        4        4        0        0
60418 slashem                            	       0       22       21        1        0
60419 slashem-gtk                        	       0        7        7        0        0
60420 slashem-sdl                        	       0        3        3        0        0
60421 slashem-x11                        	       0        4        4        0        0
60422 slashtime                          	       0        2        2        0        0
60423 sleepenh                           	       0        1        1        0        0
60424 slic3r                             	       0       23       23        0        0
60425 slic3r-prusa                       	       0        7        1        0        6
60426 slic3r-prusa-build-deps            	       0        1        0        0        1
60427 slice                              	       0        6        6        0        0
60428 slim-dbgsym                        	       0        1        1        0        0
60429 slimbookbattery                    	       0        1        1        0        0
60430 slimevolley                        	       0        1        1        0        0
60431 slimevolley-data                   	       0        1        0        0        1
60432 slimit                             	       0        1        1        0        0
60433 slingshot                          	       0        2        2        0        0
60434 slirp                              	       0        6        5        1        0
60435 slkvm-novz                         	       0        1        1        0        0
60436 slony1-2-bin                       	       0        2        2        0        0
60437 slony1-2-doc                       	       0        1        0        0        1
60438 slop                               	       0       18       17        1        0
60439 slrnface                           	       0        4        4        0        0
60440 slrnpull                           	       0        3        3        0        0
60441 sludge-devkit                      	       0        5        5        0        0
60442 sludge-doc                         	       0        5        0        0        5
60443 sludge-engine                      	       0       11       11        0        0
60444 slugify                            	       0        1        1        0        0
60445 slurm                              	       0       12       12        0        0
60446 slurm-client                       	       0        3        3        0        0
60447 slurm-wlm                          	       0        2        0        0        2
60448 slurm-wlm-basic-plugins            	       0        3        1        0        2
60449 slurm-wlm-basic-plugins-dev        	       0        1        0        0        1
60450 slurm-wlm-elasticsearch-plugin     	       0        1        0        0        1
60451 slurm-wlm-elasticsearch-plugin-dev 	       0        1        0        0        1
60452 slurm-wlm-hdf5-plugin              	       0        1        1        0        0
60453 slurm-wlm-hdf5-plugin-dev          	       0        1        0        0        1
60454 slurm-wlm-influxdb-plugin          	       0        1        0        0        1
60455 slurm-wlm-influxdb-plugin-dev      	       0        1        0        0        1
60456 slurm-wlm-ipmi-plugins             	       0        1        0        0        1
60457 slurm-wlm-ipmi-plugins-dev         	       0        1        0        0        1
60458 slurm-wlm-jwt-plugin               	       0        1        0        0        1
60459 slurm-wlm-jwt-plugin-dev           	       0        1        0        0        1
60460 slurm-wlm-mysql-plugin-dev         	       0        1        0        0        1
60461 slurm-wlm-plugins                  	       0        1        0        0        1
60462 slurm-wlm-plugins-dev              	       0        1        0        0        1
60463 slurm-wlm-rrd-plugin               	       0        1        0        0        1
60464 slurm-wlm-rrd-plugin-dev           	       0        1        0        0        1
60465 slurm-wlm-rsmi-plugin              	       0        1        0        0        1
60466 slurm-wlm-rsmi-plugin-dev          	       0        1        0        0        1
60467 slurmctld                          	       0        2        2        0        0
60468 slurmd                             	       0        2        2        0        0
60469 sm                                 	       0        6        6        0        0
60470 smalt                              	       0        1        1        0        0
60471 smartdimmer                        	       0        3        3        0        0
60472 smartgit                           	       0        4        4        0        0
60473 smartlist                          	       0        1        0        0        1
60474 smartmontools-build-deps           	       0        1        0        0        1
60475 smartmontools-dbgsym               	       0        1        1        0        0
60476 smartpm                            	       0        1        1        0        0
60477 smartpm-core                       	       0        1        1        0        0
60478 smartsvn                           	       0        3        3        0        0
60479 smb2www                            	       0        2        1        1        0
60480 smb4k-trinity                      	       0        1        1        0        0
60481 smbfs                              	       0        1        1        0        0
60482 smc                                	       0        1        1        0        0
60483 smc-data                           	       0        1        0        0        1
60484 smc-music                          	       0        1        0        0        1
60485 smcroute                           	       0        2        2        0        0
60486 smemcap                            	       0        2        2        0        0
60487 smf-utils                          	       0        2        2        0        0
60488 smi-lib-amdgpu                     	       0        1        0        0        1
60489 smithwaterman                      	       0        1        1        0        0
60490 smlnj                              	       0        2        2        0        0
60491 smlnj-runtime                      	       0        2        2        0        0
60492 smpeg-gtv                          	       0        2        2        0        0
60493 smpeg-plaympeg                     	       0        6        6        0        0
60494 smplayer-skins                     	       0        1        0        0        1
60495 smplayer-translations              	       0        1        0        0        1
60496 smplayer2                          	       0        1        1        0        0
60497 smplayer2-common                   	       0        1        0        0        1
60498 smpq                               	       0        2        2        0        0
60499 sms4you-doc                        	       0        1        0        0        1
60500 smsclient                          	       0        2        2        0        0
60501 smtm                               	       0        1        1        0        0
60502 smtpping                           	       0        4        4        0        0
60503 smuxi                              	       0        1        0        0        1
60504 smuxi-engine                       	       0        1        1        0        0
60505 snac                               	       0        1        1        0        0
60506 snacc                              	       0        4        4        0        0
60507 snacc-doc                          	       0        4        0        0        4
60508 snakemake                          	       0        1        1        0        0
60509 snap-aligner                       	       0        1        1        0        0
60510 snap-confine                       	       0        1        1        0        0
60511 snapd-glib-tests                   	       0        1        0        0        1
60512 snapper-gui                        	       0        8        7        1        0
60513 snappymail                         	       0        2        2        0        0
60514 snapraid                           	       0        3        3        0        0
60515 snarf                              	       0        1        1        0        0
60516 snd                                	       0       11        0        0       11
60517 snd-common                         	       0       13       11        0        2
60518 snd-doc                            	       0       10        0        0       10
60519 snd-gtk-jack                       	       0        5        0        0        5
60520 snd-gtk-pulse                      	       0        5        0        0        5
60521 snd-gui-pulse                      	       0        7        7        0        0
60522 snd-nox                            	       0        5        5        0        0
60523 sndio-tools                        	       0       22       22        0        0
60524 snes9x                             	       0        1        1        0        0
60525 snetaid                            	       0        1        1        0        0
60526 sni-qt                             	       0       21        0        0       21
60527 snibbetracker                      	       0        1        1        0        0
60528 sniffnet                           	       0        2        2        0        0
60529 snis-build-deps                    	       0        1        0        0        1
60530 snis-dbgsym                        	       0        1        1        0        0
60531 snmpb                              	       0        1        1        0        0
60532 snmpsim                            	       0        2        2        0        0
60533 snooze                             	       0        2        2        0        0
60534 snort-doc                          	       0        4        0        0        4
60535 snort-rules-default                	       0       11        0        0       11
60536 snowballz                          	       0        2        2        0        0
60537 snowflake-odbc                     	       0        1        1        0        0
60538 snowflake-proxy                    	       0        3        3        0        0
60539 snpomatic                          	       0        1        1        0        0
60540 sntop                              	       0        7        7        0        0
60541 so-far                             	       0        1        0        0        1
60542 so-synth-lv2                       	       0        5        5        0        0
60543 soapdenovo                         	       0        1        1        0        0
60544 soapdenovo2                        	       0        1        1        0        0
60545 soapy-connector                    	       0        1        1        0        0
60546 soapyosmo-common0.7                	       0        5        0        0        5
60547 soapyosmo-common0.8                	       0       45        3        0       42
60548 soapyremote-server                 	       0        6        6        0        0
60549 soapysdr-module-airspy             	       0        3        0        0        3
60550 soapysdr-module-all                	       0       19        0        0       19
60551 soapysdr-module-audio              	       0        3        0        0        3
60552 soapysdr-module-bladerf            	       0        4        0        0        4
60553 soapysdr-module-hackrf             	       0        4        0        0        4
60554 soapysdr-module-lms7               	       0        3        0        0        3
60555 soapysdr-module-mirisdr            	       0        3        0        0        3
60556 soapysdr-module-osmosdr            	       0        4        0        0        4
60557 soapysdr-module-redpitaya          	       0        3        0        0        3
60558 soapysdr-module-remote             	       0        3        0        0        3
60559 soapysdr-module-rfspace            	       0        3        0        0        3
60560 soapysdr-module-rtlsdr             	       0        6        0        0        6
60561 soapysdr-module-uhd                	       0        3        0        0        3
60562 soapysdr0.6-module-rtlsdr          	       0        1        0        0        1
60563 soapysdr0.7-module-airspy          	       0        5        0        0        5
60564 soapysdr0.7-module-all             	       0        5        0        0        5
60565 soapysdr0.7-module-audio           	       0        6        0        0        6
60566 soapysdr0.7-module-bladerf         	       0        5        0        0        5
60567 soapysdr0.7-module-hackrf          	       0        5        0        0        5
60568 soapysdr0.7-module-lms7            	       0        5        0        0        5
60569 soapysdr0.7-module-mirisdr         	       0        5        0        0        5
60570 soapysdr0.7-module-osmosdr         	       0        5        0        0        5
60571 soapysdr0.7-module-redpitaya       	       0        5        0        0        5
60572 soapysdr0.7-module-remote          	       0        5        0        0        5
60573 soapysdr0.7-module-rfspace         	       0        5        0        0        5
60574 soapysdr0.7-module-rtlsdr          	       0        6        0        0        6
60575 soapysdr0.7-module-uhd             	       0        5        0        0        5
60576 soapysdr0.8-module-airspy          	       0       45        3        0       42
60577 soapysdr0.8-module-all             	       0       44        0        0       44
60578 soapysdr0.8-module-audio           	       0       45        3        0       42
60579 soapysdr0.8-module-bladerf         	       0       45        3        0       42
60580 soapysdr0.8-module-hackrf          	       0       45        3        0       42
60581 soapysdr0.8-module-lms7            	       0       45        3        0       42
60582 soapysdr0.8-module-mirisdr         	       0       45        3        0       42
60583 soapysdr0.8-module-osmosdr         	       0       45        3        0       42
60584 soapysdr0.8-module-redpitaya       	       0       45        3        0       42
60585 soapysdr0.8-module-remote          	       0       45        3        0       42
60586 soapysdr0.8-module-rfspace         	       0       45        3        0       42
60587 soapysdr0.8-module-rtlsdr          	       0       45        3        0       42
60588 soapysdr0.8-module-uhd             	       0       45        3        0       42
60589 socklog-run                        	       0        4        0        0        4
60590 sockperf                           	       0        3        3        0        0
60591 socks4-server                      	       0        1        1        0        0
60592 sodipodi                           	       0        1        1        0        0
60593 sofa-apps                          	       0        1        1        0        0
60594 sofa-data                          	       0        1        0        0        1
60595 sofia-sip-bin                      	       0        1        1        0        0
60596 sofia-sip-doc                      	       0        2        0        0        2
60597 softether-common                   	       0        7        0        0        7
60598 softhsm2                           	       0        7        7        0        0
60599 softhsm2-common                    	       0        7        0        0        7
60600 softmaker-freeoffice-2018          	       0        2        2        0        0
60601 softmaker-freeoffice-2021          	       0        1        1        0        0
60602 softmaker-office-2018              	       0        1        1        0        0
60603 softmaker-office-nx                	       0        1        1        0        0
60604 solaar-gnome3                      	       0        2        0        0        2
60605 solarc-theme                       	       0        1        0        0        1
60606 solarwolf                          	       0        6        6        0        0
60607 solfege-doc                        	       0       17        0        0       17
60608 solr                               	       0        1        1        0        0
60609 solrdump                           	       0        1        1        0        0
60610 sonata                             	       0       14       13        1        0
60611 songbook                           	       0        1        1        0        0
60612 songbook-doc                       	       0        1        0        0        1
60613 songbook-font-arabia               	       0        1        0        0        1
60614 songbook-font-bigtop               	       0        1        0        0        1
60615 songbook-font-casper               	       0        1        0        0        1
60616 songbook-font-castanet             	       0        1        0        0        1
60617 songbook-font-crescent             	       0        1        0        0        1
60618 songbook-font-dawncastle           	       0        1        0        0        1
60619 songbook-font-expo                 	       0        1        0        0        1
60620 songbook-font-florence             	       0        1        0        0        1
60621 songbook-font-frankenstein         	       0        1        0        0        1
60622 songbook-font-hoboe                	       0        1        0        0        1
60623 songbook-font-koala                	       0        1        0        0        1
60624 songbook-font-lincoln              	       0        1        0        0        1
60625 songbook-font-linus                	       0        1        0        0        1
60626 songbook-font-merlin               	       0        1        0        0        1
60627 songbook-font-paradise             	       0        1        0        0        1
60628 songbook-font-paragon              	       0        1        0        0        1
60629 songbook-font-prose                	       0        1        0        0        1
60630 songbook-font-shogun               	       0        1        0        0        1
60631 songbook-style-all                 	       0        1        0        0        1
60632 songbook-style-arabia              	       0        1        0        0        1
60633 songbook-style-bigtop              	       0        1        0        0        1
60634 songbook-style-casper              	       0        1        0        0        1
60635 songbook-style-castanet            	       0        1        0        0        1
60636 songbook-style-crescent            	       0        1        0        0        1
60637 songbook-style-dawncastle          	       0        1        0        0        1
60638 songbook-style-expo                	       0        1        0        0        1
60639 songbook-style-florence            	       0        1        0        0        1
60640 songbook-style-frankenstein        	       0        1        0        0        1
60641 songbook-style-hoboe               	       0        1        0        0        1
60642 songbook-style-koala               	       0        1        0        0        1
60643 songbook-style-lincoln             	       0        1        0        0        1
60644 songbook-style-linus               	       0        1        0        0        1
60645 songbook-style-merlin              	       0        1        0        0        1
60646 songbook-style-palatino            	       0        1        0        0        1
60647 songbook-style-paradise            	       0        1        0        0        1
60648 songbook-style-paragon             	       0        1        0        0        1
60649 songbook-style-prose               	       0        1        0        0        1
60650 songbook-style-shogun              	       0        1        0        0        1
60651 songrec                            	       0        2        2        0        0
60652 songwrite                          	       0        5        5        0        0
60653 sonic                              	       0        3        3        0        0
60654 sonic-pi                           	       0        3        3        0        0
60655 sonic-pi-samples                   	       0        3        0        0        3
60656 sonic-pi-server                    	       0        3        3        0        0
60657 sonic-pi-server-doc                	       0        1        0        0        1
60658 sonnet6-plugins                    	       0       54        2        0       52
60659 sonobus                            	       0        1        1        0        0
60660 sooperlooper                       	       0        3        3        0        0
60661 soosl                              	       0        1        1        0        0
60662 sopwith                            	       0        8        8        0        0
60663 sorcer                             	       0        1        1        0        0
60664 sordi                              	       0        8        8        0        0
60665 sortmail                           	       0        1        1        0        0
60666 sos                                	       0        1        0        1        0
60667 sound-icons                        	       0     2477        0        0     2477
60668 sound-theme-freedesktop            	       0     3055        0        0     3055
60669 sound-theme-phosh                  	       0        1        0        0        1
60670 soundbraid                         	       0        1        1        0        0
60671 soundgrain                         	       0        4        4        0        0
60672 soundkonverter-amarok-trinity      	       0        1        0        0        1
60673 soundkonverter-trinity             	       0        2        2        0        0
60674 soundscaperenderer                 	       0        2        2        0        0
60675 soundscaperenderer-common          	       0        3        3        0        0
60676 soundscaperenderer-nox             	       0        2        2        0        0
60677 soundux                            	       0        1        0        0        1
60678 source-extractor                   	       0       26       26        0        0
60679 sozi                               	       0        1        0        0        1
60680 sp                                 	       0       15       15        0        0
60681 sp-admin-tools                     	       0        1        1        0        0
60682 sp-cacerts                         	       0        1        0        0        1
60683 space-orbit                        	       0        1        1        0        0
60684 space-orbit-common                 	       0        1        0        0        1
60685 spacearyarya                       	       0        2        2        0        0
60686 spacebar                           	       0        1        1        0        0
60687 spacecadetpinball                  	       0        1        1        0        0
60688 spacechart                         	       0        1        1        0        0
60689 spaced                             	       0        1        1        0        0
60690 spacefm-common                     	       0       46        2        0       44
60691 spacezero                          	       0        3        3        0        0
60692 spamassassin-heatu                 	       0        1        1        0        0
60693 spampd                             	       0        1        1        0        0
60694 spark                              	       0        2        2        0        0
60695 spark-store                        	       0        1        1        0        0
60696 sparky-keyring                     	       0        1        0        0        1
60697 sparrow                            	       0        1        1        0        0
60698 sparse                             	       0        5        5        0        0
60699 spass                              	       0        1        1        0        0
60700 spatialite-bin                     	       0        8        8        0        0
60701 spatialite-gui                     	       0        7        7        0        0
60702 spawx11                            	       0        1        1        0        0
60703 spchcat                            	       0        2        2        0        0
60704 spdx-licenses                      	       0        2        0        0        2
60705 speakup-tools                      	       0        6        6        0        0
60706 spectemu-common                    	       0        7        7        0        0
60707 spectemu-x11                       	       0        7        7        0        0
60708 spectral                           	       0        2        0        0        2
60709 spectrum-roms                      	       0       15        0        0       15
60710 spectrwm                           	       0        8        8        0        0
60711 speech-dispatcher-cicero           	       0        3        0        0        3
60712 speech-dispatcher-doc-cs           	       0        1        0        0        1
60713 speech-dispatcher-espeak           	       0        3        0        0        3
60714 speech-dispatcher-festival         	       0       30        0        0       30
60715 speech-dispatcher-flite            	       0        3        0        0        3
60716 speech-dispatcher-rhvoice          	       0        2        1        0        1
60717 speech-tools                       	       0        3        3        0        0
60718 speech-tools-doc                   	       0        4        0        0        4
60719 speedtest                          	       0        9        9        0        0
60720 speex-doc                          	       0        3        0        0        3
60721 spek                               	       0       11       11        0        0
60722 spellutils                         	       0       10       10        0        0
60723 spew                               	       0        2        2        0        0
60724 spfquery                           	       0        9        7        2        0
60725 sphash                             	       0        1        1        0        0
60726 sphinx-basic-ng                    	       0        7        7        0        0
60727 sphinx-doc                         	       0       22        0        0       22
60728 sphinx-intl                        	       0        5        5        0        0
60729 sphinx-rtd-theme-common            	       0     1754        0        0     1754
60730 sphinx2-bin                        	       0        1        1        0        0
60731 sphinx2-hmm-6k                     	       0        1        0        0        1
60732 sphinxbase-utils                   	       0        5        5        0        0
60733 sphinxsearch                       	       0        1        1        0        0
60734 sphinxtrain                        	       0        6        6        0        0
60735 spi-tools                          	       0        1        1        0        0
60736 spice                              	       0        1        1        0        0
60737 spice-client                       	       0        1        1        0        0
60738 spice-html5                        	       0        6        0        0        6
60739 spice-webdavd                      	       0        3        3        0        0
60740 spidermonkey-bin                   	       0        1        1        0        0
60741 spideroak                          	       0        1        1        0        0
60742 spigot                             	       0        3        3        0        0
60743 spim                               	       0        3        3        0        0
60744 spin                               	       0        2        2        0        0
60745 spiped                             	       0        1        1        0        0
60746 spirv-cross                        	       0        3        3        0        0
60747 spirv-cross-dev                    	       0        2        2        0        0
60748 spirv-headers                      	       0       10       10        0        0
60749 spirv-reflect                      	       0        1        1        0        0
60750 spirv-tools                        	       0       36       35        1        0
60751 splash                             	       0        1        1        0        0
60752 splashtop-business                 	       0        3        1        0        2
60753 splat                              	       0        6        6        0        0
60754 splay                              	       0        4        4        0        0
60755 spline                             	       0        1        1        0        0
60756 splint                             	       0       25       24        1        0
60757 splint-data                        	       0       25       24        1        0
60758 splint-doc-html                    	       0        5        0        0        5
60759 splitpatch                         	       0        1        1        0        0
60760 splitvt                            	       0        3        3        0        0
60761 sploitscan                         	       0        1        1        0        0
60762 splunk                             	       0        1        1        0        0
60763 spoa                               	       0        1        1        0        0
60764 spotify-client-gnome-support       	       0        2        0        0        2
60765 spotify-client-qt                  	       0        1        0        0        1
60766 spotify-tui                        	       0        1        1        0        0
60767 spotube                            	       0        1        0        0        1
60768 spout                              	       0        2        2        0        0
60769 spread-phy                         	       0        1        1        0        0
60770 spring                             	       0       11       11        0        0
60771 spring-common                      	       0       11        0        0       11
60772 spring-installer                   	       0        1        1        0        0
60773 spring-javaai                      	       0        1        1        0        0
60774 spring-maps                        	       0        1        0        0        1
60775 spring-maps-1v1                    	       0        1        0        0        1
60776 spring-maps-default                	       0        1        0        0        1
60777 spring-maps-deltasiege             	       0        1        0        0        1
60778 spring-maps-hunterw                	       0        1        0        0        1
60779 spring-maps-kernelpanic            	       0        1        0        0        1
60780 spring-maps-smallsupreme           	       0        1        0        0        1
60781 spring-maps-teamplay               	       0        1        0        0        1
60782 springlobby                        	       0       11       11        0        0
60783 sptk                               	       0        1        1        0        0
60784 spyder-common                      	       0       27        0        0       27
60785 spyder3                            	       0        1        1        0        0
60786 spytrap-adb                        	       0        1        1        0        0
60787 sq                                 	       0        6        6        0        0
60788 sq-wot                             	       0        1        1        0        0
60789 sql-ledger                         	       0        2        2        0        0
60790 sql-migrate                        	       0        1        1        0        0
60791 sqlcipher                          	       0        7        7        0        0
60792 sqlcmd                             	       0        1        1        0        0
60793 sqlfluff                           	       0        1        1        0        0
60794 sqlfluff-doc                       	       0        1        0        0        1
60795 sqlformat                          	       0        2        2        0        0
60796 sqlite-doc                         	       0        6        0        0        6
60797 sqlite-modern-cpp-dev              	       0        2        2        0        0
60798 sqlite-utils                       	       0        9        9        0        0
60799 sqlite3-doc                        	       0       54        0        0       54
60800 sqlite3-pcre                       	       0        9        9        0        0
60801 sqlsmith                           	       0        2        2        0        0
60802 sqop                               	       0        1        1        0        0
60803 sqopv                              	       0        1        1        0        0
60804 sqsh                               	       0        1        1        0        0
60805 squareness                         	       0        2        0        0        2
60806 squashfs-modules-2.6.26-486-voyage 	       0        1        0        0        1
60807 squashfs-mount                     	       0        3        3        0        0
60808 squeak-sources                     	       0        1        0        0        1
60809 squeekboard                        	       0        1        1        0        0
60810 squid-common                       	       0       50        0        0       50
60811 squid-deb-proxy                    	       0        1        0        0        1
60812 squid-deb-proxy-client             	       0        2        0        0        2
60813 squid-langpack                     	       0       51        0        0       51
60814 squid-openssl                      	       0        5        5        0        0
60815 squid-purge                        	       0        4        4        0        0
60816 squid3                             	       0        3        1        0        2
60817 squid3-common                      	       0        1        0        0        1
60818 squidguard                         	       0        4        4        0        0
60819 squidguard-doc                     	       0        1        0        0        1
60820 squidtaild                         	       0        2        2        0        0
60821 squirrel3                          	       0        2        2        0        0
60822 squirrelmail                       	       0        1        1        0        0
60823 squirrelmail-compatibility         	       0        1        1        0        0
60824 squirrelmail-decode                	       0        1        1        0        0
60825 squirrelmail-locales               	       0        1        1        0        0
60826 squirrelmail-lockout               	       0        1        1        0        0
60827 squirrelmail-logger                	       0        1        1        0        0
60828 squirrelmail-quicksave             	       0        1        1        0        0
60829 squirrelmail-secure-login          	       0        1        1        0        0
60830 squirrelmail-sent-confirmation     	       0        1        1        0        0
60831 squirrelmail-spam-buttons          	       0        1        1        0        0
60832 squirrelmail-viewashtml            	       0        1        1        0        0
60833 squizz                             	       0        1        1        0        0
60834 sqwebmail                          	       0        1        1        0        0
60835 src2tex                            	       0        4        4        0        0
60836 srg                                	       0        1        1        0        0
60837 srst2                              	       0        1        1        0        0
60838 srt-tools                          	       0        4        4        0        0
60839 srtp-docs                          	       0        1        0        0        1
60840 srtp-utils                         	       0        2        2        0        0
60841 srvadmin-all                       	       0        1        0        0        1
60842 srvadmin-base                      	       0        2        0        0        2
60843 srvadmin-bossnvmelib               	       0        1        0        0        1
60844 srvadmin-broadcomlib               	       0        1        0        0        1
60845 srvadmin-idrac-ivmcli              	       0        1        1        0        0
60846 srvadmin-idrac-snmp                	       0        1        0        0        1
60847 srvadmin-idracadm8                 	       0        1        0        0        1
60848 srvadmin-isvc-snmp                 	       0        1        0        0        1
60849 srvadmin-marvell                   	       0        2        1        0        1
60850 srvadmin-nvme                      	       0        2        0        0        2
60851 srvadmin-omacs                     	       0        2        0        0        2
60852 srvadmin-omaksmig                  	       0        2        0        0        2
60853 srvadmin-oslog                     	       0        2        0        0        2
60854 srvadmin-rac-components            	       0        2        0        0        2
60855 srvadmin-racdrsc                   	       0        2        0        0        2
60856 srvadmin-realssd                   	       0        1        0        0        1
60857 srvadmin-server-cli                	       0        1        0        0        1
60858 srvadmin-server-snmp               	       0        1        0        0        1
60859 srvadmin-standardagent             	       0        1        0        0        1
60860 srvadmin-storage-cli               	       0        1        0        0        1
60861 srvadmin-storage-snmp              	       0        1        0        0        1
60862 srvadmin-storageservices           	       0        1        0        0        1
60863 srvadmin-storageservices-cli       	       0        1        0        0        1
60864 srvadmin-storageservices-snmp      	       0        1        0        0        1
60865 srvadmin-storelib                  	       0        2        1        0        1
60866 srvadmin-webserver                 	       0        1        0        0        1
60867 srvadmin-xmlsup                    	       0        2        0        0        2
60868 ss-dev                             	       0        1        1        0        0
60869 ssa                                	       0        3        3        0        0
60870 ssacli                             	       0        9        9        0        0
60871 ssaducli                           	       0        3        3        0        0
60872 ssake                              	       0        1        1        0        0
60873 ssb-patchwork                      	       0        1        1        0        0
60874 ssc                                	       0        1        1        0        0
60875 sscg                               	       0        3        3        0        0
60876 sse2-support                       	       0       34        0        0       34
60877 sse3-support                       	       0      282        0        0      282
60878 sse4.1-support                     	       0        1        0        0        1
60879 sse4.2-support                     	       0        6        0        0        6
60880 ssg-applications                   	       0        1        0        0        1
60881 ssg-base                           	       0        1        0        0        1
60882 ssg-debderived                     	       0        1        0        0        1
60883 ssg-debian                         	       0        1        0        0        1
60884 ssg-nondebian                      	       0        1        0        0        1
60885 ssh                                	       0      384        0        0      384
60886 ssh-askpass                        	       0      211       48        0      163
60887 ssh-askpass-fullscreen             	       0        6        6        0        0
60888 ssh-askpass-gnome                  	       0       16       16        0        0
60889 ssh-contact                        	       0        1        0        0        1
60890 ssh-contact-client                 	       0        1        1        0        0
60891 ssh-contact-service                	       0        1        1        0        0
60892 ssh-cron                           	       0        1        1        0        0
60893 ssh-import-id                      	       0        5        5        0        0
60894 sshcommand                         	       0        1        1        0        0
60895 sshesame                           	       0        1        1        0        0
60896 ssimulacra                         	       0        1        1        0        0
60897 ssl-cert-check                     	       0       13       13        0        0
60898 ssldump                            	       0       30       30        0        0
60899 sslsniff                           	       0        5        5        0        0
60900 sslstrip                           	       0        1        1        0        0
60901 ssmping                            	       0        4        4        0        0
60902 ssocr                              	       0        3        3        0        0
60903 sspace                             	       0        1        1        0        0
60904 sssd                               	       0       27        0        0       27
60905 sssd-ad                            	       0       27        1        0       26
60906 sssd-dbus                          	       0        8        8        0        0
60907 sssd-krb5                          	       0       27        3        0       24
60908 sssd-krb5-common                   	       0       27        0        0       27
60909 sssd-ldap                          	       0       27        4        0       23
60910 sssd-passkey                       	       0       11        0        0       11
60911 sssd-proxy                         	       0       27        0        0       27
60912 sssd-tools                         	       0       10       10        0        0
60913 ssshtest                           	       0        2        2        0        0
60914 ssss                               	       0        3        3        0        0
60915 ssvnc                              	       0       35       35        0        0
60916 ssw-align                          	       0        1        1        0        0
60917 ssystem                            	       0        1        1        0        0
60918 st                                 	       0        1        1        0        0
60919 st-stlink-udev-rules               	       0        6        0        0        6
60920 st-stm32cubeide-1.11.2             	       0        1        1        0        0
60921 st-stm32cubeide-1.14.0             	       0        1        1        0        0
60922 st-stm32cubeide-1.16.1             	       0        2        2        0        0
60923 st-stm32cubeide-1.4.0              	       0        1        1        0        0
60924 st-stm32cubeide-1.8.0              	       0        1        0        0        1
60925 stackrpms-acer-chromebook          	       0        1        1        0        0
60926 stackrpms-thinkpad-p50s            	       0        4        4        0        0
60927 stacks                             	       0        2        2        0        0
60928 staden                             	       0        1        1        0        0
60929 staden-common                      	       0        1        0        0        1
60930 staden-io-lib-utils                	       0        1        1        0        0
60931 stalag13-keyring                   	       0        4        0        0        4
60932 stalag13-utils                     	       0        1        1        0        0
60933 standardskriver                    	       0        2        2        0        0
60934 star                               	       0        2        2        0        0
60935 stardict                           	       0        6        0        0        6
60936 stardict-common                    	       0        9        1        0        8
60937 stardict-gnome                     	       0        1        1        0        0
60938 stardict-plugin                    	       0        9        2        0        7
60939 stardict-plugin-espeak             	       0        6        2        0        4
60940 stardict-plugin-festival           	       0        6        2        0        4
60941 stardict-plugin-fortune            	       0        1        0        0        1
60942 stardict-plugin-info               	       0        1        0        0        1
60943 stardict-plugin-spell              	       0        5        2        0        3
60944 stardict-tools                     	       0        3        3        0        0
60945 stardict-xmlittre                  	       0        1        0        0        1
60946 starfighter                        	       0        5        5        0        0
60947 starfighter-data                   	       0        5        0        0        5
60948 starlink-array-java                	       0        1        0        0        1
60949 starlink-connect-java              	       0        1        0        0        1
60950 starlink-datanode-java             	       0        1        0        0        1
60951 starlink-dpac-java                 	       0        1        0        0        1
60952 starlink-fits-java                 	       0        1        0        0        1
60953 starlink-pal-java                  	       0        1        0        0        1
60954 starlink-registry-java             	       0        1        0        0        1
60955 starlink-table-java                	       0        1        0        0        1
60956 starlink-task-java                 	       0        1        0        0        1
60957 starlink-util-java                 	       0        1        0        0        1
60958 starlink-vo-java                   	       0        1        0        0        1
60959 starlink-votable-java              	       0        1        0        0        1
60960 starman                            	       0        3        3        0        0
60961 starplot                           	       0        8        8        0        0
60962 staruml                            	       0        3        0        0        3
60963 starvoyager                        	       0        4        4        0        0
60964 starvoyager-data                   	       0        4        0        0        4
60965 statcvs                            	       0        5        5        0        0
60966 statgrab                           	       0        1        1        0        0
60967 statnews                           	       0        2        2        0        0
60968 statsvn                            	       0        3        3        0        0
60969 status-bar                         	       0        1        1        0        0
60970 stax                               	       0       11       11        0        0
60971 stbaithreshold                     	       0        1        1        0        0
60972 stbdjvul                           	       0        1        1        0        0
60973 stbidespeckle                      	       0        1        1        0        0
60974 stbimfrpf2                         	       0        1        1        0        0
60975 stbimmetrics                       	       0        1        1        0        0
60976 stbiscalenx                        	       0        1        1        0        0
60977 stbresize                          	       0        1        1        0        0
60978 stbsrcnn                           	       0        1        1        0        0
60979 stdsyslog                          	       0        3        3        0        0
60980 stealth-doc                        	       0        2        0        0        2
60981 steam                              	       0       97       20        0       77
60982 steam-dependencies                 	       0        1        0        0        1
60983 steam-libs                         	       0      167        0        0      167
60984 steam-libs-amd64                   	       0      132        0        0      132
60985 steam-libs-i386                    	       0      260        0        0      260
60986 steamcmd                           	       0       25       25        0        0
60987 steampipe                          	       0        1        0        0        1
60988 stegdetect                         	       0        2        2        0        0
60989 steghide-doc                       	       0        3        0        0        3
60990 stella                             	       0       15       15        0        0
60991 stellarium-data                    	       0      102        0        0      102
60992 stenc                              	       0        1        1        0        0
60993 step-ca                            	       0        3        3        0        0
60994 step-cli                           	       0        3        3        0        0
60995 stetl                              	       0        3        3        0        0
60996 steve-completion                   	       0        1        0        0        1
60997 stex                               	       0        1        0        0        1
60998 sticky                             	       0        1        1        0        0
60999 stiff                              	       0        3        3        0        0
61000 stl-manual                         	       0        3        3        0        0
61001 stlcmd                             	       0        3        3        0        0
61002 stlink-gui                         	       0        3        3        0        0
61003 stm32flash                         	       0        8        8        0        0
61004 stoken                             	       0        1        1        0        0
61005 stone                              	       0        2        2        0        0
61006 stopmotion                         	       0        2        2        0        0
61007 stops                              	       0       13        0        0       13
61008 storcli                            	       0        1        0        0        1
61009 store.spark-app.wechat-linux-spark 	       0        1        1        0        0
61010 storj                              	       0        2        2        0        0
61011 storjshare                         	       0        1        0        0        1
61012 storm-lang                         	       0        1        1        0        0
61013 storm-lang-crypto                  	       0        1        1        0        0
61014 storm-lang-layout                  	       0        1        1        0        0
61015 storm-lang-sql                     	       0        1        1        0        0
61016 storm-lang-ui                      	       0        1        1        0        0
61017 storman                            	       0        1        1        0        0
61018 stormbaancoureur                   	       0        1        1        0        0
61019 stormbaancoureur-data              	       0        1        0        0        1
61020 storymaps                          	       0        1        1        0        0
61021 strace64                           	       0        1        1        0        0
61022 stratagus-gl                       	       0        1        1        0        0
61023 streamer                           	       0       11       11        0        0
61024 streamtuner2                       	       0       13       13        0        0
61025 stremio                            	       0        3        1        0        2
61026 stress                             	       0       53       53        0        0
61027 stress-ng                          	       0       39       37        2        0
61028 stressant                          	       0        6        6        0        0
61029 stressant-doc                      	       0        1        0        0        1
61030 stressant-meta                     	       0        3        0        0        3
61031 stressapptest                      	       0        2        2        0        0
61032 stretchplayer                      	       0        8        7        1        0
61033 strife-data                        	       0        1        0        0        1
61034 strip-nondeterminism               	       0        2        2        0        0
61035 strongswan                         	       0       68        0        0       68
61036 strongswan-pki                     	       0        9        9        0        0
61037 strongswan-scepclient              	       0        1        1        0        0
61038 stroq                              	       0        1        1        0        0
61039 stubby                             	       0        4        4        0        0
61040 stuffit                            	       0        1        0        0        1
61041 stun                               	       0        2        2        0        0
61042 stun-client                        	       0        4        4        0        0
61043 stunnel                            	       0        1        0        0        1
61044 stutter                            	       0        1        1        0        0
61045 stx2any                            	       0        3        3        0        0
61046 stylish-haskell                    	       0        3        3        0        0
61047 styx                               	       0        1        1        0        0
61048 styx-dev                           	       0        1        1        0        0
61049 styx-doc                           	       0        1        0        0        1
61050 subdownloader                      	       0        8        8        0        0
61051 subfinder                          	       0        1        1        0        0
61052 sublime-merge                      	       0        6        6        0        0
61053 subliminal                         	       0        5        5        0        0
61054 submux-dvd                         	       0        1        1        0        0
61055 subread                            	       0        1        1        0        0
61056 substance                          	       0        5        0        0        5
61057 substance-flamingo                 	       0        3        0        0        3
61058 subtitlecomposer                   	       0        5        5        0        0
61059 subtitleripper                     	       0        2        2        0        0
61060 subtle                             	       0        2        2        0        0
61061 subunit                            	       0        5        5        0        0
61062 suck                               	       0        3        3        0        0
61063 sudo-trinity                       	       0       30        0        0       30
61064 sudoku-solver                      	       0        7        7        0        0
61065 sugar-browse-activity              	       0      294        0        0      294
61066 sugar-icon-theme                   	       0       10        0        0       10
61067 sugar-terminal-activity            	       0        1        0        0        1
61068 sugar-themes                       	       0        2        0        0        2
61069 sugarjar                           	       0        1        1        0        0
61070 sugarplum                          	       0        1        1        0        0
61071 suitename                          	       0        1        1        0        0
61072 suld-driver2-1.00.29               	       0        1        1        0        0
61073 suld-driver2-1.00.39               	       0        3        3        0        0
61074 suld-driver2-common-1              	       0        4        0        0        4
61075 suld-ppd-4                         	       0        4        0        0        4
61076 suldr-keyring                      	       0        4        0        0        4
61077 sumaclust                          	       0        1        1        0        0
61078 sumatra                            	       0        1        1        0        0
61079 sumo                               	       0        2        2        0        0
61080 sumo-doc                           	       0        1        0        0        1
61081 sumo-tools                         	       0        1        0        0        1
61082 sumtrees                           	       0        1        1        0        0
61083 sun-java5-bin                      	       0        2        2        0        0
61084 sun-java5-jre                      	       0        2        0        0        2
61085 sun-java6-bin                      	       0        4        4        0        0
61086 sun-java6-jre                      	       0        4        0        0        4
61087 sun-java6-plugin                   	       0        3        0        0        3
61088 sunclock-maps                      	       0       16        0        0       16
61089 sunflow                            	       0        1        1        0        0
61090 sunflower                          	       0        1        1        0        0
61091 sunvox                             	       0        1        1        0        0
61092 sunxi-tools                        	       0        6        6        0        0
61093 sup                                	       0        1        0        1        0
61094 sup-mail                           	       0        1        1        0        0
61095 supercollider                      	       0        3        0        0        3
61096 supercollider-common               	       0        7        0        0        7
61097 supercollider-emacs                	       0        1        1        0        0
61098 supercollider-gedit                	       0        1        1        0        0
61099 supercollider-ide                  	       0        5        5        0        0
61100 supercollider-language             	       0        6        6        0        0
61101 supercollider-server               	       0        7        7        0        0
61102 supercollider-supernova            	       0        3        3        0        0
61103 supercollider-vim                  	       0        1        0        0        1
61104 superkaramba-trinity               	       0       24       22        2        0
61105 supermicro-update-manager          	       0        1        1        0        0
61106 superproductivity                  	       0        3        0        0        3
61107 supertransball2                    	       0        7        7        0        0
61108 supertransball2-data               	       0        7        0        0        7
61109 supertux-data                      	       0       50        0        0       50
61110 supervisor-doc                     	       0        1        0        0        1
61111 supysonic                          	       0        1        1        0        0
61112 surankco                           	       0        1        1        0        0
61113 surf-alggeo                        	       0       12        0        0       12
61114 surf-dbgsym                        	       0        1        1        0        0
61115 surf2                              	       0        7        7        0        0
61116 surface-go-wifi                    	       0        1        0        0        1
61117 surfer                             	       0        1        1        0        0
61118 surfraw                            	       0       12       12        0        0
61119 surfraw-extra                      	       0       11       11        0        0
61120 surfshark-release                  	       0        3        0        0        3
61121 surfshark-vpn                      	       0        3        3        0        0
61122 surge                              	       0        2        2        0        0
61123 surge-data                         	       0        1        0        0        1
61124 surge-xt                           	       0        3        3        0        0
61125 suru-icon-theme                    	       0       12        0        0       12
61126 survex                             	       0        2        2        0        0
61127 survex-aven                        	       0        2        2        0        0
61128 susv2                              	       0        2        0        0        2
61129 susv3                              	       0        3        0        0        3
61130 susv4                              	       0        3        0        0        3
61131 suwayomi-server                    	       0        1        1        0        0
61132 svgalib-bin                        	       0        1        1        0        0
61133 svgcarve                           	       0        1        1        0        0
61134 svgpart                            	       0       47        1        0       46
61135 svgtoipe                           	       0        1        1        0        0
61136 svgtune                            	       0        5        4        1        0
61137 svkbd                              	       0        1        1        0        0
61138 svn-all-fast-export                	       0        1        1        0        0
61139 svn-buildpackage                   	       0       16       16        0        0
61140 svn-load                           	       0        3        2        1        0
61141 svn-workbench                      	       0        4        4        0        0
61142 svn2cl                             	       0       38       38        0        0
61143 svn2git                            	       0        5        5        0        0
61144 svncviewer                         	       0        1        1        0        0
61145 svnkit                             	       0        2        2        0        0
61146 svt-av1                            	       0        4        4        0        0
61147 svtools                            	       0        8        8        0        0
61148 svtplay-dl                         	       0        4        4        0        0
61149 svxlink-calibration-tools          	       0        2        2        0        0
61150 svxlink-gpio                       	       0        2        2        0        0
61151 svxlink-server                     	       0        2        2        0        0
61152 svxreflector                       	       0        2        2        0        0
61153 swach                              	       0        1        1        0        0
61154 swami                              	       0        7        6        1        0
61155 swarm                              	       0        2        2        0        0
61156 swarp                              	       0        2        2        0        0
61157 swatch                             	       0        4        4        0        0
61158 swath                              	       0        7        7        0        0
61159 swath-data                         	       0        7        0        0        7
61160 sway-backgrounds                   	       0       51        0        0       51
61161 swayimg                            	       0       10        9        1        0
61162 swaykbdd                           	       0        4        3        1        0
61163 swe-basic-data                     	       0        1        0        0        1
61164 swe-standard-data                  	       0        1        0        0        1
61165 sweep                              	       0        9        8        1        0
61166 sweethome3d-furniture              	       0       34        0        0       34
61167 sweethome3d-furniture-nonfree      	       0       15        0        0       15
61168 sweethome3d-textures-editor        	       0       16       16        0        0
61169 swfdec-mozilla                     	       0        1        0        0        1
61170 swfmill                            	       0        2        2        0        0
61171 swftools                           	       0        1        1        0        0
61172 swh-lv2                            	       0       12       12        0        0
61173 swi-prolog                         	       0        7        0        0        7
61174 swi-prolog-bdb                     	       0        2        2        0        0
61175 swi-prolog-doc                     	       0       10        0        0       10
61176 swi-prolog-full                    	       0        1        0        0        1
61177 swi-prolog-odbc                    	       0        2        2        0        0
61178 swiagent                           	       0        6        6        0        0
61179 swift-doc                          	       0        1        0        0        1
61180 swig-doc                           	       0        7        0        0        7
61181 swig-examples                      	       0        7        1        0        6
61182 swig-pocketsphinx                  	       0        2        0        0        2
61183 swig-sphinxbase                    	       0        3        0        0        3
61184 swig2.0                            	       0        1        1        0        0
61185 swig3.0                            	       0       12       12        0        0
61186 swig3.0-doc                        	       0        2        0        0        2
61187 swig3.0-examples                   	       0        2        2        0        0
61188 swig4.0-doc                        	       0        6        0        0        6
61189 swig4.0-examples                   	       0        5        5        0        0
61190 swish-e                            	       0        6        6        0        0
61191 switchconf                         	       0        1        1        0        0
61192 sword-comm-mhcc                    	       0        1        0        0        1
61193 sword-comm-scofield                	       0        2        0        0        2
61194 sword-comm-tdavid                  	       0        1        0        0        1
61195 sword-dict-naves                   	       0        2        0        0        2
61196 sword-dict-strongs-greek           	       0        4        0        0        4
61197 sword-dict-strongs-hebrew          	       0        4        0        0        4
61198 sword-text-kjv                     	       0        5        0        0        5
61199 sword-text-sparv                   	       0        1        0        0        1
61200 sword-text-web                     	       0        3        0        0        3
61201 swscanner                          	       0        1        1        0        0
61202 sxmo-utils                         	       0        2        2        0        0
61203 sylpheed-doc                       	       0       14        0        0       14
61204 sylpheed-plugins                   	       0       18        2        0       16
61205 symbol-desktop-wallet              	       0        2        0        0        2
61206 sympa                              	       0        2        2        0        0
61207 sympathy                           	       0        1        1        0        0
61208 symphytum                          	       0        1        1        0        0
61209 sympow                             	       0       11       11        0        0
61210 sympow-data                        	       0       11       11        0        0
61211 synaesthesia                       	       0        1        1        0        0
61212 synapse                            	       0        4        4        0        0
61213 synaptic-trinity                   	       0       26        0        0       26
61214 synaptics-repository-keyring       	       0        2        0        0        2
61215 syncevolution                      	       0        1        1        0        0
61216 syncevolution-libs                 	       0        1        0        0        1
61217 syncevolution-libs-gnome           	       0        1        0        0        1
61218 syncfiles                          	       0        1        1        0        0
61219 syncplay                           	       0        4        4        0        0
61220 syncplay-common                    	       0        1        1        0        0
61221 syncthing-relaysrv                 	       0        5        4        1        0
61222 syncthingtray-kde-plasma           	       0        5        4        0        1
61223 syndie                             	       0        1        1        0        0
61224 synfig                             	       0        6        6        0        0
61225 synfig-examples                    	       0       11        0        0       11
61226 synfigstudio                       	       0        8        8        0        0
61227 synology-assistant                 	       0        3        0        0        3
61228 synology-drive                     	       0        1        1        0        0
61229 synology-presto                    	       0        1        1        0        0
61230 synthv1                            	       0        7        7        0        0
61231 synthv1-common                     	       0        7        0        0        7
61232 synthv1-lv2                        	       0        3        3        0        0
61233 syrep                              	       0        1        1        0        0
61234 syrthes                            	       0        1        1        0        0
61235 syrthes-tools                      	       0        1        1        0        0
61236 sysadmin-guide                     	       0        2        0        0        2
61237 sysdig                             	       0        1        1        0        0
61238 sysdig-dkms                        	       0        1        1        0        0
61239 sysinternalsebpf                   	       0        1        1        0        0
61240 syslinux-efi                       	       0       24       24        0        0
61241 syslinux-themes-debian             	       0        2        0        0        2
61242 syslinux-themes-debian-lenny       	       0        1        0        0        1
61243 syslinux-themes-debian-squeeze     	       0        2        0        0        2
61244 syslinux-themes-debian-wheezy      	       0        3        0        0        3
61245 syslinux-themes-linuxmint          	       0        3        0        0        3
61246 syslinux-themes-lmde               	       0        1        0        0        1
61247 syslog-ng                          	       0       30        0        0       30
61248 syslog-ng-mod-extra                	       0        6        0        0        6
61249 syslog-ng-mod-geoip                	       0        2        2        0        0
61250 syslog-ng-mod-pacctformat          	       0        1        1        0        0
61251 syslog-ng-mod-snmptrapd-parser     	       0        1        1        0        0
61252 syslog-ng-mod-tag-parser           	       0        1        1        0        0
61253 syslog-ng-scl                      	       0       22        0        0       22
61254 syslogout                          	       0        2        0        0        2
61255 sysnews                            	       0        1        1        0        0
61256 sysprof                            	       0        9        9        0        0
61257 sysprofile                         	       0        3        0        0        3
61258 system76-acpi-dkms                 	       0        1        1        0        0
61259 system76-dkms                      	       0        1        1        0        0
61260 system76-io-dkms                   	       0        1        0        0        1
61261 system76-wallpapers                	       0        1        0        0        1
61262 systemback                         	       0        3        3        0        0
61263 systemback-cli                     	       0        4        4        0        0
61264 systemback-cli-dbg                 	       0        1        1        0        0
61265 systemback-efiboot-amd64           	       0        4        0        0        4
61266 systemback-locales                 	       0        4        2        0        2
61267 systemback-scheduler               	       0        4        4        0        0
61268 systemback-scheduler-dbg           	       0        1        1        0        0
61269 systemd                            	       0        2        1        0        1
61270 systemd-boot-efi                   	       0        1        0        1        0
61271 systemd-bootchart                  	       0        2        2        0        0
61272 systemd-cryptsetup                 	       0        1        1        0        0
61273 systemd-dummy                      	       0        1        1        0        0
61274 systemd-oomd                       	       0        1        1        0        0
61275 systemd-repart                     	       0        1        0        1        0
61276 systemd-shim                       	       0        5        5        0        0
61277 systemd-sysv                       	       0        1        1        0        0
61278 systemd-timesyncd                  	       0        1        1        0        0
61279 systemd-ukify                      	       0        2        1        1        0
61280 systempreferences.app-dbg          	       0        1        1        0        0
61281 systemtap                          	       0       12       10        2        0
61282 systemtap-client                   	       0        1        1        0        0
61283 systemtap-doc                      	       0        3        2        1        0
61284 systemtap-runtime                  	       0       13       11        2        0
61285 systemtap-sdt-dev                  	       0       18       17        1        0
61286 systemtap-server                   	       0        1        1        0        0
61287 systray-x-minimal                  	       0        1        1        0        0
61288 sysutils                           	       0        4        0        0        4
61289 sysvinit                           	       0     3132       55        1     3076
61290 sysvinit-build-deps                	       0        1        0        0        1
61291 sysvinit-core-dbgsym               	       0        3        3        0        0
61292 sysvinit-utils-dbgsym              	       0        3        3        0        0
61293 szip                               	       0        1        1        0        0
61294 t-coffee                           	       0        4        4        0        0
61295 t-prot                             	       0        4        4        0        0
61296 t1-oldslavic                       	       0        7        0        0        7
61297 t1-teams                           	       0      114        1        0      113
61298 t1-thai-arundina                   	       0        2        0        0        2
61299 t1-thai-tlwg                       	       0        2        0        0        2
61300 t1lib-bin                          	       0        2        2        0        0
61301 t2html                             	       0        1        1        0        0
61302 t38modem                           	       0        2        2        0        0
61303 t3highlight                        	       0        2        2        0        0
61304 t50                                	       0        1        1        0        0
61305 tabby-terminal                     	       0        2        2        0        0
61306 tabix                              	       0        6        6        0        0
61307 tableau-parm                       	       0       22       22        0        0
61308 tablet-encode                      	       0        1        1        0        0
61309 tachidesk-server                   	       0        1        1        0        0
61310 tachidesk-sorayomi                 	       0        2        2        0        0
61311 tachidesk-vaaui                    	       0        1        1        0        0
61312 tachyon                            	       0       10        0        0       10
61313 tachyon-bin-nox                    	       0       11       11        0        0
61314 tads3                              	       0        1        1        0        0
61315 tagainijisho-common                	       0        7        0        0        7
61316 tagainijisho-dic-en                	       0        7        0        0        7
61317 tagainijisho-dic-es                	       0        1        0        0        1
61318 tagainijisho-dic-fr                	       0        1        0        0        1
61319 tagainijisho-dic-pt                	       0        1        0        0        1
61320 tagainijisho-dic-ru                	       0        2        0        0        2
61321 tagcoll                            	       0        4        4        0        0
61322 taggrepper                         	       0        1        1        0        0
61323 tagtool                            	       0        3        3        0        0
61324 tagua                              	       0        3        3        0        0
61325 tagua-data                         	       0        3        0        0        3
61326 tailscale-archive-keyring          	       0       14        0        0       14
61327 tal-plugins-lv2                    	       0        1        1        0        0
61328 talksoup.app                       	       0        1        1        0        0
61329 tandem-mass                        	       0        1        1        0        0
61330 tang                               	       0        1        1        0        0
61331 tang-common                        	       0        1        1        0        0
61332 tangerine-icon-theme               	       0       11        0        0       11
61333 tanglet                            	       0        7        7        0        0
61334 tanglet-data                       	       0        7        0        0        7
61335 tango-common                       	       0        2        0        0        2
61336 tango-icon-theme                   	       0     1813        0        0     1813
61337 tanidvr                            	       0        1        1        0        0
61338 taopm                              	       0        4        4        0        0
61339 tap                                	       0        1        0        1        0
61340 tap-lv2                            	       0        2        2        0        0
61341 tap-plugins-doc                    	       0        4        0        0        4
61342 tapecalc                           	       0        1        1        0        0
61343 tapps2                             	       0        2        2        0        0
61344 tappy                              	       0        1        1        0        0
61345 tar-doc                            	       0       18        0        0       18
61346 tar-scripts                        	       0        6        6        0        0
61347 tar-split                          	       0        3        3        0        0
61348 tar2deb                            	       0        1        1        0        0
61349 tardy                              	       0        3        3        0        0
61350 targetcli-fb                       	       0        1        1        0        0
61351 tarlz                              	       0        6        6        0        0
61352 tarsnap                            	       0        2        2        0        0
61353 tarsnap-archive-keyring            	       0        2        0        0        2
61354 tartarus                           	       0        1        1        0        0
61355 task-albanian-desktop              	       0        2        0        0        2
61356 task-amharic                       	       0        2        0        0        2
61357 task-amharic-desktop               	       0        1        0        0        1
61358 task-arabic                        	       0        3        0        0        3
61359 task-arabic-desktop                	       0        1        0        0        1
61360 task-asturian                      	       0        2        0        0        2
61361 task-asturian-desktop              	       0        1        0        0        1
61362 task-basque                        	       0        3        0        0        3
61363 task-basque-desktop                	       0        2        0        0        2
61364 task-basque-kde-desktop            	       0        1        0        0        1
61365 task-belarusian                    	       0        4        0        0        4
61366 task-belarusian-desktop            	       0        3        0        0        3
61367 task-bengali                       	       0        2        0        0        2
61368 task-bengali-desktop               	       0        1        0        0        1
61369 task-blendsel                      	       0        1        0        0        1
61370 task-bosnian                       	       0        2        0        0        2
61371 task-bosnian-desktop               	       0        1        0        0        1
61372 task-brazilian-portuguese          	       0       48        0        0       48
61373 task-brazilian-portuguese-desktop  	       0       34        0        0       34
61374 task-brazilian-portuguese-kde-desktop	       0        7        0        0        7
61375 task-british-desktop               	       0      188        0        0      188
61376 task-british-kde-desktop           	       0       27        0        0       27
61377 task-bulgarian                     	       0        3        0        0        3
61378 task-bulgarian-desktop             	       0        2        0        0        2
61379 task-catalan                       	       0        6        0        0        6
61380 task-catalan-desktop               	       0        4        0        0        4
61381 task-catalan-kde-desktop           	       0        1        0        0        1
61382 task-chinese-s                     	       0       12        0        0       12
61383 task-chinese-s-desktop             	       0        7        0        0        7
61384 task-chinese-s-kde-desktop         	       0        2        0        0        2
61385 task-chinese-t                     	       0        4        0        0        4
61386 task-chinese-t-desktop             	       0        1        0        0        1
61387 task-chinese-t-kde-desktop         	       0        1        0        0        1
61388 task-cinnamon-desktop              	       0      234        0        0      234
61389 task-console-productivity          	       0      995        0        0      995
61390 task-croatian                      	       0        3        0        0        3
61391 task-croatian-desktop              	       0        2        0        0        2
61392 task-cyrillic                      	       0      157        0        0      157
61393 task-cyrillic-desktop              	       0      106        0        0      106
61394 task-cyrillic-kde-desktop          	       0        8        0        0        8
61395 task-czech                         	       0       40        0        0       40
61396 task-czech-desktop                 	       0       23        0        0       23
61397 task-czech-kde-desktop             	       0        2        0        0        2
61398 task-danish                        	       0        4        0        0        4
61399 task-danish-desktop                	       0        3        0        0        3
61400 task-desktop                       	       0     2612        0        0     2612
61401 task-dns-server                    	       0        4        0        0        4
61402 task-dutch                         	       0       18        0        0       18
61403 task-dutch-desktop                 	       0        9        0        0        9
61404 task-dutch-kde-desktop             	       0        2        0        0        2
61405 task-dzongkha-desktop              	       0        1        0        0        1
61406 task-english                       	       0     2182        0        0     2182
61407 task-esperanto                     	       0        5        0        0        5
61408 task-esperanto-desktop             	       0        4        0        0        4
61409 task-esperanto-kde-desktop         	       0        1        0        0        1
61410 task-estonian                      	       0        3        0        0        3
61411 task-estonian-desktop              	       0        2        0        0        2
61412 task-file-server                   	       0        4        0        0        4
61413 task-finnish                       	       0        8        0        0        8
61414 task-finnish-desktop               	       0        6        0        0        6
61415 task-finnish-kde-desktop           	       0        1        0        0        1
61416 task-french                        	       0      109        0        0      109
61417 task-french-desktop                	       0       78        0        0       78
61418 task-french-kde-desktop            	       0       16        0        0       16
61419 task-galician                      	       0        5        0        0        5
61420 task-galician-desktop              	       0        4        0        0        4
61421 task-georgian-desktop              	       0        1        0        0        1
61422 task-german                        	       0      352        0        0      352
61423 task-german-desktop                	       0      250        0        0      250
61424 task-german-kde-desktop            	       0       40        0        0       40
61425 task-gnome-desktop                 	       0       74        0        0       74
61426 task-gnome-flashback-desktop       	       0       43        0        0       43
61427 task-greek                         	       0       23        0        0       23
61428 task-greek-desktop                 	       0       18        0        0       18
61429 task-greek-kde-desktop             	       0        2        0        0        2
61430 task-gujarati                      	       0        2        0        0        2
61431 task-gujarati-desktop              	       0        1        0        0        1
61432 task-hebrew                        	       0        2        0        0        2
61433 task-hebrew-desktop                	       0        2        0        0        2
61434 task-hindi                         	       0        2        0        0        2
61435 task-hindi-desktop                 	       0        1        0        0        1
61436 task-hungarian                     	       0       18        0        0       18
61437 task-hungarian-desktop             	       0       12        0        0       12
61438 task-hungarian-kde-desktop         	       0        2        0        0        2
61439 task-icelandic                     	       0        2        0        0        2
61440 task-icelandic-desktop             	       0        1        0        0        1
61441 task-indonesian-desktop            	       0        1        0        0        1
61442 task-irish                         	       0        2        0        0        2
61443 task-irish-desktop                 	       0        1        0        0        1
61444 task-italian                       	       0      113        0        0      113
61445 task-italian-desktop               	       0       74        0        0       74
61446 task-italian-kde-desktop           	       0       18        0        0       18
61447 task-japanese                      	       0       37        0        0       37
61448 task-japanese-desktop              	       0       32        0        0       32
61449 task-japanese-kde-desktop          	       0        7        0        0        7
61450 task-kannada-desktop               	       0        1        0        0        1
61451 task-kazakh                        	       0        2        0        0        2
61452 task-kazakh-desktop                	       0        1        0        0        1
61453 task-kde-desktop                   	       0      390        0        0      390
61454 task-khmer                         	       0        2        0        0        2
61455 task-khmer-desktop                 	       0        1        0        0        1
61456 task-korean                        	       0        7        0        0        7
61457 task-korean-desktop                	       0        6        0        0        6
61458 task-korean-gnome-desktop          	       0        1        0        0        1
61459 task-kurdish                       	       0        2        0        0        2
61460 task-kurdish-desktop               	       0        1        0        0        1
61461 task-laptop                        	       0     1493        0        0     1493
61462 task-latvian                       	       0        2        0        0        2
61463 task-latvian-desktop               	       0        1        0        0        1
61464 task-lithuanian                    	       0        2        0        0        2
61465 task-lithuanian-desktop            	       0        1        0        0        1
61466 task-lxde-desktop                  	       0      112        0        0      112
61467 task-lxqt-desktop                  	       0      188        0        0      188
61468 task-macedonian                    	       0        2        0        0        2
61469 task-macedonian-desktop            	       0        1        0        0        1
61470 task-mail-server                   	       0        1        0        0        1
61471 task-malayalam                     	       0        2        0        0        2
61472 task-malayalam-desktop             	       0        1        0        0        1
61473 task-marathi                       	       0        2        0        0        2
61474 task-marathi-desktop               	       0        1        0        0        1
61475 task-mate-desktop                  	       0      430        0        0      430
61476 task-nepali-desktop                	       0        1        0        0        1
61477 task-northern-sami                 	       0        2        0        0        2
61478 task-northern-sami-desktop         	       0        1        0        0        1
61479 task-norwegian                     	       0       44        0        0       44
61480 task-norwegian-desktop             	       0       39        0        0       39
61481 task-norwegian-kde-desktop         	       0       11        0        0       11
61482 task-persian                       	       0        2        0        0        2
61483 task-persian-desktop               	       0        1        0        0        1
61484 task-polish                        	       0       71        0        0       71
61485 task-polish-desktop                	       0       48        0        0       48
61486 task-polish-kde-desktop            	       0        6        0        0        6
61487 task-portuguese                    	       0        2        0        0        2
61488 task-portuguese-desktop            	       0        1        0        0        1
61489 task-print-server                  	       0       59        0        0       59
61490 task-print-service                 	       0       74        0        0       74
61491 task-punjabi                       	       0        2        0        0        2
61492 task-punjabi-desktop               	       0        1        0        0        1
61493 task-romanian                      	       0        5        0        0        5
61494 task-romanian-desktop              	       0        4        0        0        4
61495 task-romanian-kde-desktop          	       0        1        0        0        1
61496 task-russian                       	       0      154        0        0      154
61497 task-russian-desktop               	       0      104        0        0      104
61498 task-russian-kde-desktop           	       0        9        0        0        9
61499 task-serbian                       	       0        2        0        0        2
61500 task-serbian-desktop               	       0        1        0        0        1
61501 task-sinhala-desktop               	       0        1        0        0        1
61502 task-slovak                        	       0        4        0        0        4
61503 task-slovak-desktop                	       0        2        0        0        2
61504 task-slovenian                     	       0        2        0        0        2
61505 task-slovenian-desktop             	       0        1        0        0        1
61506 task-south-african-english-desktop 	       0       14        0        0       14
61507 task-spanish                       	       0      282        0        0      282
61508 task-spanish-desktop               	       0      205        0        0      205
61509 task-spanish-kde-desktop           	       0       36        0        0       36
61510 task-speech-accessibility          	       0        1        0        0        1
61511 task-ssh-server                    	       0     2149        0        0     2149
61512 task-swedish                       	       0       13        0        0       13
61513 task-swedish-desktop               	       0        7        0        0        7
61514 task-tagalog                       	       0        2        0        0        2
61515 task-tamil                         	       0        2        0        0        2
61516 task-tamil-desktop                 	       0        1        0        0        1
61517 task-telugu                        	       0        2        0        0        2
61518 task-telugu-desktop                	       0        1        0        0        1
61519 task-thai                          	       0        2        0        0        2
61520 task-thai-desktop                  	       0        1        0        0        1
61521 task-turkish                       	       0       10        0        0       10
61522 task-turkish-desktop               	       0        7        0        0        7
61523 task-turkish-kde-desktop           	       0        1        0        0        1
61524 task-ukrainian                     	       0       15        0        0       15
61525 task-ukrainian-desktop             	       0       13        0        0       13
61526 task-uyghur-desktop                	       0        1        0        0        1
61527 task-vietnamese-desktop            	       0        1        0        0        1
61528 task-web-server                    	       0      309        0        0      309
61529 task-welsh                         	       0        2        0        0        2
61530 task-welsh-desktop                 	       0        1        0        0        1
61531 task-xfce-desktop                  	       0     1512        0        0     1512
61532 task-xhosa-desktop                 	       0        1        0        0        1
61533 tasks                              	       0        1        1        0        0
61534 tasque                             	       0        1        1        0        0
61535 tatan                              	       0        2        2        0        0
61536 tataranovich-keyring               	       0        1        0        0        1
61537 tatctae                            	       0        1        0        0        1
61538 tau                                	       0        1        1        0        0
61539 tau-examples                       	       0        1        1        0        0
61540 tau-racy                           	       0        1        1        0        0
61541 taupython                          	       0        1        1        0        0
61542 taxbird                            	       0        1        1        0        0
61543 tayga                              	       0        2        2        0        0
61544 tcc                                	       0       38       38        0        0
61545 tcl-awthemes                       	       0       17        0        0       17
61546 tcl-combat                         	       0        2        2        0        0
61547 tcl-doc                            	       0       26        0        0       26
61548 tcl-expect-dev                     	       0        3        2        1        0
61549 tcl-fitstcl                        	       0       10        0        0       10
61550 tcl-funtools                       	       0        3        3        0        0
61551 tcl-hamlib                         	       0        2        0        0        2
61552 tcl-itcl4                          	       0        8        0        0        8
61553 tcl-itcl4-dev                      	       0        1        0        1        0
61554 tcl-itcl4-doc                      	       0        2        0        0        2
61555 tcl-memchan                        	       0        4        0        0        4
61556 tcl-memchan-dev                    	       0        1        1        0        0
61557 tcl-signal                         	       0       24        0        0       24
61558 tcl-snack-dev                      	       0        1        1        0        0
61559 tcl-snack-doc                      	       0        2        0        0        2
61560 tcl-sugar                          	       0        3        0        0        3
61561 tcl-tclex                          	       0        7        6        1        0
61562 tcl-tclreadline                    	       0       30       27        3        0
61563 tcl-thread                         	       0        4        4        0        0
61564 tcl-tk                             	       0        1        0        0        1
61565 tcl-tls                            	       0       52        1        2       49
61566 tcl-trf                            	       0        7        0        0        7
61567 tcl-trf-doc                        	       0        2        0        0        2
61568 tcl-ttkthemes                      	       0       18        0        0       18
61569 tcl-udp                            	       0        6        0        0        6
61570 tcl-vfs                            	       0       11        1        0       10
61571 tcl-vtk6                           	       0        1        1        0        0
61572 tcl-xmlrpc                         	       0        2        0        0        2
61573 tcl-xpa                            	       0       14        0        0       14
61574 tcl3270                            	       0        2        2        0        0
61575 tcl8.4                             	       0        2        2        0        0
61576 tcl8.4-doc                         	       0        2        0        0        2
61577 tcl8.5-doc                         	       0        1        0        0        1
61578 tcl8.6-doc                         	       0       24        0        0       24
61579 tcl8.6-tdbc                        	       0        5        5        0        0
61580 tcl8.6-tdbc-mysql                  	       0        1        1        0        0
61581 tcl8.6-tdbc-odbc                   	       0        4        4        0        0
61582 tcl8.6-tdbc-postgres               	       0        2        2        0        0
61583 tcl8.6-tdbc-sqlite3                	       0        1        0        0        1
61584 tcl9.0                             	       0        3        3        0        0
61585 tcl9.0-dev                         	       0        1        1        0        0
61586 tcl9.0-doc                         	       0        2        0        0        2
61587 tclcl-dev                          	       0        1        0        0        1
61588 tclcurl                            	       0        9        9        0        0
61589 tclfitsy                           	       0       11        0        0       11
61590 tclgeoip                           	       0        2        2        0        0
61591 tclicq                             	       0        1        1        0        0
61592 tcliis                             	       0        6        0        0        6
61593 tcllib-critcl                      	       0        8        0        0        8
61594 tclodbc                            	       0        3        3        0        0
61595 tclparser                          	       0        1        1        0        0
61596 tclspice                           	       0       10        0        0       10
61597 tclx8.4                            	       0        9        9        0        0
61598 tclx8.4-dev                        	       0        1        1        0        0
61599 tclx8.4-doc                        	       0        5        0        0        5
61600 tclxapian                          	       0        1        1        0        0
61601 tclxml                             	       0       14        1        0       13
61602 tclxml-dev                         	       0        1        1        0        0
61603 tcm-doc                            	       0        3        0        0        3
61604 tcpflow-nox                        	       0        2        2        0        0
61605 tcpreen                            	       0        2        2        0        0
61606 tcpslice                           	       0        2        2        0        0
61607 tcputils                           	       0        7        6        1        0
61608 tcvt                               	       0        1        1        0        0
61609 tdc                                	       0        6        6        0        0
61610 tde-core-trinity                   	       0       25        0        0       25
61611 tde-devel-trinity                  	       0        1        0        0        1
61612 tde-guidance-trinity               	       0        2        2        0        0
61613 tde-i18n-be-trinity                	       0        1        1        0        0
61614 tde-i18n-cs-trinity                	       0        2        0        0        2
61615 tde-i18n-el-trinity                	       0        1        0        0        1
61616 tde-i18n-engb-trinity              	       0        1        0        0        1
61617 tde-i18n-es-trinity                	       0        1        0        0        1
61618 tde-i18n-fr-trinity                	       0        1        0        0        1
61619 tde-i18n-hu-trinity                	       0        1        0        0        1
61620 tde-i18n-it-trinity                	       0        1        0        0        1
61621 tde-i18n-pl-trinity                	       0        2        1        0        1
61622 tde-i18n-ru-trinity                	       0        3        0        0        3
61623 tde-i18n-sk-trinity                	       0        1        0        0        1
61624 tde-icons-mono-trinity             	       0       26        0        0       26
61625 tde-style-baghira-trinity          	       0        2        2        0        0
61626 tde-style-domino-trinity           	       0        3        3        0        0
61627 tde-style-ia-ora-trinity           	       0        2        2        0        0
61628 tde-style-lipstik-trinity          	       0        2        2        0        0
61629 tde-style-polyester-trinity        	       0        1        1        0        0
61630 tde-style-q4oststyle02-trinity     	       0        2        2        0        0
61631 tde-style-qtcurve-trinity          	       0        6        6        0        0
61632 tde-systemsettings-trinity         	       0        3        3        0        0
61633 tde-trinity                        	       0       20        0        0       20
61634 tdeaccessibility-trinity           	       0       23        0        0       23
61635 tdeaccessibility-trinity-doc-html  	       0        2        0        0        2
61636 tdeaddons-trinity                  	       0       22        0        0       22
61637 tdeaddons-trinity-doc-html         	       0        2        0        0        2
61638 tdeadmin-tdefile-plugins-trinity   	       0       26       24        2        0
61639 tdeadmin-trinity                   	       0       25        0        0       25
61640 tdeadmin-trinity-doc-html          	       0        2        0        0        2
61641 tdeartwork-emoticons-trinity       	       0       26        0        0       26
61642 tdeartwork-misc-trinity            	       0       27        0        0       27
61643 tdeartwork-style-trinity           	       0       26       24        2        0
61644 tdeartwork-theme-icon-trinity      	       0       28        0        0       28
61645 tdeartwork-theme-window-trinity    	       0       26       24        2        0
61646 tdeartwork-trinity                 	       0       25        0        0       25
61647 tdeartwork-trinity-dbg             	       0        1        1        0        0
61648 tdebase-data-trinity               	       0       37        0        0       37
61649 tdebase-runtime-data-common-trinity	       0       36        0        0       36
61650 tdebase-trinity                    	       0       33        0        0       33
61651 tdebase-trinity-dbg                	       0        1        1        0        0
61652 tdebase-trinity-dev                	       0        1        1        0        0
61653 tdebase-trinity-doc-html           	       0        2        0        0        2
61654 tdebluez-trinity                   	       0        2        2        0        0
61655 tdecachegrind-converters-trinity   	       0        1        1        0        0
61656 tdecachegrind-trinity              	       0        2        2        0        0
61657 tdeedu-data-trinity                	       0       24        0        0       24
61658 tdeedu-trinity                     	       0       20        0        0       20
61659 tdeedu-trinity-doc-html            	       0        2        0        0        2
61660 tdefifteen-trinity                 	       0       22        0        0       22
61661 tdefilereplace-trinity             	       0       25       23        2        0
61662 tdegames-card-data-trinity         	       0       24        0        0       24
61663 tdegames-trinity                   	       0       24        0        0       24
61664 tdegames-trinity-doc-html          	       0        1        0        0        1
61665 tdegraphics-trinity                	       0       23        0        0       23
61666 tdegraphics-trinity-doc-html       	       0        2        0        0        2
61667 tdeio-appinfo-trinity              	       0        1        1        0        0
61668 tdeio-apt-trinity                  	       0        2        2        0        0
61669 tdeio-ftps-trinity                 	       0        2        2        0        0
61670 tdeio-gopher-trinity               	       0        2        2        0        0
61671 tdeio-locate-trinity               	       0        2        2        0        0
61672 tdeio-umountwrapper-trinity        	       0        2        2        0        0
61673 tdelibs-trinity                    	       0       30        0        0       30
61674 tdelibs-trinity-dbg                	       0        2        2        0        0
61675 tdelibs14-imagetops-trinity        	       0        1        1        0        0
61676 tdelibs14-trinity-dev              	       0        1        1        0        0
61677 tdelibs14-trinity-doc              	       0        2        0        0        2
61678 tdelirc-trinity                    	       0       23       21        2        0
61679 tdemid-trinity                     	       0       23       21        2        0
61680 tdemultimedia-kappfinder-data-trinity	       0       25        0        0       25
61681 tdemultimedia-trinity              	       0       23        0        0       23
61682 tdemultimedia-trinity-doc-html     	       0        2        0        0        2
61683 tdenetwork-tdefile-plugins-trinity 	       0       26       24        2        0
61684 tdenetwork-trinity                 	       0       25        0        0       25
61685 tdenetwork-trinity-dbg             	       0        1        1        0        0
61686 tdenetwork-trinity-doc-html        	       0        2        0        0        2
61687 tdepacman-trinity                  	       0        1        1        0        0
61688 tdepim-tdefile-plugins-trinity     	       0       26       24        2        0
61689 tdepim-tdeio-plugins-trinity       	       0       29       27        2        0
61690 tdepim-tderesources-trinity        	       0       30       28        2        0
61691 tdepim-trinity                     	       0       24        0        0       24
61692 tdepim-trinity-doc-html            	       0        1        0        0        1
61693 tdepim-wizards-trinity             	       0       24       22        2        0
61694 tdescreensaver-trinity             	       0       27       25        2        0
61695 tdescreensaver-xsavers-extra-trinity	       0        2        0        0        2
61696 tdescreensaver-xsavers-trinity     	       0       27       25        2        0
61697 tdescreensaver-xsavers-webcollage-trinity	       0        1        0        0        1
61698 tdesdk-misc-trinity                	       0        1        1        0        0
61699 tdesdk-scripts-trinity             	       0        1        1        0        0
61700 tdesdk-tdefile-plugins-trinity     	       0        2        2        0        0
61701 tdesdk-tdeio-plugins-trinity       	       0        1        1        0        0
61702 tdesdk-trinity                     	       0        1        0        0        1
61703 tdesdk-trinity-doc-html            	       0        2        0        0        2
61704 tdessh-trinity                     	       0       24       22        2        0
61705 tdesshaskpass-trinity              	       0        3        3        0        0
61706 tdesshaskpass-trinity-dbgsym       	       0        1        1        0        0
61707 tdesudo-trinity                    	       0        5        5        0        0
61708 tdesvn-tdeio-plugins-trinity       	       0        1        1        0        0
61709 tdesvn-trinity                     	       0        1        1        0        0
61710 tdetoys-trinity                    	       0       24        0        0       24
61711 tdetoys-trinity-doc-html           	       0        1        0        0        1
61712 tdeunittest-trinity                	       0        1        1        0        0
61713 tdeutils-trinity                   	       0       23        0        0       23
61714 tdeutils-trinity-doc-html          	       0        1        0        0        1
61715 tdevelop-data-trinity              	       0        3        3        0        0
61716 tdevelop-trinity                   	       0        3        3        0        0
61717 tdevelop-trinity-doc               	       0        3        3        0        0
61718 tdewallpapers-trinity              	       0       28        0        0       28
61719 tdewebdev-trinity                  	       0       25        0        0       25
61720 tdewebdev-trinity-doc-html         	       0        1        0        0        1
61721 tdfsb                              	       0        8        8        0        0
61722 tdmtheme-trinity                   	       0        4        4        0        0
61723 tdom                               	       0       32        1        0       31
61724 tdom-dev                           	       0        1        0        1        0
61725 tea-data                           	       0       13        0        0       13
61726 teams-insiders                     	       0        2        2        0        0
61727 teamviewer-host                    	       0        2        2        0        0
61728 tecla                              	       0       21       17        4        0
61729 tecnoballz                         	       0        8        8        0        0
61730 tecnoballz-data                    	       0        8        0        0        8
61731 ted                                	       0        1        1        0        0
61732 ted-common                         	       0        1        0        0        1
61733 tedia2sql                          	       0        1        1        0        0
61734 teensy-loader-cli                  	       0        6        6        0        0
61735 teeworlds                          	       0       11       11        0        0
61736 teeworlds-data                     	       0       13        0        0       13
61737 teeworlds-server                   	       0        3        3        0        0
61738 teg                                	       0        4        4        0        0
61739 tegaki-zinnia-japanese             	       0       15        0        0       15
61740 teighafileconverter                	       0        1        1        0        0
61741 teilfeil                           	       0        1        1        0        0
61742 teilfeil-cli                       	       0        1        1        0        0
61743 teilfeil-common                    	       0        1        1        0        0
61744 teilfeil-libsodium                 	       0        1        1        0        0
61745 telegnome                          	       0        1        1        0        0
61746 telegram                           	       0        1        0        0        1
61747 telegram-send                      	       0        2        2        0        0
61748 telepathy-accounts-signon          	       0       11        6        0        5
61749 telepathy-gabble                   	       0       12       12        0        0
61750 telepathy-haze                     	       0       19       19        0        0
61751 telepathy-idle                     	       0       22       22        0        0
61752 telepathy-logger                   	       0       26       26        0        0
61753 telepathy-rakia                    	       0        9        9        0        0
61754 telepathy-salut                    	       0      275      271        4        0
61755 teleport                           	       0        1        1        0        0
61756 telepresence                       	       0        1        1        0        0
61757 telescope                          	       0        1        1        0        0
61758 tellico                            	       0       12       11        1        0
61759 tellico-data                       	       0       12        0        0       12
61760 tellico-data-trinity               	       0        2        0        0        2
61761 tellico-doc                        	       0       10        0        0       10
61762 tellico-scripts                    	       0        9        0        0        9
61763 tellico-scripts-trinity            	       0        2        0        0        2
61764 tellico-trinity                    	       0        2        2        0        0
61765 telxcc                             	       0        1        1        0        0
61766 tempest-for-eliza                  	       0        2        2        0        0
61767 temurin-11-jdk                     	       0        3        3        0        0
61768 temurin-11-jre                     	       0        1        1        0        0
61769 temurin-17-jdk                     	       0        8        8        0        0
61770 temurin-17-jre                     	       0        4        4        0        0
61771 temurin-19-jdk                     	       0        1        1        0        0
61772 temurin-20-jdk                     	       0        1        1        0        0
61773 temurin-21-jre                     	       0        2        2        0        0
61774 temurin-22-jdk                     	       0        2        2        0        0
61775 temurin-23-jdk                     	       0        1        1        0        0
61776 temurin-8-jdk                      	       0        2        2        0        0
61777 temurin-8-jre                      	       0        1        1        0        0
61778 tenace                             	       0        9        9        0        0
61779 tenmado                            	       0        2        2        0        0
61780 tennix                             	       0        1        1        0        0
61781 tenshi                             	       0        1        1        0        0
61782 terabox                            	       0        1        1        0        0
61783 teragonaudio-plugins-lv2           	       0        1        1        0        0
61784 tercpp                             	       0        1        1        0        0
61785 termdebug                          	       0        1        1        0        0
61786 terminal.app                       	       0        6        6        0        0
61787 termineter                         	       0        1        1        0        0
61788 terminfo                           	       0        6        6        0        0
61789 terminus                           	       0        9        9        0        0
61790 termius-app                        	       0        1        0        0        1
61791 termrec                            	       0        5        5        0        0
61792 termscp                            	       0        2        2        0        0
61793 termshark                          	       0        5        5        0        0
61794 terraform                          	       0        6        6        0        0
61795 terraform-ls                       	       0        3        3        0        0
61796 terraform-stacks-cli               	       0        1        1        0        0
61797 terraintool                        	       0        1        1        0        0
61798 teseq                              	       0        4        4        0        0
61799 tesseract-build-deps               	       0        1        0        0        1
61800 tesseract-lang-build-deps          	       0        1        0        0        1
61801 tesseract-ocr-afr                  	       0        8        0        0        8
61802 tesseract-ocr-all                  	       0        8        0        0        8
61803 tesseract-ocr-amh                  	       0        8        0        0        8
61804 tesseract-ocr-ara                  	       0        9        0        0        9
61805 tesseract-ocr-asm                  	       0        8        0        0        8
61806 tesseract-ocr-aze                  	       0        9        0        0        9
61807 tesseract-ocr-aze-cyrl             	       0        9        0        0        9
61808 tesseract-ocr-bel                  	       0        8        0        0        8
61809 tesseract-ocr-ben                  	       0        8        0        0        8
61810 tesseract-ocr-bod                  	       0        8        0        0        8
61811 tesseract-ocr-bos                  	       0        8        0        0        8
61812 tesseract-ocr-bre                  	       0        7        0        0        7
61813 tesseract-ocr-bul                  	       0        8        0        0        8
61814 tesseract-ocr-cat                  	       0        8        0        0        8
61815 tesseract-ocr-ceb                  	       0        8        0        0        8
61816 tesseract-ocr-ces                  	       0       14        0        0       14
61817 tesseract-ocr-chi-sim              	       0       10        0        0       10
61818 tesseract-ocr-chi-sim-vert         	       0        9        0        0        9
61819 tesseract-ocr-chi-tra              	       0       10        0        0       10
61820 tesseract-ocr-chi-tra-vert         	       0        9        0        0        9
61821 tesseract-ocr-chr                  	       0        8        0        0        8
61822 tesseract-ocr-cos                  	       0        7        0        0        7
61823 tesseract-ocr-cym                  	       0        8        0        0        8
61824 tesseract-ocr-dan                  	       0        9        0        0        9
61825 tesseract-ocr-dan-frak             	       0        1        0        0        1
61826 tesseract-ocr-data                 	       0        1        0        0        1
61827 tesseract-ocr-deu                  	       0       57        0        0       57
61828 tesseract-ocr-deu-f                	       0        1        0        0        1
61829 tesseract-ocr-deu-frak             	       0        1        0        0        1
61830 tesseract-ocr-div                  	       0        7        0        0        7
61831 tesseract-ocr-dzo                  	       0        8        0        0        8
61832 tesseract-ocr-ell                  	       0       11        0        0       11
61833 tesseract-ocr-eng                  	       0      255        0        0      255
61834 tesseract-ocr-enm                  	       0       10        0        0       10
61835 tesseract-ocr-epo                  	       0        8        0        0        8
61836 tesseract-ocr-equ                  	       0       13        0        0       13
61837 tesseract-ocr-est                  	       0        8        0        0        8
61838 tesseract-ocr-eus                  	       0        8        0        0        8
61839 tesseract-ocr-fao                  	       0        7        0        0        7
61840 tesseract-ocr-fas                  	       0        8        0        0        8
61841 tesseract-ocr-fil                  	       0        7        0        0        7
61842 tesseract-ocr-fin                  	       0        9        0        0        9
61843 tesseract-ocr-fra                  	       0       32        0        0       32
61844 tesseract-ocr-frk                  	       0       13        0        0       13
61845 tesseract-ocr-frm                  	       0        9        0        0        9
61846 tesseract-ocr-fry                  	       0        8        0        0        8
61847 tesseract-ocr-gla                  	       0        7        0        0        7
61848 tesseract-ocr-gle                  	       0        9        0        0        9
61849 tesseract-ocr-gle-uncial           	       0        1        0        0        1
61850 tesseract-ocr-glg                  	       0        9        0        0        9
61851 tesseract-ocr-grc                  	       0        9        0        0        9
61852 tesseract-ocr-guj                  	       0        8        0        0        8
61853 tesseract-ocr-hat                  	       0        8        0        0        8
61854 tesseract-ocr-heb                  	       0        9        0        0        9
61855 tesseract-ocr-hin                  	       0        9        0        0        9
61856 tesseract-ocr-hrv                  	       0        8        0        0        8
61857 tesseract-ocr-hun                  	       0        8        0        0        8
61858 tesseract-ocr-hye                  	       0        7        0        0        7
61859 tesseract-ocr-iku                  	       0        8        0        0        8
61860 tesseract-ocr-ind                  	       0        8        0        0        8
61861 tesseract-ocr-isl                  	       0        8        0        0        8
61862 tesseract-ocr-ita                  	       0       20        0        0       20
61863 tesseract-ocr-ita-old              	       0       11        0        0       11
61864 tesseract-ocr-jav                  	       0        8        0        0        8
61865 tesseract-ocr-jpn                  	       0       16        0        0       16
61866 tesseract-ocr-jpn-vert             	       0       10        0        0       10
61867 tesseract-ocr-kan                  	       0        8        0        0        8
61868 tesseract-ocr-kat                  	       0        8        0        0        8
61869 tesseract-ocr-kat-old              	       0        8        0        0        8
61870 tesseract-ocr-kaz                  	       0        8        0        0        8
61871 tesseract-ocr-khm                  	       0        8        0        0        8
61872 tesseract-ocr-kir                  	       0        8        0        0        8
61873 tesseract-ocr-kmr                  	       0        7        0        0        7
61874 tesseract-ocr-kor                  	       0        8        0        0        8
61875 tesseract-ocr-kor-vert             	       0        7        0        0        7
61876 tesseract-ocr-kur                  	       0        1        0        0        1
61877 tesseract-ocr-lao                  	       0        8        0        0        8
61878 tesseract-ocr-lat                  	       0       14        0        0       14
61879 tesseract-ocr-lav                  	       0        8        0        0        8
61880 tesseract-ocr-lit                  	       0        8        0        0        8
61881 tesseract-ocr-ltz                  	       0        7        0        0        7
61882 tesseract-ocr-mal                  	       0        8        0        0        8
61883 tesseract-ocr-mar                  	       0        8        0        0        8
61884 tesseract-ocr-mkd                  	       0        8        0        0        8
61885 tesseract-ocr-mlt                  	       0        8        0        0        8
61886 tesseract-ocr-mon                  	       0        7        0        0        7
61887 tesseract-ocr-mri                  	       0        7        0        0        7
61888 tesseract-ocr-msa                  	       0        8        0        0        8
61889 tesseract-ocr-mya                  	       0        8        0        0        8
61890 tesseract-ocr-nep                  	       0        8        0        0        8
61891 tesseract-ocr-nld                  	       0       16        0        0       16
61892 tesseract-ocr-nor                  	       0        8        0        0        8
61893 tesseract-ocr-oci                  	       0        7        0        0        7
61894 tesseract-ocr-ori                  	       0        8        0        0        8
61895 tesseract-ocr-osd                  	       0      254        0        0      254
61896 tesseract-ocr-pan                  	       0        8        0        0        8
61897 tesseract-ocr-pol                  	       0       17        0        0       17
61898 tesseract-ocr-por                  	       0        9        0        0        9
61899 tesseract-ocr-pus                  	       0        8        0        0        8
61900 tesseract-ocr-que                  	       0        7        0        0        7
61901 tesseract-ocr-ron                  	       0       11        0        0       11
61902 tesseract-ocr-rus                  	       0       21        0        0       21
61903 tesseract-ocr-san                  	       0        8        0        0        8
61904 tesseract-ocr-script-arab          	       0        7        0        0        7
61905 tesseract-ocr-script-armn          	       0        7        0        0        7
61906 tesseract-ocr-script-beng          	       0        7        0        0        7
61907 tesseract-ocr-script-cans          	       0        8        0        0        8
61908 tesseract-ocr-script-cher          	       0        8        0        0        8
61909 tesseract-ocr-script-cyrl          	       0       10        0        0       10
61910 tesseract-ocr-script-deva          	       0        7        0        0        7
61911 tesseract-ocr-script-ethi          	       0        7        0        0        7
61912 tesseract-ocr-script-frak          	       0        8        0        0        8
61913 tesseract-ocr-script-geor          	       0        7        0        0        7
61914 tesseract-ocr-script-grek          	       0        9        0        0        9
61915 tesseract-ocr-script-gujr          	       0        7        0        0        7
61916 tesseract-ocr-script-guru          	       0        7        0        0        7
61917 tesseract-ocr-script-hang          	       0        7        0        0        7
61918 tesseract-ocr-script-hang-vert     	       0        7        0        0        7
61919 tesseract-ocr-script-hans          	       0        8        0        0        8
61920 tesseract-ocr-script-hans-vert     	       0        8        0        0        8
61921 tesseract-ocr-script-hant          	       0        8        0        0        8
61922 tesseract-ocr-script-hant-vert     	       0        8        0        0        8
61923 tesseract-ocr-script-hebr          	       0        8        0        0        8
61924 tesseract-ocr-script-jpan          	       0       10        0        0       10
61925 tesseract-ocr-script-jpan-vert     	       0       10        0        0       10
61926 tesseract-ocr-script-khmr          	       0        7        0        0        7
61927 tesseract-ocr-script-knda          	       0        7        0        0        7
61928 tesseract-ocr-script-laoo          	       0        7        0        0        7
61929 tesseract-ocr-script-latn          	       0       16        0        0       16
61930 tesseract-ocr-script-mlym          	       0        7        0        0        7
61931 tesseract-ocr-script-mymr          	       0        7        0        0        7
61932 tesseract-ocr-script-orya          	       0        7        0        0        7
61933 tesseract-ocr-script-sinh          	       0        7        0        0        7
61934 tesseract-ocr-script-syrc          	       0        7        0        0        7
61935 tesseract-ocr-script-taml          	       0        7        0        0        7
61936 tesseract-ocr-script-telu          	       0        7        0        0        7
61937 tesseract-ocr-script-thaa          	       0        7        0        0        7
61938 tesseract-ocr-script-thai          	       0        7        0        0        7
61939 tesseract-ocr-script-tibt          	       0        7        0        0        7
61940 tesseract-ocr-script-viet          	       0        7        0        0        7
61941 tesseract-ocr-sin                  	       0        8        0        0        8
61942 tesseract-ocr-slk                  	       0       10        0        0       10
61943 tesseract-ocr-slk-frak             	       0        2        0        0        2
61944 tesseract-ocr-slv                  	       0        8        0        0        8
61945 tesseract-ocr-snd                  	       0        7        0        0        7
61946 tesseract-ocr-spa                  	       0       25        0        0       25
61947 tesseract-ocr-spa-old              	       0       14        0        0       14
61948 tesseract-ocr-sqi                  	       0        8        0        0        8
61949 tesseract-ocr-srp                  	       0        8        0        0        8
61950 tesseract-ocr-srp-latn             	       0        8        0        0        8
61951 tesseract-ocr-sun                  	       0        7        0        0        7
61952 tesseract-ocr-swa                  	       0        8        0        0        8
61953 tesseract-ocr-swe                  	       0       12        0        0       12
61954 tesseract-ocr-syr                  	       0        8        0        0        8
61955 tesseract-ocr-tam                  	       0        8        0        0        8
61956 tesseract-ocr-tat                  	       0        7        0        0        7
61957 tesseract-ocr-tel                  	       0        8        0        0        8
61958 tesseract-ocr-tgk                  	       0        8        0        0        8
61959 tesseract-ocr-tgl                  	       0        1        0        0        1
61960 tesseract-ocr-tha                  	       0        9        0        0        9
61961 tesseract-ocr-tir                  	       0        8        0        0        8
61962 tesseract-ocr-ton                  	       0        7        0        0        7
61963 tesseract-ocr-tur                  	       0        8        0        0        8
61964 tesseract-ocr-uig                  	       0        8        0        0        8
61965 tesseract-ocr-ukr                  	       0       10        0        0       10
61966 tesseract-ocr-urd                  	       0        8        0        0        8
61967 tesseract-ocr-uzb                  	       0        8        0        0        8
61968 tesseract-ocr-uzb-cyrl             	       0        8        0        0        8
61969 tesseract-ocr-vie                  	       0        9        0        0        9
61970 tesseract-ocr-yid                  	       0        9        0        0        9
61971 tesseract-ocr-yor                  	       0        7        0        0        7
61972 tesseract2djvused                  	       0        1        1        0        0
61973 testdisk-dbg                       	       0        2        2        0        0
61974 testng                             	       0       74        0        0       74
61975 testng-doc                         	       0        1        0        0        1
61976 testu01-bin                        	       0        1        1        0        0
61977 testu01-data                       	       0        1        0        0        1
61978 tetex-base                         	       0        2        0        0        2
61979 tetex-bin                          	       0        1        0        0        1
61980 tetex-brev                         	       0        2        0        0        2
61981 tetex-doc-nonfree                  	       0        1        0        0        1
61982 tetgen                             	       0        2        2        0        0
61983 tetraproc                          	       0        1        1        0        0
61984 tetrinet-client                    	       0       17       17        0        0
61985 tetrinet-server                    	       0        1        1        0        0
61986 tetrinetx                          	       0        9        9        0        0
61987 tetzle                             	       0       11       11        0        0
61988 tex-gyre                           	       0      466        0        0      466
61989 tex-pscyr                          	       0        1        0        0        1
61990 tex4ht                             	       0        3        1        0        2
61991 tex4ht-common                      	       0        1        0        0        1
61992 texinfo-doc-nonfree                	       0        5        0        0        5
61993 texlive                            	       0      239        0        0      239
61994 texlive-binaries-dbgsym            	       0        1        1        0        0
61995 texlive-doc-ar                     	       0        1        0        0        1
61996 texlive-doc-bg                     	       0        1        0        0        1
61997 texlive-doc-cs+sk                  	       0        1        0        0        1
61998 texlive-doc-de                     	       0        2        0        0        2
61999 texlive-doc-en                     	       0        2        0        0        2
62000 texlive-doc-es                     	       0        1        0        0        1
62001 texlive-doc-fi                     	       0        1        0        0        1
62002 texlive-doc-fr                     	       0        1        0        0        1
62003 texlive-doc-it                     	       0        1        0        0        1
62004 texlive-doc-ja                     	       0        1        0        0        1
62005 texlive-doc-ko                     	       0        1        0        0        1
62006 texlive-doc-mn                     	       0        1        0        0        1
62007 texlive-doc-nl                     	       0        1        0        0        1
62008 texlive-doc-pl                     	       0        1        0        0        1
62009 texlive-doc-pt                     	       0        1        0        0        1
62010 texlive-doc-rs                     	       0        1        0        0        1
62011 texlive-doc-ru                     	       0        1        0        0        1
62012 texlive-doc-si                     	       0        1        0        0        1
62013 texlive-doc-th                     	       0        1        0        0        1
62014 texlive-doc-tr                     	       0        1        0        0        1
62015 texlive-doc-uk                     	       0        1        0        0        1
62016 texlive-doc-vi                     	       0        1        0        0        1
62017 texlive-doc-zh                     	       0        2        0        0        2
62018 texlive-fonts-extra-doc            	       0      123        0        0      123
62019 texlive-fonts-extra-links          	       0      162        0        0      162
62020 texlive-fonts-recommended-doc      	       0      182        0        0      182
62021 texlive-full                       	       0       78        0        0       78
62022 texlive-generic-extra              	       0       27        0        0       27
62023 texlive-generic-recommended        	       0       40        0        0       40
62024 texlive-htmlxml                    	       0       30        6        0       24
62025 texlive-humanities-doc             	       0       88        0        0       88
62026 texlive-lang-african               	       0        4        0        0        4
62027 texlive-lang-all                   	       0       14        0        0       14
62028 texlive-lang-arabic                	       0       89        0        0       89
62029 texlive-lang-armenian              	       0        2        0        0        2
62030 texlive-lang-chinese               	       0       98        0        0       98
62031 texlive-lang-croatian              	       0        3        0        0        3
62032 texlive-lang-danish                	       0        3        0        0        3
62033 texlive-lang-dutch                 	       0        3        0        0        3
62034 texlive-lang-english               	       0      145        0        0      145
62035 texlive-lang-european              	       0      114        0        0      114
62036 texlive-lang-finnish               	       0        3        0        0        3
62037 texlive-lang-german                	       0      146        0        0      146
62038 texlive-lang-hebrew                	       0        2        0        0        2
62039 texlive-lang-hungarian             	       0        3        0        0        3
62040 texlive-lang-indic                 	       0        3        3        0        0
62041 texlive-lang-italian               	       0       94        0        0       94
62042 texlive-lang-latin                 	       0        3        0        0        3
62043 texlive-lang-latvian               	       0        2        0        0        2
62044 texlive-lang-lithuanian            	       0        2        0        0        2
62045 texlive-lang-mongolian             	       0        3        0        0        3
62046 texlive-lang-norwegian             	       0        3        0        0        3
62047 texlive-lang-other                 	       0      100        7        0       93
62048 texlive-lang-portuguese            	       0       91        0        0       91
62049 texlive-lang-spanish               	       0      110        0        0      110
62050 texlive-lang-swedish               	       0        3        0        0        3
62051 texlive-lang-tibetan               	       0        2        0        0        2
62052 texlive-lang-vietnamese            	       0        3        0        0        3
62053 texlive-latex-base-doc             	       0      198        0        0      198
62054 texlive-latex-extra-doc            	       0      158        0        0      158
62055 texlive-latex-recommended-doc      	       0      178        0        0      178
62056 texlive-latex3                     	       0        1        0        0        1
62057 texlive-local                      	       0        2        0        0        2
62058 texlive-math-extra                 	       0        3        3        0        0
62059 texlive-metapost                   	       0      122        0        0      122
62060 texlive-omega                      	       0        6        3        0        3
62061 texlive-pictures-doc               	       0      168        0        0      168
62062 texlive-plain-extra                	       0        9        0        0        9
62063 texlive-pstricks-doc               	       0      168        0        0      168
62064 texlive-publishers                 	       0      119        0        0      119
62065 texlive-science-doc                	       0      124        0        0      124
62066 texmacs                            	       0        1        1        0        0
62067 texmacs-common                     	       0        2        0        0        2
62068 texmacs-extra-fonts                	       0        1        0        0        1
62069 texpower                           	       0        2        0        0        2
62070 texpower-examples                  	       0        2        0        0        2
62071 texpower-manual                    	       0        3        0        0        3
62072 texstudio-doc                      	       0       66        0        0       66
62073 texstudio-l10n                     	       0       66        1        0       65
62074 textql                             	       0        1        1        0        0
62075 texturepacker                      	       0        1        1        0        0
62076 texworks                           	       0       14       13        1        0
62077 texworks-help-en                   	       0       13        0        0       13
62078 texworks-help-fr                   	       0        1        0        0        1
62079 texworks-scripting-lua             	       0        4        3        1        0
62080 texworks-scripting-python          	       0        4        3        1        0
62081 tf                                 	       0        7        7        0        0
62082 tf-tools                           	       0        2        2        0        0
62083 tf2-tools                          	       0        2        2        0        0
62084 tf5                                	       0        7        7        0        0
62085 tfortune                           	       0        5        5        0        0
62086 tfortunes                          	       0        5        0        0        5
62087 tg-timer                           	       0        1        1        0        0
62088 tgif                               	       0        7        7        0        0
62089 tgn                                	       0        1        1        0        0
62090 thailatex                          	       0        2        0        0        2
62091 the                                	       0        4        4        0        0
62092 the-doc                            	       0        2        0        0        2
62093 theano-doc                         	       0        1        0        0        1
62094 thefuck                            	       0        6        6        0        0
62095 theli                              	       0        1        1        0        0
62096 themedetector                      	       0        1        1        0        0
62097 themole                            	       0        1        1        0        0
62098 therion                            	       0        1        1        0        0
62099 therion-viewer                     	       0        1        1        0        0
62100 theseus                            	       0        1        1        0        0
62101 thin                               	       0        3        3        0        0
62102 threeb-imagej                      	       0        1        1        0        0
62103 thrift-compiler                    	       0       10        9        1        0
62104 thrust                             	       0        2        2        0        0
62105 thunar-build-deps                  	       0        1        0        0        1
62106 thunar-dbgsym                      	       0        1        1        0        0
62107 thunar-dropbox-plugin              	       0        8        2        0        6
62108 thunar-megasync                    	       0        4        3        0        1
62109 thunar-volman-build-deps           	       0        1        0        0        1
62110 thunarx-python                     	       0        4        1        0        3
62111 thunderbird-bidiui                 	       0        4        0        0        4
62112 thunderbird-l10n-af                	       0        1        1        0        0
62113 thunderbird-l10n-all               	       0        1        0        0        1
62114 thunderbird-l10n-ar                	       0        1        1        0        0
62115 thunderbird-l10n-ast               	       0        1        1        0        0
62116 thunderbird-l10n-be                	       0        1        1        0        0
62117 thunderbird-l10n-bg                	       0        1        1        0        0
62118 thunderbird-l10n-br                	       0        1        1        0        0
62119 thunderbird-l10n-ca                	       0        3        3        0        0
62120 thunderbird-l10n-cak               	       0        1        1        0        0
62121 thunderbird-l10n-cy                	       0        1        1        0        0
62122 thunderbird-l10n-da                	       0        2        2        0        0
62123 thunderbird-l10n-dsb               	       0        1        1        0        0
62124 thunderbird-l10n-el                	       0        1        1        0        0
62125 thunderbird-l10n-en-ca             	       0        4        4        0        0
62126 thunderbird-l10n-es-ar             	       0        5        4        1        0
62127 thunderbird-l10n-es-mx             	       0        3        3        0        0
62128 thunderbird-l10n-et                	       0        2        2        0        0
62129 thunderbird-l10n-eu                	       0        1        1        0        0
62130 thunderbird-l10n-fi                	       0        1        1        0        0
62131 thunderbird-l10n-fy-nl             	       0        1        1        0        0
62132 thunderbird-l10n-ga-ie             	       0        1        1        0        0
62133 thunderbird-l10n-gd                	       0        1        1        0        0
62134 thunderbird-l10n-gl                	       0        1        1        0        0
62135 thunderbird-l10n-he                	       0        1        1        0        0
62136 thunderbird-l10n-hr                	       0        1        1        0        0
62137 thunderbird-l10n-hsb               	       0        1        1        0        0
62138 thunderbird-l10n-hu                	       0        3        3        0        0
62139 thunderbird-l10n-hy-am             	       0        1        1        0        0
62140 thunderbird-l10n-id                	       0        1        1        0        0
62141 thunderbird-l10n-is                	       0        1        1        0        0
62142 thunderbird-l10n-ja                	       0        2        2        0        0
62143 thunderbird-l10n-ka                	       0        1        1        0        0
62144 thunderbird-l10n-kab               	       0        1        1        0        0
62145 thunderbird-l10n-kk                	       0        1        1        0        0
62146 thunderbird-l10n-ko                	       0        1        1        0        0
62147 thunderbird-l10n-lt                	       0        1        1        0        0
62148 thunderbird-l10n-lv                	       0        1        1        0        0
62149 thunderbird-l10n-ms                	       0        1        1        0        0
62150 thunderbird-l10n-nb-no             	       0        1        1        0        0
62151 thunderbird-l10n-nn-no             	       0        1        1        0        0
62152 thunderbird-l10n-pa-in             	       0        1        1        0        0
62153 thunderbird-l10n-pt-br             	       0        4        4        0        0
62154 thunderbird-l10n-pt-pt             	       0        1        1        0        0
62155 thunderbird-l10n-rm                	       0        1        1        0        0
62156 thunderbird-l10n-ro                	       0        2        2        0        0
62157 thunderbird-l10n-sk                	       0        1        1        0        0
62158 thunderbird-l10n-sl                	       0        1        1        0        0
62159 thunderbird-l10n-sq                	       0        1        1        0        0
62160 thunderbird-l10n-sr                	       0        1        1        0        0
62161 thunderbird-l10n-sv-se             	       0        8        8        0        0
62162 thunderbird-l10n-th                	       0        1        1        0        0
62163 thunderbird-l10n-tr                	       0        2        2        0        0
62164 thunderbird-l10n-uk                	       0        1        1        0        0
62165 thunderbird-l10n-uz                	       0        1        1        0        0
62166 thunderbird-l10n-vi                	       0        1        1        0        0
62167 thunderbird-l10n-zh-cn             	       0        3        3        0        0
62168 thunderbird-l10n-zh-tw             	       0        2        2        0        0
62169 thunderbird-mozilla-build          	       0        1        1        0        0
62170 thunderbolt-tools                  	       0        6        5        1        0
62171 thunk-gen                          	       0        1        0        0        1
62172 tic80                              	       0        2        2        0        0
62173 ticgit                             	       0        1        1        0        0
62174 ticker                             	       0        2        2        0        0
62175 tickr                              	       0        1        1        0        0
62176 tidal-hifi                         	       0        2        0        0        2
62177 tidy-doc                           	       0        3        0        0        3
62178 tif22pnm                           	       0        1        1        0        0
62179 tifffile                           	       0        1        1        0        0
62180 tigr-glimmer                       	       0        4        4        0        0
62181 tikzit                             	       0       10       10        0        0
62182 tilde                              	       0        4        4        0        0
62183 tilem-data                         	       0        7        0        0        7
62184 tilemaker                          	       0        1        1        0        0
62185 tilequant                          	       0        1        1        0        0
62186 tilestache                         	       0        1        1        0        0
62187 timbl                              	       0        2        2        0        0
62188 timblserver                        	       0        2        2        0        0
62189 timemachine                        	       0        4        4        0        0
62190 timemon.app                        	       0        2        2        0        0
62191 timeoutd                           	       0        1        1        0        0
62192 timescaledb-tools                  	       0        1        1        0        0
62193 timewarrior                        	       0        8        8        0        0
62194 timezoneconf                       	       0        1        0        0        1
62195 timg                               	       0        5        5        0        0
62196 timgm6mb-soundfont                 	       0     2891        0        0     2891
62197 timidity-daemon                    	       0       32        0        0       32
62198 tine20                             	       0        1        0        0        1
62199 tine20-activesync                  	       0        1        1        0        0
62200 tine20-calendar                    	       0        1        1        0        0
62201 tine20-crm                         	       0        1        1        0        0
62202 tine20-felamimail                  	       0        1        1        0        0
62203 tine20-filemanager                 	       0        1        1        0        0
62204 tine20-humanresources              	       0        1        1        0        0
62205 tine20-inventory                   	       0        1        1        0        0
62206 tine20-libraries                   	       0        1        1        0        0
62207 tine20-sales                       	       0        1        1        0        0
62208 tine20-tasks                       	       0        1        1        0        0
62209 tine20-timetracker                 	       0        1        1        0        0
62210 tine20-tinebase                    	       0        1        1        0        0
62211 tine20-webstack                    	       0        1        0        0        1
62212 tint                               	       0       23       22        1        0
62213 tintin++                           	       0        9        9        0        0
62214 tiny-initramfs                     	       0        3        3        0        0
62215 tiny-initramfs-core                	       0        3        3        0        0
62216 tinyca                             	       0        2        2        0        0
62217 tinycdb                            	       0        7        7        0        0
62218 tinydyndns                         	       0        1        1        0        0
62219 tinyirc                            	       0        3        3        0        0
62220 tinyjsd                            	       0        1        0        0        1
62221 tinymce                            	       0        4        0        0        4
62222 tinymce2                           	       0        2        0        0        2
62223 tinymux                            	       0        4        4        0        0
62224 tinyos-source                      	       0        1        0        0        1
62225 tinyos-tools                       	       0        1        1        0        0
62226 tinyows                            	       0        1        1        0        0
62227 tinyproxy                          	       0       10       10        0        0
62228 tinyscheme                         	       0        2        2        0        0
62229 tinysparql                         	       0        2        0        2        0
62230 tinysshd                           	       0        4        4        0        0
62231 tinyusb-source                     	       0        1        1        0        0
62232 tinywm                             	       0        3        3        0        0
62233 tio                                	       0       11       11        0        0
62234 tipa                               	       0      479        0        0      479
62235 tipa-doc                           	       0        8        0        0        8
62236 tipptrainer                        	       0        1        1        0        0
62237 tipptrainer-data-de                	       0        1        0        0        1
62238 tiptop                             	       0        7        7        0        0
62239 titanion                           	       0        5        5        0        0
62240 titanion-data                      	       0        6        0        0        6
62241 tix-dev                            	       0        2        2        0        0
62242 tixati                             	       0        1        1        0        0
62243 tj3                                	       0        4        4        0        0
62244 tk-brief                           	       0        6        6        0        0
62245 tk-dev                             	       0       73        0        0       73
62246 tk-doc                             	       0       22        0        0       22
62247 tk-fsdialog                        	       0        3        0        0        3
62248 tk-html1                           	       0       15        0        0       15
62249 tk-html3                           	       0       19       19        0        0
62250 tk-itk4                            	       0        5        0        0        5
62251 tk-itk4-dev                        	       0        1        0        1        0
62252 tk-itk4-doc                        	       0        2        0        0        2
62253 tk-mpeg                            	       0       15        0        0       15
62254 tk-table                           	       0       23        0        0       23
62255 tk-tktray                          	       0        3        0        0        3
62256 tk2                                	       0        2        2        0        0
62257 tk5                                	       0        2        2        0        0
62258 tk707                              	       0        3        3        0        0
62259 tk8.4                              	       0        1        1        0        0
62260 tk8.4-doc                          	       0        1        0        0        1
62261 tk8.5-doc                          	       0        3        0        0        3
62262 tk8.6-doc                          	       0       19        0        0       19
62263 tk9.0                              	       0        3        3        0        0
62264 tk9.0-doc                          	       0        2        0        0        2
62265 tkabber-plugins                    	       0        2        0        0        2
62266 tkagif                             	       0        1        0        0        1
62267 tkblt                              	       0       19        0        0       19
62268 tkblt-dev                          	       0        6        6        0        0
62269 tkcalendar                         	       0        2        2        0        0
62270 tkdesk                             	       0        5        5        0        0
62271 tkdnd                              	       0        3        3        0        0
62272 tkdvi                              	       0        1        1        0        0
62273 tkfont                             	       0        1        1        0        0
62274 tkgate                             	       0       15       15        0        0
62275 tkgate-data                        	       0       17        0        0       17
62276 tkgate-doc                         	       0       17        0        0       17
62277 tkinfo                             	       0       17       17        0        0
62278 tkinspect                          	       0        3        2        1        0
62279 tkpng                              	       0        9        9        0        0
62280 tkps                               	       0        1        1        0        0
62281 tkrzw-utils                        	       0        1        1        0        0
62282 tksao                              	       0       13        0        0       13
62283 tksvg                              	       0        2        0        0        2
62284 tktreectrl                         	       0        2        2        0        0
62285 tkvnc                              	       0        1        1        0        0
62286 tkwice                             	       0        1        0        0        1
62287 tla                                	       0        6        6        0        0
62288 tla-doc                            	       0        6        0        0        6
62289 tldextract                         	       0        1        1        0        0
62290 tldr-hs                            	       0        5        5        0        0
62291 tldr-py                            	       0        1        1        0        0
62292 tleds                              	       0        1        1        0        0
62293 tlf                                	       0        6        6        0        0
62294 tlog                               	       0        1        1        0        0
62295 tlsh-tools                         	       0        3        3        0        0
62296 tlslookup                          	       0        1        1        0        0
62297 tlswrapper                         	       0        1        1        0        0
62298 tm-align                           	       0        4        4        0        0
62299 tmake                              	       0        1        1        0        0
62300 tmate                              	       0        4        4        0        0
62301 tmd710-tncsetup                    	       0        2        2        0        0
62302 tmdbv3api                          	       0        2        2        0        0
62303 tmexpand                           	       0        1        1        0        0
62304 tmfs                               	       0        1        1        0        0
62305 tmispell-voikko                    	       0       10       10        0        0
62306 tmux-plugin-manager                	       0       13       13        0        0
62307 tmux-themepack-jimeh               	       0        9        0        0        9
62308 tmuxp                              	       0        8        8        0        0
62309 tmw                                	       0        1        1        0        0
62310 tmw-music                          	       0        1        0        0        1
62311 tmwcetki-archive-keyring           	       0        1        0        0        1
62312 tmx-cups                           	       0        1        1        0        0
62313 tmx-cups-backend                   	       0        1        1        0        0
62314 tnt-wad                            	       0        3        0        0        3
62315 tntdb-mysql4                       	       0        1        1        0        0
62316 tntdb-sqlite4                      	       0        1        1        0        0
62317 tntnet-demos                       	       0        1        1        0        0
62318 tntnet-runtime                     	       0        4        4        0        0
62319 todo.txt-base                      	       0        2        2        0        0
62320 todo.txt-gtd                       	       0        2        2        0        0
62321 todoman                            	       0        1        1        0        0
62322 todotxt-cli                        	       0        7        7        0        0
62323 todour                             	       0        2        2        0        0
62324 tofi                               	       0        6        6        0        0
62325 toga2                              	       0        6        6        0        0
62326 toggldesktop                       	       0        1        1        0        0
62327 togl-demos                         	       0        3        0        0        3
62328 toilet-fonts                       	       0     1294        0        0     1294
62329 tokei                              	       0        2        1        1        0
62330 tokentool                          	       0        2        2        0        0
62331 tokyocabinet-bin                   	       0        3        3        0        0
62332 tokyocabinet-doc                   	       0        2        0        0        2
62333 tokyotyrant                        	       0        1        1        0        0
62334 tokyotyrant-utils                  	       0        1        1        0        0
62335 tomatoes                           	       0        3        3        0        0
62336 tomatoes-data                      	       0        3        0        0        3
62337 tomb                               	       0       17       16        1        0
62338 tomboy                             	       0        6        6        0        0
62339 tomcat-jakartaee-migration         	       0        2        2        0        0
62340 tomcat7                            	       0        1        0        0        1
62341 tomcat7-common                     	       0        1        1        0        0
62342 tomcat8                            	       0        6        0        0        6
62343 tomcat8-admin                      	       0        3        0        0        3
62344 tomcat8-docs                       	       0        3        0        0        3
62345 tomcat8-examples                   	       0        1        0        0        1
62346 tomcat8-user                       	       0        1        1        0        0
62347 tomcat9-admin                      	       0        5        0        0        5
62348 tomcat9-docs                       	       0        1        0        0        1
62349 tonkeeper                          	       0        1        1        0        0
62350 toolame                            	       0        4        4        0        0
62351 toot                               	       0       14       14        0        0
62352 tootle                             	       0        8        8        0        0
62353 topcom-examples                    	       0       12        0        0       12
62354 topgit                             	       0        1        1        0        0
62355 tophat-recondition                 	       0        1        1        0        0
62356 topic-tools                        	       0        1        1        0        0
62357 topline                            	       0        2        2        0        0
62358 topmenu-gtk-common                 	       0        3        0        0        3
62359 toppler                            	       0        2        2        0        0
62360 toppred                            	       0        1        1        0        0
62361 tor-arm                            	       0       11        0        0       11
62362 tor-dbgsym                         	       0        1        1        0        0
62363 tor-geoipdb                        	       0      235        0        0      235
62364 tora                               	       0        2        2        0        0
62365 torch-core-free                    	       0        1        0        0        1
62366 torch-trepl                        	       0        1        1        0        0
62367 torchat                            	       0        3        3        0        0
62368 torchlight                         	       0        2        0        0        2
62369 torcs                              	       0       15       15        0        0
62370 torcs-data                         	       0       15        0        0       15
62371 torcs-data-cars                    	       0        1        0        0        1
62372 torcs-data-tracks                  	       0        1        0        0        1
62373 torguard                           	       0        1        1        0        0
62374 torreadwrite                       	       0        1        1        0        0
62375 torrentcheck                       	       0        1        1        0        0
62376 torsmo                             	       0        1        1        0        0
62377 tortoisehg                         	       0       18       18        0        0
62378 tortoisehg-caja                    	       0        2        0        0        2
62379 tortoisehg-nautilus                	       0        1        0        0        1
62380 torus-trooper                      	       0        7        7        0        0
62381 torus-trooper-data                 	       0        7        0        0        7
62382 toshset                            	       0        1        1        0        0
62383 totalopenstation                   	       0        4        4        0        0
62384 totem-gstreamer                    	       0        1        0        0        1
62385 totem-mozilla                      	       0        1        1        0        0
62386 totem-plugin-arte                  	       0        1        1        0        0
62387 totem-xine                         	       0        1        0        0        1
62388 touchegg                           	       0        2        2        0        0
62389 toulbar2                           	       0        1        1        0        0
62390 toulbar2-doc                       	       0        1        0        0        1
62391 tourney-manager                    	       0        4        4        0        0
62392 tox                                	       0       12       12        0        0
62393 tox-uv                             	       0        1        1        0        0
62394 toxcore-utils                      	       0        1        1        0        0
62395 toxic                              	       0        5        5        0        0
62396 tpconfig                           	       0        1        1        0        0
62397 tpm-tools-pkcs11                   	       0        2        2        0        0
62398 tpm2-abrmd                         	       0        6        6        0        0
62399 tpm2-initramfs-tool                	       0        1        1        0        0
62400 tpm2-openssl                       	       0        1        0        0        1
62401 tpm2-tools                         	       0       14       14        0        0
62402 tpm2-tss-engine-tools              	       0        1        1        0        0
62403 tpp                                	       0        1        1        0        0
62404 tqca-tls                           	       0       27        3        0       24
62405 tqsllib-dev                        	       0        1        1        0        0
62406 tqt-x11-free-dbg                   	       0        2        2        0        0
62407 tqt3-apps-dev                      	       0        3        3        0        0
62408 tqt3-assistant                     	       0        1        1        0        0
62409 tqt3-designer                      	       0        2        2        0        0
62410 tqt3-designer-plugins              	       0        2        0        0        2
62411 tqt3-dev-tools                     	       0        3        3        0        0
62412 tqt3-doc                           	       0        2        0        0        2
62413 tqt3-qtconfig                      	       0        2        2        0        0
62414 tra                                	       0        1        1        0        0
62415 trac                               	       0        4        4        0        0
62416 trac-accountmanager                	       0        3        3        0        0
62417 trac-customfieldadmin              	       0        1        1        0        0
62418 trac-httpauth                      	       0        1        1        0        0
62419 trac-roadmap                       	       0        1        1        0        0
62420 trace-cmd                          	       0        8        8        0        0
62421 traceproto                         	       0        2        2        0        0
62422 traceroute-nanog                   	       0        2        0        0        2
62423 trackballs                         	       0        7        7        0        0
62424 trackballs-data                    	       0        7        0        0        7
62425 tracker-gui                        	       0        5        5        0        0
62426 tracpaththeme                      	       0        1        1        0        0
62427 trader                             	       0        3        3        0        0
62428 tradingview                        	       0        6        0        0        6
62429 trafficserver                      	       0        2        2        0        0
62430 trafficserver-dev                  	       0        1        1        0        0
62431 trafficserver-experimental-plugins 	       0        1        1        0        0
62432 trang                              	       0        4        4        0        0
62433 trans-de-en                        	       0       28        0        0       28
62434 transcalc                          	       0        8        8        0        0
62435 transcend                          	       0        2        2        0        0
62436 transcode                          	       0        3        3        0        0
62437 transcode-doc                      	       0        9        9        0        0
62438 transcode-utils                    	       0        3        3        0        0
62439 transcriber                        	       0        4        4        0        0
62440 transdecoder                       	       0        1        1        0        0
62441 transdecoder-doc                   	       0        1        1        0        0
62442 transfert                          	       0        1        1        0        0
62443 transfig                           	       0       74        6        0       68
62444 transfuse                          	       0        5        5        0        0
62445 transgui                           	       0        9        9        0        0
62446 transifex-client                   	       0        1        1        0        0
62447 translate                          	       0        2        2        0        0
62448 translate-docformat                	       0        1        1        0        0
62449 translate-shell                    	       0       15       15        0        0
62450 translate-toolkit                  	       0       46       43        3        0
62451 translate-toolkit-doc              	       0       46        0        0       46
62452 transmageddon                      	       0        3        3        0        0
62453 transmission                       	       0      176        0        0      176
62454 transmission-common                	       0      670        0        0      670
62455 transmission-remote-cli            	       0        3        3        0        0
62456 transtermhp                        	       0        1        1        0        0
62457 traverso                           	       0        2        1        1        0
62458 tray-mixer-plus                    	       0        1        1        0        0
62459 tre-agrep                          	       0       16       16        0        0
62460 tre-command                        	       0        1        0        1        0
62461 tree-puzzle                        	       0        3        3        0        0
62462 tree-puzzle-doc                    	       0        3        2        0        1
62463 tree-sitter-cli                    	       0        2        2        0        0
62464 treesheets                         	       0       10       10        0        0
62465 treetop                            	       0        5        5        0        0
62466 treeview                           	       0        2        2        0        0
62467 treeviewx                          	       0        1        1        0        0
62468 tremulous                          	       0        1        1        0        0
62469 tremulous-data                     	       0        1        0        0        1
62470 tremulous-doc                      	       0        1        0        0        1
62471 tremulous-server                   	       0        1        1        0        0
62472 trend                              	       0        4        4        0        0
62473 triangle-bin                       	       0        1        1        0        0
62474 tribler                            	       0        5        5        0        0
62475 triehash                           	       0        2        2        0        0
62476 trigger-rally                      	       0        7        7        0        0
62477 trigger-rally-data                 	       0        8        0        0        8
62478 trilinos-dev                       	       0        3        3        0        0
62479 trilium                            	       0        3        3        0        0
62480 trimmomatic                        	       0        1        1        0        0
62481 trinity                            	       0        3        3        0        0
62482 trinity-apt-archive                	       0        2        0        0        2
62483 trinity-keyring                    	       0       44        0        0       44
62484 trinityrnaseq-examples             	       0        1        0        0        1
62485 triplane                           	       0        3        3        0        0
62486 triplea                            	       0        3        3        0        0
62487 trisquel-keyring                   	       0        1        0        0        1
62488 trivy                              	       0        4        2        2        0
62489 troffcvt                           	       0        1        1        0        0
62490 trollsched-bin                     	       0        1        1        0        0
62491 trophy                             	       0        3        3        0        0
62492 trophy-data                        	       0        3        0        0        3
62493 trscripts                          	       0        1        1        0        0
62494 truecrypt                          	       0        1        1        0        0
62495 trueprint                          	       0        6        6        0        0
62496 trufont                            	       0        2        2        0        0
62497 trurl                              	       0        1        1        0        0
62498 trx                                	       0        1        1        0        0
62499 trydiffoscope                      	       0        2        2        0        0
62500 tryton-client-doc                  	       0        1        0        0        1
62501 tryton-server-doc                  	       0        1        0        0        1
62502 ts-jest                            	       0        1        1        0        0
62503 ts-node                            	       0        2        2        0        0
62504 tsclient                           	       0        1        1        0        0
62505 tsconf                             	       0       17        0        0       17
62506 tsdecrypt                          	       0        4        4        0        0
62507 tsmuxer                            	       0        1        1        0        0
62508 tss2                               	       0        3        3        0        0
62509 tsung                              	       0        1        1        0        0
62510 ttaenc                             	       0        2        2        0        0
62511 ttf-adf-accanthis                  	       0       13        0        0       13
62512 ttf-adf-baskervald                 	       0        3        0        0        3
62513 ttf-adf-berenis                    	       0        3        0        0        3
62514 ttf-adf-gillius                    	       0       14        0        0       14
62515 ttf-adf-ikarius                    	       0        3        0        0        3
62516 ttf-adf-irianis                    	       0        3        0        0        3
62517 ttf-adf-libris                     	       0        4        0        0        4
62518 ttf-adf-mekanus                    	       0        3        0        0        3
62519 ttf-adf-oldania                    	       0        3        0        0        3
62520 ttf-adf-romande                    	       0        3        0        0        3
62521 ttf-adf-switzera                   	       0        3        0        0        3
62522 ttf-adf-tribun                     	       0        3        0        0        3
62523 ttf-adf-universalis                	       0       14        0        0       14
62524 ttf-adf-verana                     	       0        3        0        0        3
62525 ttf-alee                           	       0        2        0        0        2
62526 ttf-ancient-fonts                  	       0       24        0        0       24
62527 ttf-ancient-fonts-symbola          	       0       14        0        0       14
62528 ttf-anonymous-pro                  	       0       17        0        0       17
62529 ttf-aoyagi-kouzan-t                	       0        1        0        0        1
62530 ttf-arabeyes                       	       0        1        0        0        1
62531 ttf-arhangai                       	       0        1        0        0        1
62532 ttf-arphic-bkai00mp                	       0        2        0        0        2
62533 ttf-arphic-bsmi00lp                	       0        1        0        0        1
62534 ttf-arphic-gbsn00lp                	       0        2        0        0        2
62535 ttf-arphic-gkai00mp                	       0        2        0        0        2
62536 ttf-arphic-ukai                    	       0        1        0        0        1
62537 ttf-arphic-uming                   	       0        2        0        0        2
62538 ttf-atarismall                     	       0        3        0        0        3
62539 ttf-baekmuk                        	       0        6        0        0        6
62540 ttf-bengali-fonts                  	       0        3        0        0        3
62541 ttf-beteckna                       	       0        1        0        0        1
62542 ttf-bpg-georgian-fonts             	       0        2        0        0        2
62543 ttf-breip                          	       0        2        0        0        2
62544 ttf-century-catalogue              	       0        2        0        0        2
62545 ttf-dejavu                         	       0       52        0        0       52
62546 ttf-dejavu-core                    	       0      111        0        0      111
62547 ttf-dejavu-extra                   	       0       61        0        0       61
62548 ttf-dejima-mincho                  	       0        1        0        0        1
62549 ttf-devanagari-fonts               	       0        3        0        0        3
62550 ttf-dustin                         	       0        3        0        0        3
62551 ttf-dzongkha                       	       0        2        0        0        2
62552 ttf-ecolier-court                  	       0        2        0        0        2
62553 ttf-ecolier-lignes-court           	       0        2        0        0        2
62554 ttf-engadget                       	       0        9        0        0        9
62555 ttf-essays1743                     	       0        1        0        0        1
62556 ttf-evertype-conakry               	       0        2        0        0        2
62557 ttf-f500                           	       0        2        0        0        2
62558 ttf-farsiweb                       	       0        1        0        0        1
62559 ttf-femkeklaver                    	       0        7        0        0        7
62560 ttf-fifthhorseman-dkg-handwriting  	       0        2        0        0        2
62561 ttf-freefarsi                      	       0        2        0        0        2
62562 ttf-freefont                       	       0       29        0        0       29
62563 ttf-georgewilliams                 	       0        3        0        0        3
62564 ttf-gfs-artemisia                  	       0        2        0        0        2
62565 ttf-gfs-baskerville                	       0        1        0        0        1
62566 ttf-gfs-bodoni-classic             	       0        1        0        0        1
62567 ttf-gfs-complutum                  	       0        1        0        0        1
62568 ttf-gfs-didot                      	       0        1        0        0        1
62569 ttf-gfs-didot-classic              	       0        1        0        0        1
62570 ttf-gfs-gazis                      	       0        1        0        0        1
62571 ttf-gfs-neohellenic                	       0        1        0        0        1
62572 ttf-gfs-olga                       	       0        1        0        0        1
62573 ttf-gfs-porson                     	       0        1        0        0        1
62574 ttf-gfs-solomos                    	       0        1        0        0        1
62575 ttf-gfs-theokritos                 	       0        1        0        0        1
62576 ttf-goudybookletter                	       0        4        0        0        4
62577 ttf-gujarati-fonts                 	       0        3        0        0        3
62578 ttf-hanazono                       	       0        2        0        0        2
62579 ttf-inconsolata                    	       0        3        0        0        3
62580 ttf-indic-fonts                    	       0        5        0        0        5
62581 ttf-ipafont-gothic                 	       0        1        0        0        1
62582 ttf-ipafont-jisx0208               	       0        2        0        0        2
62583 ttf-ipafont-uigothic               	       0        1        0        0        1
62584 ttf-isabella                       	       0        5        0        0        5
62585 ttf-jsmath                         	       0        3        0        0        3
62586 ttf-junicode                       	       0        3        0        0        3
62587 ttf-jura                           	       0        1        0        0        1
62588 ttf-kacst                          	       0        1        0        0        1
62589 ttf-kacst-one                      	       0        1        0        0        1
62590 ttf-kanjistrokeorders              	       0        1        0        0        1
62591 ttf-kannada-fonts                  	       0        4        0        0        4
62592 ttf-khmeros                        	       0        1        0        0        1
62593 ttf-kiloji                         	       0        2        0        0        2
62594 ttf-kochi-gothic-naga10            	       0        1        0        0        1
62595 ttf-kochi-mincho                   	       0        6        0        0        6
62596 ttf-kochi-mincho-naga10            	       0        2        0        0        2
62597 ttf-konatu                         	       0        1        0        0        1
62598 ttf-lao                            	       0        1        0        0        1
62599 ttf-levien-museum                  	       0        1        0        0        1
62600 ttf-levien-typoscript              	       0        2        0        0        2
62601 ttf-lg-aboriginal                  	       0        1        0        0        1
62602 ttf-liberation                     	       0       16        0        0       16
62603 ttf-linex                          	       0        1        0        0        1
62604 ttf-linux-libertine                	       0        4        0        0        4
62605 ttf-littledays                     	       0        1        0        0        1
62606 ttf-lyx                            	       0        8        0        0        8
62607 ttf-manchufont                     	       0        2        0        0        2
62608 ttf-marvosym                       	       0       14        0        0       14
62609 ttf-mathematica4.1                 	       0        3        0        0        3
62610 ttf-mgopen                         	       0        1        0        0        1
62611 ttf-misaki                         	       0        1        0        0        1
62612 ttf-mona                           	       0        2        0        0        2
62613 ttf-monapo                         	       0        1        0        0        1
62614 ttf-mph-2b-damase                  	       0        2        0        0        2
62615 ttf-mplus                          	       0        1        0        0        1
62616 ttf-nafees                         	       0        1        0        0        1
62617 ttf-nanum                          	       0        1        0        0        1
62618 ttf-nanum-coding                   	       0        1        0        0        1
62619 ttf-ocr-a                          	       0        1        0        0        1
62620 ttf-oflb-asana-math                	       0        1        0        0        1
62621 ttf-oflb-euterpe                   	       0        1        0        0        1
62622 ttf-okolaks                        	       0        2        0        0        2
62623 ttf-oldstandard                    	       0        1        0        0        1
62624 ttf-opendin                        	       0        1        0        0        1
62625 ttf-opensymbol                     	       0        5        0        0        5
62626 ttf-oriya-fonts                    	       0        3        0        0        3
62627 ttf-paktype                        	       0        1        0        0        1
62628 ttf-pelikan-schulschriften         	       0        1        0        0        1
62629 ttf-punjabi-fonts                  	       0        3        0        0        3
62630 ttf-radisnoir                      	       0        2        0        0        2
62631 ttf-root-installer                 	       0        3        0        0        3
62632 ttf-rufscript                      	       0        2        0        0        2
62633 ttf-sawarabi-gothic                	       0        1        0        0        1
62634 ttf-sawarabi-mincho                	       0        1        0        0        1
62635 ttf-sazanami-gothic                	       0        2        0        0        2
62636 ttf-sazanami-mincho                	       0        4        0        0        4
62637 ttf-sil-abyssinica                 	       0        2        0        0        2
62638 ttf-sil-dai-banna                  	       0        1        0        0        1
62639 ttf-sil-ezra                       	       0        1        0        0        1
62640 ttf-sil-galatia                    	       0        1        0        0        1
62641 ttf-sil-gentium                    	       0        1        0        0        1
62642 ttf-sil-gentium-basic              	       0        7        0        0        7
62643 ttf-sil-nuosusil                   	       0        1        0        0        1
62644 ttf-sil-scheherazade               	       0        1        0        0        1
62645 ttf-sil-sophia-nubian              	       0        2        0        0        2
62646 ttf-sil-yi                         	       0        1        0        0        1
62647 ttf-sil-zaghawa-beria              	       0        2        0        0        2
62648 ttf-sinhala-lklug                  	       0        1        0        0        1
62649 ttf-sjfonts                        	       0       54        0        0       54
62650 ttf-staypuft                       	       0       21        0        0       21
62651 ttf-summersby                      	       0       16        0        0       16
62652 ttf-symbol-replacement-wine        	       0        1        0        0        1
62653 ttf-tagbanwa                       	       0       10        0        0       10
62654 ttf-takao                          	       0        1        0        0        1
62655 ttf-takao-gothic                   	       0        1        0        0        1
62656 ttf-takao-mincho                   	       0        1        0        0        1
62657 ttf-tamil-fonts                    	       0        2        0        0        2
62658 ttf-telugu-fonts                   	       0        2        0        0        2
62659 ttf-thai-arundina                  	       0        2        0        0        2
62660 ttf-thai-tlwg                      	       0        2        0        0        2
62661 ttf-thryomanes                     	       0        1        0        0        1
62662 ttf-tiresias                       	       0        6        0        0        6
62663 ttf-tmuni                          	       0        1        0        0        1
62664 ttf-tomsontalks                    	       0        1        0        0        1
62665 ttf-tuffy                          	       0        1        0        0        1
62666 ttf-ubuntu-font-family             	       0        6        0        0        6
62667 ttf-ubuntu-title                   	       0        1        0        0        1
62668 ttf-umefont                        	       0        2        0        0        2
62669 ttf-umeplus                        	       0        1        0        0        1
62670 ttf-unfonts-core                   	       0        3        0        0        3
62671 ttf-unfonts-extra                  	       0        3        0        0        3
62672 ttf-unifont                        	       0       46        0        0       46
62673 ttf-unikurdweb                     	       0        2        0        0        2
62674 ttf-uralic                         	       0        1        0        0        1
62675 ttf-vlgothic                       	       0        2        0        0        2
62676 ttf-wqy-microhei                   	       0        8        0        0        8
62677 ttf-wqy-zenhei                     	       0       10        0        0       10
62678 ttf-xfree86-nonfree-syriac         	       0        9        0        0        9
62679 ttf2pt1                            	       0        1        1        0        0
62680 ttf2pt1-chinese                    	       0        1        0        0        1
62681 tth                                	       0        5        5        0        0
62682 tthsum                             	       0        1        1        0        0
62683 ttv                                	       0        1        1        0        0
62684 tty-record                         	       0        2        0        2        0
62685 tty-share                          	       0        1        0        1        0
62686 tty-solitaire                      	       0        4        4        0        0
62687 ttygif                             	       0        2        2        0        0
62688 ttyload                            	       0        9        9        0        0
62689 ttylog                             	       0        4        4        0        0
62690 ttysnoop                           	       0        8        8        0        0
62691 tua                                	       0        1        1        0        0
62692 tuareg-mode                        	       0        2        0        0        2
62693 tudu                               	       0        3        3        0        0
62694 tuigreet                           	       0        2        2        0        0
62695 tulip                              	       0        2        2        0        0
62696 tumiki-fighters                    	       0        5        5        0        0
62697 tumiki-fighters-data               	       0        7        0        0        7
62698 tunapie                            	       0        1        1        0        0
62699 tuned                              	       0       10        9        1        0
62700 tuned-gtk                          	       0        2        2        0        0
62701 tuned-ppd                          	       0        1        1        0        0
62702 tuned-utils                        	       0        2        2        0        0
62703 tuned-utils-systemtap              	       0        2        2        0        0
62704 tunefish-lv2                       	       0        1        1        0        0
62705 tunix-keyring                      	       0        1        0        0        1
62706 tunnelx                            	       0        1        1        0        0
62707 tup                                	       0        1        1        0        0
62708 tupi                               	       0        4        4        0        0
62709 tupi-data                          	       0        5        0        0        5
62710 tuptime                            	       0        6        6        0        0
62711 turbocase                          	       0        1        1        0        0
62712 turbovnc                           	       0        2        2        0        0
62713 turtlesport                        	       0        3        3        0        0
62714 tusk                               	       0        1        0        0        1
62715 tut                                	       0        1        1        0        0
62716 tutka                              	       0        7        6        1        0
62717 tuxboot                            	       0        2        2        0        0
62718 tuxcmd-modules                     	       0        2        2        0        0
62719 tuxedo-control-center              	       0        1        1        0        0
62720 tuxedo-keyboard                    	       0        2        2        0        0
62721 tuxedo-micfix1                     	       0        1        1        0        0
62722 tuxedo-wmi-dkms                    	       0        1        0        0        1
62723 tuxfootball                        	       0       10       10        0        0
62724 tuxguitar-jack                     	       0        3        3        0        0
62725 tuxguitar-jsa                      	       0        5        0        0        5
62726 tuxguitar-oss                      	       0        7        7        0        0
62727 tuxmath                            	       0       31       30        1        0
62728 tuxpaint-config                    	       0       42       42        0        0
62729 tuxpaint-data                      	       0       45       44        1        0
62730 tuxpaint-dev                       	       0        2        1        1        0
62731 tuxpaint-plugins-default           	       0       45       44        1        0
62732 tuxpaint-stamps-default            	       0       45        0        0       45
62733 tuxpuck                            	       0       12       12        0        0
62734 tuxtype                            	       0       30       30        0        0
62735 tv-fonts                           	       0       12        0        0       12
62736 tvbrowser                          	       0        5        5        0        0
62737 tvbrowser-aquatheme                	       0        1        1        0        0
62738 tvbrowser-bbjtheme                 	       0        1        1        0        0
62739 tvbrowser-beostheme                	       0        1        1        0        0
62740 tvbrowser-macostheme               	       0        1        1        0        0
62741 tvbrowser-moderntheme              	       0        1        1        0        0
62742 tvheadend-data                     	       0        2        0        0        2
62743 tvnamer                            	       0        3        3        0        0
62744 tvprog                             	       0        1        1        0        0
62745 tvtime                             	       0        8        8        0        0
62746 twatch                             	       0        2        2        0        0
62747 twclock                            	       0        8        8        0        0
62748 tweak                              	       0       11       11        0        0
62749 twidge                             	       0        1        1        0        0
62750 twiggy                             	       0        3        3        0        0
62751 twin                               	       0        5        5        0        0
62752 twin-style-crystal-trinity         	       0        1        1        0        0
62753 twin-style-dekorator-trinity       	       0        3        3        0        0
62754 twin-style-fahrenheit-trinity      	       0        2        2        0        0
62755 twin-style-machbunt-trinity        	       0        2        2        0        0
62756 twin-style-suse2-trinity           	       0        2        2        0        0
62757 twin-x11                           	       0        2        2        0        0
62758 twin4-trinity                      	       0       25        0        0       25
62759 twine                              	       0        5        5        0        0
62760 twinkle-common                     	       0       17        0        0       17
62761 twittering-mode                    	       0        3        3        0        0
62762 tworld                             	       0        8        8        0        0
62763 tworld-data                        	       0        8        8        0        0
62764 twpsk                              	       0        9        9        0        0
62765 txt2man                            	       0       14       13        1        0
62766 txt2regex                          	       0        8        8        0        0
62767 txt2tags                           	       0       14       13        1        0
62768 txtreader                          	       0        1        1        0        0
62769 type-handling                      	       0        4        4        0        0
62770 typecatcher                        	       0        4        4        0        0
62771 typora                             	       0        6        5        1        0
62772 tyrian-data                        	       0        2        0        0        2
62773 tzc                                	       0        1        1        0        0
62774 tzdata-java                        	       0       16        0        0       16
62775 tzdata-legacy                      	       0       66        0        0       66
62776 tzdiff                             	       0        2        2        0        0
62777 u-boot-exynos                      	       0        1        1        0        0
62778 u-boot-menu                        	       0        5        4        1        0
62779 u-boot-odroid                      	       0        1        1        0        0
62780 u-boot-odroidm1s                   	       0        1        1        0        0
62781 u-boot-olinuxino                   	       0        1        1        0        0
62782 u-boot-qemu                        	       0        9        7        2        0
62783 u-boot-rockchip                    	       0        4        3        1        0
62784 u-boot-rpi                         	       0        1        1        0        0
62785 u-boot-tools-olinuxino             	       0        1        1        0        0
62786 u2f-host                           	       0        6        6        0        0
62787 u2f-server                         	       0        3        3        0        0
62788 u8loc                              	       0        2        2        0        0
62789 uacme                              	       0        5        5        0        0
62790 uae                                	       0        1        1        0        0
62791 uap-core                           	       0        1        0        0        1
62792 ubertooth                          	       0        5        5        0        0
62793 ubertooth-firmware                 	       0        5        0        0        5
62794 ubiquity-slideshow-mint            	       0        1        1        0        0
62795 ublock-origin-combined             	       0       10       10        0        0
62796 ublock-origin-doc                  	       0        5        0        0        5
62797 uboot-envtools                     	       0        2        0        0        2
62798 uboot-mkimage                      	       0        5        0        0        5
62799 ubports-installer                  	       0        4        4        0        0
62800 ubridge                            	       0        2        2        0        0
62801 ubuntu-archive-keyring             	       0       13        0        0       13
62802 ubuntu-cloud-keyring               	       0       11        0        0       11
62803 ubuntu-cloudimage-keyring          	       0        1        0        0        1
62804 ubuntu-core-launcher               	       0        1        1        0        0
62805 ubuntu-dbgsym-keyring              	       0       10        0        0       10
62806 ubuntu-dev-tools                   	       0        3        3        0        0
62807 ubuntu-drivers-common              	       0        2        2        0        0
62808 ubuntu-keyring                     	       0       18        0        0       18
62809 ubuntu-packaging-guide             	       0        2        0        0        2
62810 ubuntu-packaging-guide-common      	       0        3        0        0        3
62811 ubuntu-packaging-guide-epub        	       0        1        0        0        1
62812 ubuntu-packaging-guide-epub-es     	       0        1        0        0        1
62813 ubuntu-packaging-guide-epub-pt-br  	       0        1        0        0        1
62814 ubuntu-packaging-guide-epub-ru     	       0        1        0        0        1
62815 ubuntu-packaging-guide-html        	       0        2        0        0        2
62816 ubuntu-packaging-guide-html-es     	       0        1        0        0        1
62817 ubuntu-packaging-guide-html-pt-br  	       0        1        0        0        1
62818 ubuntu-packaging-guide-html-ru     	       0        1        0        0        1
62819 ubuntu-packaging-guide-pdf         	       0        3        0        0        3
62820 ubuntu-packaging-guide-pdf-de      	       0        1        0        0        1
62821 ubuntu-packaging-guide-pdf-es      	       0        1        0        0        1
62822 ubuntu-packaging-guide-pdf-pt-br   	       0        1        0        0        1
62823 ubuntu-packaging-guide-pdf-ru      	       0        1        0        0        1
62824 ubus                               	       0        1        1        0        0
62825 uc-echo                            	       0        1        1        0        0
62826 ucarp                              	       0        2        2        0        0
62827 ucblogo                            	       0        7        7        0        0
62828 uci2wb                             	       0        4        4        0        0
62829 ucimf                              	       0        1        1        0        0
62830 uclibc-source                      	       0        2        0        0        2
62831 ucommon-doc                        	       0        1        0        0        1
62832 ucommon-utils                      	       0        3        3        0        0
62833 ucspi-tcp-ipv6                     	       0        4        4        0        0
62834 ucspi-unix                         	       0        6        6        0        0
62835 ucto                               	       0        4        4        0        0
62836 uctodata                           	       0        4        4        0        0
62837 ud                                 	       0        1        1        0        0
62838 udav                               	       0        2        2        0        0
62839 udcli                              	       0        1        1        0        0
62840 ude                                	       0        2        0        0        2
62841 udfclient                          	       0       16       15        1        0
62842 udisks-doc                         	       0        3        0        0        3
62843 udisks2-bcache                     	       0        5        0        0        5
62844 udisks2-btrfs                      	       0       15        0        0       15
62845 udisks2-dbgsym                     	       0        1        1        0        0
62846 udisks2-doc                        	       0       15        0        0       15
62847 udisks2-lvm2                       	       0       10        0        0       10
62848 udisks2-zram                       	       0        3        0        0        3
62849 udns-utils                         	       0        1        1        0        0
62850 udo                                	       0        2        2        0        0
62851 udo-doc-en                         	       0        2        0        0        2
62852 udpcast                            	       0        2        2        0        0
62853 udsclient3                         	       0        1        1        0        0
62854 uefitool                           	       0       10        9        1        0
62855 uefitool-cli                       	       0        6        5        1        0
62856 ufficiozero-keyring                	       0        1        0        0        1
62857 ufficiozerorepo                    	       0        1        0        0        1
62858 ufiformat                          	       0        8        8        0        0
62859 ufo-core-doc                       	       0        2        0        0        2
62860 ufo-filters                        	       0        1        0        0        1
62861 ufo-filters-data                   	       0        1        0        0        1
62862 ufo-filters-doc                    	       0        2        0        0        2
62863 ufo2otf                            	       0        1        1        0        0
62864 ufoai                              	       0       18       17        1        0
62865 ufoai-build-deps                   	       0        1        0        0        1
62866 ufoai-common                       	       0       19        0        0       19
62867 ufoai-data                         	       0       19        0        0       19
62868 ufoai-dbgsym                       	       0        1        1        0        0
62869 ufoai-maps                         	       0       19        0        0       19
62870 ufoai-misc                         	       0       19        0        0       19
62871 ufoai-music                        	       0       19        0        0       19
62872 ufoai-server-dbgsym                	       0        1        1        0        0
62873 ufoai-sound                        	       0       19        0        0       19
62874 ufoai-textures                     	       0       19        0        0       19
62875 ufoai-tools                        	       0        1        1        0        0
62876 ufoai-tools-dbgsym                 	       0        1        1        0        0
62877 ufoai-uforadiant-data              	       0        1        0        0        1
62878 ufoai-uforadiant-dbgsym            	       0        1        1        0        0
62879 ufsutils                           	       0        3        3        0        0
62880 uftp                               	       0        2        2        0        0
62881 uftrace                            	       0        3        3        0        0
62882 uget                               	       0       30       30        0        0
62883 uglifyjs                           	       0        2        2        0        0
62884 uhd-soapysdr                       	       0        5        0        0        5
62885 uhexen2                            	       0        3        3        0        0
62886 uhexen2-common                     	       0        3        0        0        3
62887 uhub                               	       0        1        1        0        0
62888 uhubctl                            	       0        7        7        0        0
62889 ui-auto                            	       0        1        1        0        0
62890 uil                                	       0       33       33        0        0
62891 uim-anthy                          	       0        6        0        0        6
62892 uim-byeoru                         	       0        1        0        0        1
62893 uim-el                             	       0        1        1        0        0
62894 uim-fep                            	       0       35       33        2        0
62895 uim-gtk2.0                         	       0       34       32        2        0
62896 uim-gtk2.0-immodule                	       0       36        1        0       35
62897 uim-pinyin                         	       0        1        0        0        1
62898 uim-skk                            	       0        1        0        0        1
62899 uinit                              	       0        1        1        0        0
62900 uisp                               	       0        8        8        0        0
62901 ukopp                              	       0        4        4        0        0
62902 ukui-bluetooth                     	       0        1        1        0        0
62903 ukui-control-center                	       0        2        2        0        0
62904 ukui-greeter                       	       0        3        3        0        0
62905 ukui-indicators                    	       0        1        1        0        0
62906 ukui-media                         	       0        1        1        0        0
62907 ukui-media-common                  	       0        1        0        0        1
62908 ukui-menu                          	       0        1        1        0        0
62909 ukui-menus                         	       0        1        0        0        1
62910 ukui-panel                         	       0        3        3        0        0
62911 ukui-polkit                        	       0        6        1        0        5
62912 ukui-power-manager-common          	       0        1        0        0        1
62913 ukui-screensaver                   	       0        3        3        0        0
62914 ukui-screensaverserver             	       0        1        1        0        0
62915 ukui-session-manager               	       0        3        3        0        0
62916 ukui-settings-daemon               	       0        5        5        0        0
62917 ukui-settings-daemon-common        	       0        5        0        0        5
62918 ukui-sidebar                       	       0        1        1        0        0
62919 ukui-themes                        	       0        6        0        0        6
62920 ukui-touchpadserver                	       0        1        1        0        0
62921 ukui-wallpapers                    	       0        2        0        0        2
62922 ukui-window-switch                 	       0        5        5        0        0
62923 ukwm                               	       0        5        5        0        0
62924 ukwm-common                        	       0        5        0        0        5
62925 ulauncher                          	       0        2        2        0        0
62926 ulc-codec                          	       0        1        1        0        0
62927 ulcc                               	       0        3        3        0        0
62928 uligo                              	       0        3        3        0        0
62929 ulogd2-dbi                         	       0        1        0        0        1
62930 ulogd2-json                        	       0        1        0        0        1
62931 ulogd2-mysql                       	       0        1        0        0        1
62932 ulogd2-pcap                        	       0        1        1        0        0
62933 ulogd2-pgsql                       	       0        1        0        0        1
62934 ulogd2-sqlite3                     	       0        1        0        0        1
62935 ultima4-data                       	       0        1        0        0        1
62936 ultima4-graphics-upgrade           	       0        1        0        0        1
62937 ultimate-edition-cursors           	       0        1        0        0        1
62938 ultimate-edition-icons-dark-glass  	       0        1        0        0        1
62939 umbrello                           	       0       49       47        2        0
62940 umbrello-data                      	       0       41       38        3        0
62941 umbrello-trinity                   	       0        1        1        0        0
62942 umis                               	       0        1        1        0        0
62943 umis-examples                      	       0        1        0        0        1
62944 umockdev                           	       0        3        2        1        0
62945 umsdos                             	       0        1        1        0        0
62946 umtp-responder                     	       0        3        3        0        0
62947 umu-launcher                       	       0        1        0        0        1
62948 umview                             	       0        2        2        0        0
62949 umview-mod-umdevtap                	       0        1        1        0        0
62950 umview-mod-umfuseext2              	       0        1        1        0        0
62951 umview-mod-umfusefat               	       0        2        2        0        0
62952 umview-mod-umfuseiso9660           	       0        1        1        0        0
62953 umview-mod-umlwip                  	       0        1        1        0        0
62954 umview-mod-viewfs                  	       0        1        1        0        0
62955 unagi                              	       0        2        2        0        0
62956 unbound-anchor-dbgsym              	       0        1        1        0        0
62957 unbound-dbgsym                     	       0        1        1        0        0
62958 unbound-host                       	       0        7        7        0        0
62959 unburden-home-dir                  	       0        2        2        0        0
62960 unburden-home-dir-doc              	       0        2        0        0        2
62961 unclutter-startup                  	       0       22        0        0       22
62962 uncrustify                         	       0       13       13        0        0
62963 undertime                          	       0        5        5        0        0
62964 undistract-me                      	       0        2        0        0        2
62965 undup                              	       0        1        1        0        0
62966 unetbootin                         	       0        7        7        0        0
62967 unetbootin-dbgsym                  	       0        1        1        0        0
62968 unetbootin-translations            	       0        9        0        0        9
62969 ungoogled-chromium-build-deps      	       0        1        0        0        1
62970 ungoogled-chromium-driver          	       0        1        1        0        0
62971 unhide-gui                         	       0        7        7        0        0
62972 unibetacode                        	       0        1        1        0        0
62973 unicode-cldr-core                  	       0        3        0        0        3
62974 unicode-data                       	       0      522        0        0      522
62975 unicode-idna                       	       0        1        0        0        1
62976 unicode-screensaver                	       0       13        2        0       11
62977 unicon-imc2                        	       0        5        5        0        0
62978 unicycler-data                     	       0        1        0        0        1
62979 unidic-mecab                       	       0        4        4        0        0
62980 unifdef                            	       0        6        6        0        0
62981 unifont                            	       0      113        0        0      113
62982 unifont-build-deps                 	       0        1        0        0        1
62983 unionfs-fuse                       	       0       11       11        0        0
62984 unison-2.51+4.11.1                 	       0       13       13        0        0
62985 unison-2.51+4.11.1-gtk             	       0        4        4        0        0
62986 unison-2.51+4.13.1                 	       0        2        2        0        0
62987 unison-2.51+4.13.1-gtk             	       0        2        2        0        0
62988 unison-all                         	       0        4        0        0        4
62989 unison-all-gtk                     	       0        1        0        0        1
62990 unison2.27.57                      	       0        1        1        0        0
62991 unison2.32.52                      	       0        2        2        0        0
62992 unison2.32.52-gtk                  	       0        1        1        0        0
62993 unison2.9.1                        	       0        1        1        0        0
62994 unityhub                           	       0        6        5        1        0
62995 unixodbc-bin                       	       0        1        1        0        0
62996 unixodbc-common                    	       0      583        0        0      583
62997 unknown-horizons                   	       0        5        5        0        0
62998 unlzx                              	       0        1        1        0        0
62999 unreal-libfmod                     	       0        1        1        0        0
63000 unreal-libmikmod2                  	       0        1        1        0        0
63001 unreal-ut99-shared-data            	       0        1        0        0        1
63002 unsort                             	       0        3        3        0        0
63003 unworkable                         	       0        5        5        0        0
63004 unzoo                              	       0        2        2        0        0
63005 upass                              	       0        1        1        0        0
63006 update                             	       0        1        1        0        0
63007 update-manager-core                	       0        1        0        0        1
63008 update-manager-gnome               	       0        1        1        0        0
63009 update-notifier-common             	       0        1        1        0        0
63010 update-sun-jre                     	       0        1        1        0        0
63011 uphpmvault                         	       0        1        1        0        0
63012 upower-doc                         	       0       13        0        0       13
63013 upplay                             	       0        2        2        0        0
63014 uprecords-cgi                      	       0        1        1        0        0
63015 uprightdiff                        	       0        3        3        0        0
63016 upscayl                            	       0        1        1        0        0
63017 upse123                            	       0        2        2        0        0
63018 uqm                                	       0       11       11        0        0
63019 uqm-content                        	       0       11        0        0       11
63020 uqm-music                          	       0       11        0        0       11
63021 uqm-voice                          	       0        7        0        0        7
63022 urfkill                            	       0        8        8        0        0
63023 urjtag                             	       0        2        2        0        0
63024 urlextractor                       	       0        1        1        0        0
63025 urlscan                            	       0       10       10        0        0
63026 urlwatch                           	       0        2        2        0        0
63027 uronode                            	       0        3        3        0        0
63028 uruk                               	       0        2        2        0        0
63029 urw                                	       0        4        4        0        0
63030 urweb                              	       0        1        1        0        0
63031 urweb-doc                          	       0        2        0        0        2
63032 urweb-mode                         	       0        2        2        0        0
63033 usb-pack-efi                       	       0        2        2        0        0
63034 usbguard                           	       0        5        5        0        0
63035 usbguard-notifier                  	       0        1        1        0        0
63036 usbimager                          	       0        2        2        0        0
63037 usbprog                            	       0        2        2        0        0
63038 usbprog-gui                        	       0        3        3        0        0
63039 usbsdmux                           	       0        1        1        0        0
63040 usbtop                             	       0       17       17        0        0
63041 usbutils-py                        	       0        1        1        0        0
63042 user-de                            	       0        1        0        0        1
63043 user-manager                       	       0       21        0        0       21
63044 user-mode-linux                    	       0        8        7        1        0
63045 user-mode-linux-doc                	       0        4        0        0        4
63046 user-session-migration             	       0       12        9        3        0
63047 userland-scripts                   	       0        1        1        0        0
63048 usermin                            	       0        3        3        0        0
63049 userv                              	       0        2        2        0        0
63050 userver                            	       0        1        1        0        0
63051 usplash-theme-debian               	       0        1        1        0        0
63052 usr-is-merged                      	       0       87        0        0       87
63053 ussp-push                          	       0        5        5        0        0
63054 ustreamer                          	       0        3        3        0        0
63055 usvg                               	       0        2        1        1        0
63056 utalk                              	       0        5        4        1        0
63057 utf8gen                            	       0        2        2        0        0
63058 utf8script                         	       0        1        0        0        1
63059 utfcheck                           	       0        4        4        0        0
63060 util-linux-dbgsym                  	       0        2        2        0        0
63061 util-linux-extra-dbgsym            	       0        2        2        0        0
63062 util-linux-legacy                  	       0        1        0        1        0
63063 util-vserver-legacy                	       0        1        1        0        0
63064 util-vserver-sysv                  	       0       21        0        0       21
63065 utopia                             	       0        1        0        0        1
63066 utox                               	       0        7        7        0        0
63067 utsu                               	       0        1        1        0        0
63068 uucpsend                           	       0        1        1        0        0
63069 uuidcdef                           	       0        4        4        0        0
63070 uuu                                	       0        2        2        0        0
63071 uvcdynctrl-data                    	       0       74        0        0       74
63072 uvicorn                            	       0        4        4        0        0
63073 uw-mailutils                       	       0        5        4        1        0
63074 uwm                                	       0        1        1        0        0
63075 uwsgi                              	       0       14        0        0       14
63076 uwsgi-plugin-python                	       0        1        1        0        0
63077 uxplay                             	       0        3        3        0        0
63078 uzbl                               	       0        3        3        0        0
63079 v-sim                              	       0        2        2        0        0
63080 v-sim-common                       	       0        2        0        0        2
63081 v2mplayer                          	       0        1        1        0        0
63082 v2mplayer-samples                  	       0        1        0        0        1
63083 v2raya                             	       0        1        1        0        0
63084 v4l2loopback-modules-4.14.0-0.bpo.3-amd64	       0        1        0        0        1
63085 v4l2loopback-modules-5.10.0-7-amd64	       0        1        0        0        1
63086 v4l2loopback-source                	       0       11        0        0       11
63087 v4l2sink                           	       0        1        0        0        1
63088 v4l2ucp                            	       0        3        3        0        0
63089 v86d                               	       0        7        7        0        0
63090 va-amdgpu-driver-all               	       0        2        0        0        2
63091 va-driver-all                      	       0     3167        0        0     3167
63092 vagrant-bindfs                     	       0        1        1        0        0
63093 vagrant-cachier                    	       0        1        1        0        0
63094 vagrant-hostmanager                	       0        3        3        0        0
63095 vagrant-librarian-puppet           	       0        1        1        0        0
63096 vagrant-lxc                        	       0        4        4        0        0
63097 vagrant-mutate                     	       0        3        3        0        0
63098 vagrant-sshfs                      	       0        6        6        0        0
63099 val-and-rick                       	       0        1        1        0        0
63100 val-and-rick-data                  	       0        1        0        0        1
63101 vala-panel-appmenu-common          	       0       58        0        0       58
63102 vala-sntray-plugin                 	       0        4        4        0        0
63103 valac                              	       0       39       37        2        0
63104 valac-0.34-vapi                    	       0        2        0        0        2
63105 valac-0.42-vapi                    	       0        3        0        0        3
63106 valac-0.48-vapi                    	       0        9        0        0        9
63107 valac-0.56-vapi                    	       0       29        0        0       29
63108 valac-bin                          	       0       37       35        2        0
63109 valadoc                            	       0        1        1        0        0
63110 valentina                          	       0        3        3        0        0
63111 valgrind-if-available              	       0        3        0        0        3
63112 valgrind-mpi                       	       0       11        1        0       10
63113 valkyrie                           	       0        1        1        0        0
63114 vamp-examples                      	       0        1        1        0        0
63115 vamp-plugin-sdk-doc                	       0        1        0        0        1
63116 vamps                              	       0        5        5        0        0
63117 vanguards                          	       0        4        4        0        0
63118 vapoursynth-bm3d                   	       0        1        0        0        1
63119 vapoursynth-ctmf                   	       0        1        0        0        1
63120 vapoursynth-editor                 	       0        1        1        0        0
63121 vapoursynth-ffms2                  	       0       33        0        0       33
63122 vapoursynth-fmtconv                	       0        1        0        0        1
63123 vapoursynth-genericfilters         	       0        1        0        0        1
63124 vapoursynth-hqdn3d                 	       0        1        0        0        1
63125 vapoursynth-mvtools                	       0        1        0        0        1
63126 vapoursynth-nnedi3                 	       0        1        0        0        1
63127 vapoursynth-removegrain            	       0        1        0        0        1
63128 varicad                            	       0        2        1        0        1
63129 varicad-view                       	       0        1        0        0        1
63130 varicad2012-view-en                	       0        1        1        0        0
63131 varicad2022-en                     	       0        2        1        0        1
63132 varicad2022-view-en                	       0        1        0        0        1
63133 varicad2023-view-en                	       0        1        1        0        0
63134 varna                              	       0        2        2        0        0
63135 vault                              	       0        2        2        0        0
63136 vault-benchmark                    	       0        1        1        0        0
63137 vavoom                             	       0        1        1        0        0
63138 vbackup                            	       0        2        2        0        0
63139 vblade                             	       0        2        2        0        0
63140 vboot-kernel-utils                 	       0        7        7        0        0
63141 vboot-utils                        	       0        6        6        0        0
63142 vbpp                               	       0        1        1        0        0
63143 vbrfix                             	       0       11       11        0        0
63144 vcard-studio                       	       0        1        1        0        0
63145 vcdtools                           	       0        2        2        0        0
63146 vcftools                           	       0        4        4        0        0
63147 vche                               	       0        1        1        0        0
63148 vcheck                             	       0        1        1        0        0
63149 vclt-tools                         	       0        1        1        0        0
63150 vcmi                               	       0        3        3        0        0
63151 vco-plugins                        	       0       19       19        0        0
63152 vcs                                	       0        1        1        0        0
63153 vcvrack                            	       0        1        1        0        0
63154 vdev                               	       0        1        1        0        0
63155 vdirsyncer                         	       0       19       17        2        0
63156 vdirsyncer-doc                     	       0        6        0        0        6
63157 vdk2-tutorial                      	       0        1        0        0        1
63158 vdo                                	       0        1        1        0        0
63159 vdpau-driver-all                   	       0     3227        0        0     3227
63160 vdpau-va-driver                    	       0      151        1        0      150
63161 vdr-dev                            	       0        2        2        0        0
63162 vdr-plugin-dvd                     	       0        1        1        0        0
63163 vdr-plugin-mplayer                 	       0        1        1        0        0
63164 vdr-plugin-streamdev-server        	       0        1        1        0        0
63165 vdr-plugin-svdrpservice            	       0        1        1        0        0
63166 vdr-plugin-vnsiserver              	       0        1        1        0        0
63167 vdr-plugin-xineliboutput           	       0        2        2        0        0
63168 vector                             	       0        1        1        0        0
63169 vectoroids                         	       0        3        3        0        0
63170 veeam                              	       0        4        4        0        0
63171 veeam-libs                         	       0        1        1        0        0
63172 veeam-release-deb                  	       0        5        0        0        5
63173 veeamdeployment                    	       0        1        0        0        1
63174 veeamsnap                          	       0        4        4        0        0
63175 veit-kannegieser-archive-keyring   	       0        7        0        0        7
63176 velocity                           	       0       20        0        0       20
63177 velocity-doc                       	       0        1        0        0        1
63178 ventoy                             	       0        7        0        0        7
63179 vera                               	       0        2        0        0        2
63180 vera++                             	       0        1        1        0        0
63181 veracrypt-console                  	       0        4        4        0        0
63182 verbiste                           	       0        3        3        0        0
63183 verbiste-gnome                     	       0        1        0        0        1
63184 verbiste-gtk                       	       0        2        2        0        0
63185 verdigris                          	       0        1        1        0        0
63186 verilator                          	       0       12       12        0        0
63187 veromix                            	       0        2        2        0        0
63188 veromix-common                     	       0        2        0        0        2
63189 veroroute                          	       0       11       11        0        0
63190 veroroute-data                     	       0        5        0        0        5
63191 verse                              	       0        6        6        0        0
63192 vertex-theme                       	       0        1        0        0        1
63193 vesktop                            	       0        5        2        0        3
63194 veusz                              	       0        3        3        0        0
63195 veusz-helpers                      	       0        1        1        0        0
63196 vf1                                	       0        1        1        0        0
63197 vfe-qt                             	       0        1        1        0        0
63198 vflib3                             	       0        3        3        0        0
63199 vfu                                	       0       24       21        0        3
63200 vfu-yascreen                       	       0        3        1        2        0
63201 vgabios                            	       0       23        0        0       23
63202 vgrabbj                            	       0        9        9        0        0
63203 vhba-dkms                          	       0        2        2        0        0
63204 via                                	       0        1        0        0        1
63205 viagee                             	       0        2        2        0        0
63206 viber                              	       0        7        0        0        7
63207 vibrancy-colors                    	       0        1        0        0        1
63208 vico                               	       0        1        1        0        0
63209 victoria-metrics                   	       0        1        1        0        0
63210 video2x                            	       0        1        1        0        0
63211 videogen                           	       0        7        6        1        0
63212 videolan-doc                       	       0        5        0        0        5
63213 videomass                          	       0        1        1        0        0
63214 videotrans                         	       0        7        7        0        0
63215 vidkicks                           	       0        5        5        0        0
63216 vieb                               	       0        1        0        0        1
63217 viewflif                           	       0        1        1        0        0
63218 viewmol                            	       0        1        1        0        0
63219 viewvc                             	       0        1        1        0        0
63220 viewvc-query                       	       0        1        1        0        0
63221 vigor                              	       0        4        4        0        0
63222 vile                               	       0        3        3        0        0
63223 vile-common                        	       0        3        3        0        0
63224 vile-filters                       	       0        3        0        0        3
63225 vilistextum                        	       0        3        3        0        0
63226 vim-addon-mw-utils                 	       0        8        0        0        8
63227 vim-airline                        	       0       10        0        0       10
63228 vim-airline-themes                 	       0       10        0        0       10
63229 vim-ale                            	       0        8        0        0        8
63230 vim-asciidoc                       	       0       17        0        0       17
63231 vim-athena                         	       0       11        4        0        7
63232 vim-autopairs                      	       0        1        0        0        1
63233 vim-autopep8                       	       0        9        0        0        9
63234 vim-command-t                      	       0        2        0        0        2
63235 vim-conque                         	       0        1        0        0        1
63236 vim-ctrlp                          	       0        9        0        0        9
63237 vim-doc                            	       0       69        0        0       69
63238 vim-eblook                         	       0        1        0        0        1
63239 vim-editorconfig                   	       0        8        0        0        8
63240 vim-fugitive                       	       0        9        0        0        9
63241 vim-git-hub                        	       0        7        0        0        7
63242 vim-gitgutter                      	       0        3        0        0        3
63243 vim-gnome                          	       0        1        0        0        1
63244 vim-haproxy                        	       0        2        0        0        2
63245 vim-icinga2                        	       0        6        0        0        6
63246 vim-julia                          	       0        6        0        0        6
63247 vim-lastplace                      	       0        5        0        0        5
63248 vim-latexsuite                     	       0       19        0        0       19
63249 vim-ledger                         	       0        3        0        0        3
63250 vim-lesstif                        	       0        2        0        0        2
63251 vim-link-vim                       	       0        1        0        0        1
63252 vim-nftables                       	       0        1        0        0        1
63253 vim-pathogen                       	       0       16        0        0       16
63254 vim-poke                           	       0        1        0        0        1
63255 vim-puppet                         	       0        5        0        0        5
63256 vim-python-jedi                    	       0        8        0        0        8
63257 vim-rails                          	       0        2        0        0        2
63258 vim-rainbow                        	       0        1        0        0        1
63259 vim-snipmate                       	       0        4        0        0        4
63260 vim-snippets                       	       0       15        0        0       15
63261 vim-solarized                      	       0        7        0        0        7
63262 vim-subtitles                      	       0        2        0        0        2
63263 vim-syntastic                      	       0       28        0        0       28
63264 vim-syntax-go                      	       0        1        0        0        1
63265 vim-syntax-gtk                     	       0        9        0        0        9
63266 vim-tabular                        	       0       12        0        0       12
63267 vim-textobj-user                   	       0        3        0        0        3
63268 vim-tlib                           	       0        4        0        0        4
63269 vim-ultisnips                      	       0        9        0        0        9
63270 vim-vimerl                         	       0        2        0        0        2
63271 vim-vimerl-syntax                  	       0        4        0        0        4
63272 vim-vimoutliner                    	       0        2        2        0        0
63273 vim-vimwiki                        	       0        2        0        0        2
63274 vim-voom                           	       0        7        0        0        7
63275 vim-youcompleteme                  	       0       14        0        0       14
63276 vimb                               	       0        1        1        0        0
63277 vipnetclient                       	       0        1        1        0        0
63278 virgl-server                       	       0        4        4        0        0
63279 virt-goodies                       	       0        1        1        0        0
63280 virt-v2v                           	       0        2        2        0        0
63281 virtaal                            	       0        2        2        0        0
63282 virtiofsd                          	       0        5        4        1        0
63283 virtualbox-5.1                     	       0        3        3        0        0
63284 virtualbox-6.0                     	       0        2        2        0        0
63285 virtualbox-ext-pack                	       0        9        0        0        9
63286 virtualbox-guest-additions-iso     	       0       27        0        0       27
63287 virtualbox-guest-dkms              	       0        5        5        0        0
63288 virtualbox-guest-source            	       0        1        0        0        1
63289 virtualbox-guest-x11               	       0        4        3        1        0
63290 virtualbox-source                  	       0        3        0        0        3
63291 virtualenv-clone                   	       0        1        1        0        0
63292 virtualenvwrapper                  	       0       10        1        0        9
63293 virtualenvwrapper-doc              	       0        1        0        0        1
63294 virtualgl                          	       0        3        3        0        0
63295 virtualjaguar                      	       0        3        3        0        0
63296 virtualmin-core                    	       0        1        0        0        1
63297 virtualplanet                      	       0        1        1        0        0
63298 virtuoso-minimal                   	       0       12        0        0       12
63299 virtuoso-opensource                	       0        1        0        0        1
63300 virtuoso-opensource-6.1-bin        	       0        6        6        0        0
63301 virtuoso-opensource-6.1-common     	       0        6        6        0        0
63302 virtuoso-opensource-7              	       0        2        1        0        1
63303 virtuoso-opensource-7-bin          	       0        9        9        0        0
63304 virtuoso-opensource-7-common       	       0       10       10        0        0
63305 virtuoso-server                    	       0        1        0        0        1
63306 virtuoso-vad-bpel                  	       0        1        0        0        1
63307 virtuoso-vad-conductor             	       0        1        0        0        1
63308 virtuoso-vad-demo                  	       0        1        0        0        1
63309 virtuoso-vad-doc                   	       0        1        0        0        1
63310 virtuoso-vad-isparql               	       0        1        0        0        1
63311 virtuoso-vad-ods                   	       0        1        0        0        1
63312 virtuoso-vad-rdfmappers            	       0        1        0        0        1
63313 virtuoso-vad-sparqldemo            	       0        1        0        0        1
63314 virtuoso-vad-syncml                	       0        1        0        0        1
63315 virtuoso-vad-tutorial              	       0        1        0        0        1
63316 virtuoso-vsp-startpage             	       0        1        0        0        1
63317 viruskiller                        	       0        4        4        0        0
63318 vish                               	       0        1        1        0        0
63319 visidata                           	       0        7        7        0        0
63320 visolate                           	       0        7        7        0        0
63321 visual-regexp                      	       0        5        5        0        0
63322 visualboyadvance                   	       0        8        8        0        0
63323 visualvm                           	       0       16       16        0        0
63324 vitables                           	       0        5        5        0        0
63325 vital                              	       0        2        2        0        0
63326 vitalium-vst                       	       0        1        1        0        0
63327 vite                               	       0        2        2        0        0
63328 vitetris                           	       0       13       13        0        0
63329 vivaldi-snapshot                   	       0        6        6        0        0
63330 vivid                              	       0        4        4        0        0
63331 vk                                 	       0        2        2        0        0
63332 vk-messenger                       	       0        1        0        0        1
63333 vkbasalt                           	       0       13        0        0       13
63334 vkd3d-demos                        	       0        7        7        0        0
63335 vkeybd                             	       0       19       19        0        0
63336 vkmark                             	       0        2        2        0        0
63337 vkroots-headers                    	       0        1        1        0        0
63338 vl805fw                            	       0        1        0        0        1
63339 vlc-bin-dbgsym                     	       0        1        1        0        0
63340 vlc-plugin-access-extra            	       0      970        0        0      970
63341 vlc-plugin-base-dbgsym             	       0        1        1        0        0
63342 vlc-plugin-bittorrent              	       0       48        2        0       46
63343 vlc-plugin-notify-dbgsym           	       0        1        1        0        0
63344 vlc-plugin-pipewire                	       0       68        0        0       68
63345 vlc-plugin-pulse                   	       0        6        0        0        6
63346 vlc-plugin-qt-dbgsym               	       0        1        1        0        0
63347 vlc-plugin-samba                   	       0     1057       12        0     1045
63348 vlc-plugin-svg                     	       0       67        0        0       67
63349 vlc-plugin-video-output-dbgsym     	       0        1        1        0        0
63350 vlc-plugin-video-splitter          	       0     1081        0        0     1081
63351 vlc-plugin-visualization           	       0     1081        0        0     1081
63352 vlc-plugin-vlsub                   	       0       11        0        0       11
63353 vlevel                             	       0        6        6        0        0
63354 vlogger                            	       0        1        1        0        0
63355 vm                                 	       0        2        2        0        0
63356 vma                                	       0        1        1        0        0
63357 vmdk-stream-converter              	       0        2        0        0        2
63358 vmfs6-tools                        	       0        6        6        0        0
63359 vmg                                	       0        5        5        0        0
63360 vmm-doc                            	       0        2        0        0        2
63361 vmpk                               	       0       20       20        0        0
63362 vmware-manager                     	       0        7        7        0        0
63363 vnc-common                         	       0        2        2        0        0
63364 vnc4server                         	       0       21        2        0       19
63365 vncserver                          	       0        1        1        0        0
63366 vncsnapshot                        	       0        3        3        0        0
63367 vnstati                            	       0        4        4        0        0
63368 vocproc                            	       0        4        4        0        0
63369 voctomix                           	       0        5        0        0        5
63370 voctomix-core                      	       0        5        5        0        0
63371 voctomix-gui                       	       0        5        5        0        0
63372 vodovod                            	       0        9        9        0        0
63373 vokoscreen                         	       0        6        2        0        4
63374 vokoscreen-ng                      	       0       29       28        1        0
63375 volk                               	       0        1        1        0        0
63376 volti                              	       0        1        1        0        0
63377 voltron                            	       0        2        2        0        0
63378 volumecontrol.app                  	       0        3        3        0        0
63379 vor                                	       0        2        2        0        0
63380 voro++                             	       0        2        2        0        0
63381 voro++-dev                         	       0        2        0        0        2
63382 voxbo                              	       0        1        1        0        0
63383 voyage-util                        	       0        1        1        0        0
63384 vpb-driver-source                  	       0       11        0        0       11
63385 vpb-utils                          	       0        1        1        0        0
63386 vpcs                               	       0        2        2        0        0
63387 vpkedit                            	       0        1        1        0        0
63388 vpnc-scripts                       	       0      133        0        0      133
63389 vsd2odg                            	       0        3        3        0        0
63390 vsearch                            	       0        2        2        0        0
63391 vsmartcard-vpcd                    	       0        2        2        0        0
63392 vsmartcard-vpicc                   	       0        2        2        0        0
63393 vsound                             	       0        1        1        0        0
63394 vstream-client-dev                 	       0        8        8        0        0
63395 vstudio                            	       0        1        1        0        0
63396 vtgamma                            	       0        1        1        0        0
63397 vtk-dicom-tools                    	       0        2        2        0        0
63398 vtk6                               	       0        1        1        0        0
63399 vtk6-doc                           	       0        1        0        0        1
63400 vtk6-examples                      	       0        1        1        0        0
63401 vtk7-doc                           	       0        1        0        0        1
63402 vtk9                               	       0       12       12        0        0
63403 vtk9-doc                           	       0        3        0        0        3
63404 vtk9-examples                      	       0        4        4        0        0
63405 vtprint                            	       0        2        2        0        0
63406 vttest                             	       0        7        6        1        0
63407 vulkan-amdgpu                      	       0        3        0        0        3
63408 vulkan-amdgpu-pro                  	       0        1        0        0        1
63409 vulkan-extensionlayer              	       0        1        0        0        1
63410 vulkan-headers                     	       0        1        1        0        0
63411 vulkan-memory-allocator-doc        	       0        1        0        0        1
63412 vulkan-profiles                    	       0        1        1        0        0
63413 vulkan-sdk                         	       0        2        0        0        2
63414 vulkan-utility-libraries           	       0        1        0        0        1
63415 vulkan-utility-libraries-dev       	       0        3        2        1        0
63416 vulkan-utils                       	       0       21        1        0       20
63417 vulkan-validationlayers            	       0       35        0        0       35
63418 vulkan-validationlayers-dev        	       0        8        8        0        0
63419 vulkancapsviewer                   	       0        1        1        0        0
63420 vulture                            	       0        2        2        0        0
63421 vux                                	       0        1        1        0        0
63422 vvmd                               	       0        2        2        0        0
63423 vvmplayer                          	       0        2        2        0        0
63424 vz-guest-udev                      	       0        1        1        0        0
63425 vzctl                              	       0        1        1        0        0
63426 vzquota                            	       0        1        1        0        0
63427 w-scan-cpp                         	       0        1        1        0        0
63428 w2do                               	       0        1        1        0        0
63429 w3-doc-e21                         	       0        1        0        0        1
63430 w3-dtd-mathml                      	       0        4        0        0        4
63431 w3-recs                            	       0        6        0        0        6
63432 w3-recs-2002                       	       0        1        0        0        1
63433 w3-recs-2003                       	       0        1        0        0        1
63434 w32codecs                          	       0        5        5        0        0
63435 w3c-dtd-xhtml                      	       0        3        0        0        3
63436 w3c-linkchecker                    	       0        3        3        0        0
63437 w3c-markup-validator               	       0        2        0        0        2
63438 w3c-sgml-lib                       	       0        9        0        0        9
63439 w3cam                              	       0        1        1        0        0
63440 w64codecs                          	       0        8        8        0        0
63441 w9wm                               	       0        3        3        0        0
63442 wadc                               	       0        2        2        0        0
63443 waffle-utils                       	       0        2        2        0        0
63444 wafw00f                            	       0        2        2        0        0
63445 waimea                             	       0        1        1        0        0
63446 wait-for-it                        	       0        1        1        0        0
63447 wajig                              	       0       10       10        0        0
63448 wallace                            	       0        1        1        0        0
63449 wallstreet                         	       0        2        2        0        0
63450 wap-wml-tools                      	       0        1        1        0        0
63451 warmux-data                        	       0       24        0        0       24
63452 warmux-servers                     	       0        2        2        0        0
63453 warp                               	       0        1        0        1        0
63454 warp-terminal                      	       0        2        0        0        2
63455 warpinator                         	       0        1        1        0        0
63456 warsaw                             	       0        2        2        0        0
63457 warzone2100-data                   	       0       19        0        0       19
63458 warzone2100-music                  	       0       20        0        0       20
63459 wasi-libc                          	       0       13       12        1        0
63460 wasistlos                          	       0        2        0        2        0
63461 wassabee                           	       0        2        2        0        0
63462 watchman                           	       0        2        2        0        0
63463 waterfox-classic-i18n-en-gb        	       0        1        1        0        0
63464 waterfox-classic-i18n-ru           	       0        1        1        0        0
63465 waterfox-classic-kde               	       0        1        0        0        1
63466 waterfox-classic-kpe               	       0        3        3        0        0
63467 waterfox-g                         	       0        1        1        0        0
63468 waterfox-g-i18n-ru                 	       0        1        1        0        0
63469 waterfox-g-kde                     	       0        1        0        0        1
63470 waterfox-g-kpe                     	       0        2        2        0        0
63471 waterfox-g3-kde                    	       0        1        0        0        1
63472 waterfox-g3-kpe                    	       0        1        0        0        1
63473 waterfox-kde                       	       0        2        2        0        0
63474 waterfox-kde-full                  	       0        3        2        0        1
63475 watson                             	       0        1        1        0        0
63476 wattconfig-eco                     	       0        1        0        0        1
63477 wavbreaker                         	       0        6        6        0        0
63478 wavegain                           	       0        1        1        0        0
63479 waves-exchange                     	       0        1        0        0        1
63480 wavesurfer                         	       0        1        1        0        0
63481 wavtool-pl                         	       0        1        1        0        0
63482 wayback                            	       0        1        1        0        0
63483 wayfire                            	       0        7        6        1        0
63484 wayfire-plugin-winshadows          	       0        1        0        0        1
63485 wayland-protocols                  	       0      319       22        3      294
63486 wayland-protocols-amdgpu           	       0        1        0        0        1
63487 wayland-scanner++                  	       0        7        7        0        0
63488 waylandpp-dev                      	       0        4        0        0        4
63489 waylandpp-doc                      	       0        4        0        0        4
63490 wayout                             	       0        2        2        0        0
63491 waypipe                            	       0        8        7        1        0
63492 wcc                                	       0        3        3        0        0
63493 wcd                                	       0        1        1        0        0
63494 wcslib-dev                         	       0        6        6        0        0
63495 wcslib-tools                       	       0        4        4        0        0
63496 wcstools                           	       0        7        7        0        0
63497 wdanish                            	       0        7        7        0        0
63498 wdg-html-validator                 	       0        1        1        0        0
63499 wdiff-doc                          	       0       11        0        0       11
63500 wdisplays                          	       0       13       13        0        0
63501 wdutch                             	       0       25       25        0        0
63502 weather                            	       0        1        0        0        1
63503 weather-util-data                  	       0       11        0        0       11
63504 weathermap4rrd                     	       0        2        2        0        0
63505 web-eid                            	       0        1        0        0        1
63506 web-eid-chrome                     	       0        1        0        0        1
63507 web-eid-firefox                    	       0        1        0        0        1
63508 web-eid-native                     	       0        1        1        0        0
63509 webapps-metainfo                   	       0        1        0        0        1
63510 webcamd                            	       0        5        5        0        0
63511 webcamoid-data                     	       0       50        0        0       50
63512 webcamoid-plugins                  	       0       49        0        0       49
63513 webex                              	       0        8        8        0        0
63514 webext-browserpass                 	       0        5        5        0        0
63515 webext-bulk-media-downloader       	       0        5        0        0        5
63516 webext-debianbuttons               	       0        2        0        0        2
63517 webext-form-history-control        	       0        1        0        0        1
63518 webext-foxyproxy                   	       0        1        0        0        1
63519 webext-https-everywhere            	       0        6        0        0        6
63520 webext-indie-wiki-buddy-firefox    	       0        1        0        0        1
63521 webext-keepassxc-browser           	       0       27        0        0       27
63522 webext-lightbeam                   	       0        5        0        0        5
63523 webext-noscript                    	       0        8        0        0        8
63524 webext-plasma-browser-integration  	       0        1        0        0        1
63525 webext-proxy-switcher              	       0        1        0        0        1
63526 webext-sogo-connector              	       0        4        0        0        4
63527 webext-treestyletab                	       0        3        0        0        3
63528 webext-ublock-origin               	       0       17        0        0       17
63529 webext-umatrix                     	       0        3        2        0        1
63530 webext-vimium-firefox              	       0        1        0        0        1
63531 webhook                            	       0        1        1        0        0
63532 webhttrack                         	       0       29       29        0        0
63533 webhttrack-common                  	       0       29        0        0       29
63534 webkit-image-gtk                   	       0        1        1        0        0
63535 webkit2gtk-driver                  	       0        3        3        0        0
63536 webkit2pdf                         	       0        1        1        0        0
63537 webmagick                          	       0        2        2        0        0
63538 webmin-cloudmin-services           	       0        1        0        0        1
63539 webmin-jailkit                     	       0        1        0        0        1
63540 webmin-php-pear                    	       0        1        0        0        1
63541 webmin-ruby-gems                   	       0        1        0        0        1
63542 webmin-security-updates            	       0        2        0        0        2
63543 webmin-virtual-server              	       0        2        2        0        0
63544 webmin-virtual-server-mobile       	       0        2        0        0        2
63545 webmin-virtual-server-theme        	       0        4        0        0        4
63546 webmin-virtualmin-awstats          	       0        1        0        0        1
63547 webmin-virtualmin-dav              	       0        1        0        0        1
63548 webmin-virtualmin-git              	       0        1        0        0        1
63549 webmin-virtualmin-htpasswd         	       0        1        0        0        1
63550 webmin-virtualmin-init             	       0        1        0        0        1
63551 webmin-virtualmin-password-recovery	       0        1        0        0        1
63552 webmin-virtualmin-registrar        	       0        1        1        0        0
63553 webmin-virtualmin-slavedns         	       0        1        0        0        1
63554 webmin-virtualmin-sqlite           	       0        1        0        0        1
63555 weborf                             	       0        6        6        0        0
63556 websockify                         	       0       13       13        0        0
63557 websockify-common                  	       0        2        0        0        2
63558 webtorrent-desktop                 	       0        3        3        0        0
63559 webulldesktop                      	       0        1        1        0        0
63560 webvtt                             	       0        2        2        0        0
63561 wechat-beta                        	       0        1        0        0        1
63562 weechat                            	       0       50        0        0       50
63563 weechat-devel-core                 	       0        1        0        0        1
63564 weechat-devel-curses               	       0        1        1        0        0
63565 weechat-devel-perl                 	       0        1        0        0        1
63566 weechat-devel-plugins              	       0        1        0        0        1
63567 weechat-devel-python               	       0        1        0        0        1
63568 weechat-devel-ruby                 	       0        1        0        0        1
63569 weechat-doc                        	       0        4        0        0        4
63570 weechat-lua                        	       0        1        0        0        1
63571 weechat-matrix                     	       0        7        1        0        6
63572 weechat-php                        	       0        1        0        0        1
63573 weechat-scripts                    	       0        7        0        0        7
63574 weechat-tcl                        	       0        1        0        0        1
63575 weektodo                           	       0        1        1        0        0
63576 weevely                            	       0        1        1        0        0
63577 weex                               	       0        1        1        0        0
63578 weightwatcher                      	       0        2        2        0        0
63579 weixin                             	       0        1        0        0        1
63580 weka                               	       0        3        3        0        0
63581 weka-doc                           	       0        1        0        0        1
63582 welle.io                           	       0        3        3        0        0
63583 wesnoth                            	       0       43        0        0       43
63584 wesnoth-1.10                       	       0        1        0        0        1
63585 wesnoth-1.10-aoi                   	       0        1        0        0        1
63586 wesnoth-1.10-core                  	       0        1        1        0        0
63587 wesnoth-1.10-data                  	       0        1        0        0        1
63588 wesnoth-1.10-did                   	       0        1        0        0        1
63589 wesnoth-1.10-dm                    	       0        1        0        0        1
63590 wesnoth-1.10-dw                    	       0        1        0        0        1
63591 wesnoth-1.10-ei                    	       0        1        0        0        1
63592 wesnoth-1.10-httt                  	       0        1        0        0        1
63593 wesnoth-1.10-l                     	       0        1        0        0        1
63594 wesnoth-1.10-low                   	       0        1        0        0        1
63595 wesnoth-1.10-music                 	       0        1        0        0        1
63596 wesnoth-1.10-nr                    	       0        1        0        0        1
63597 wesnoth-1.10-sof                   	       0        1        0        0        1
63598 wesnoth-1.10-sotbe                 	       0        1        0        0        1
63599 wesnoth-1.10-thot                  	       0        1        0        0        1
63600 wesnoth-1.10-trow                  	       0        1        0        0        1
63601 wesnoth-1.10-tsg                   	       0        1        0        0        1
63602 wesnoth-1.10-ttb                   	       0        1        0        0        1
63603 wesnoth-1.10-utbs                  	       0        1        0        0        1
63604 wesnoth-1.11                       	       0        1        0        0        1
63605 wesnoth-1.11-aoi                   	       0        1        0        0        1
63606 wesnoth-1.11-core                  	       0        1        1        0        0
63607 wesnoth-1.11-data                  	       0        1        0        0        1
63608 wesnoth-1.11-did                   	       0        1        0        0        1
63609 wesnoth-1.11-dm                    	       0        1        0        0        1
63610 wesnoth-1.11-dw                    	       0        1        0        0        1
63611 wesnoth-1.11-ei                    	       0        1        0        0        1
63612 wesnoth-1.11-httt                  	       0        1        0        0        1
63613 wesnoth-1.11-l                     	       0        1        0        0        1
63614 wesnoth-1.11-low                   	       0        1        0        0        1
63615 wesnoth-1.11-music                 	       0        1        0        0        1
63616 wesnoth-1.11-nr                    	       0        1        0        0        1
63617 wesnoth-1.11-sof                   	       0        1        0        0        1
63618 wesnoth-1.11-sotbe                 	       0        1        0        0        1
63619 wesnoth-1.11-thot                  	       0        1        0        0        1
63620 wesnoth-1.11-tools                 	       0        1        0        0        1
63621 wesnoth-1.11-trow                  	       0        1        0        0        1
63622 wesnoth-1.11-tsg                   	       0        1        0        0        1
63623 wesnoth-1.11-ttb                   	       0        1        0        0        1
63624 wesnoth-1.11-utbs                  	       0        1        0        0        1
63625 wesnoth-1.12                       	       0        4        0        0        4
63626 wesnoth-1.12-aoi                   	       0        4        0        0        4
63627 wesnoth-1.12-core                  	       0        4        4        0        0
63628 wesnoth-1.12-data                  	       0        4        0        0        4
63629 wesnoth-1.12-did                   	       0        4        0        0        4
63630 wesnoth-1.12-dm                    	       0        4        0        0        4
63631 wesnoth-1.12-dw                    	       0        4        0        0        4
63632 wesnoth-1.12-ei                    	       0        4        0        0        4
63633 wesnoth-1.12-httt                  	       0        4        0        0        4
63634 wesnoth-1.12-l                     	       0        4        0        0        4
63635 wesnoth-1.12-low                   	       0        4        0        0        4
63636 wesnoth-1.12-music                 	       0        4        0        0        4
63637 wesnoth-1.12-nr                    	       0        4        0        0        4
63638 wesnoth-1.12-sof                   	       0        4        0        0        4
63639 wesnoth-1.12-sotbe                 	       0        4        0        0        4
63640 wesnoth-1.12-thot                  	       0        4        0        0        4
63641 wesnoth-1.12-trow                  	       0        4        0        0        4
63642 wesnoth-1.12-tsg                   	       0        4        0        0        4
63643 wesnoth-1.12-ttb                   	       0        4        0        0        4
63644 wesnoth-1.12-utbs                  	       0        4        0        0        4
63645 wesnoth-1.14                       	       0        8        0        0        8
63646 wesnoth-1.14-aoi                   	       0        8        0        0        8
63647 wesnoth-1.14-core                  	       0        8        8        0        0
63648 wesnoth-1.14-data                  	       0       11        0        0       11
63649 wesnoth-1.14-did                   	       0        8        0        0        8
63650 wesnoth-1.14-dm                    	       0        8        0        0        8
63651 wesnoth-1.14-dw                    	       0        8        0        0        8
63652 wesnoth-1.14-ei                    	       0        8        0        0        8
63653 wesnoth-1.14-httt                  	       0        8        0        0        8
63654 wesnoth-1.14-l                     	       0        8        0        0        8
63655 wesnoth-1.14-low                   	       0        8        0        0        8
63656 wesnoth-1.14-music                 	       0       13        0        0       13
63657 wesnoth-1.14-nr                    	       0        8        0        0        8
63658 wesnoth-1.14-server                	       0        3        3        0        0
63659 wesnoth-1.14-sof                   	       0        8        0        0        8
63660 wesnoth-1.14-sota                  	       0        8        0        0        8
63661 wesnoth-1.14-sotbe                 	       0        8        0        0        8
63662 wesnoth-1.14-thot                  	       0        8        0        0        8
63663 wesnoth-1.14-tools                 	       0        1        1        0        0
63664 wesnoth-1.14-trow                  	       0        8        0        0        8
63665 wesnoth-1.14-tsg                   	       0        8        0        0        8
63666 wesnoth-1.14-ttb                   	       0        8        0        0        8
63667 wesnoth-1.14-utbs                  	       0        8        0        0        8
63668 wesnoth-1.16                       	       0       29        0        0       29
63669 wesnoth-1.16-build-deps            	       0        1        0        0        1
63670 wesnoth-1.16-core                  	       0       29       28        1        0
63671 wesnoth-1.16-data                  	       0       32        0        0       32
63672 wesnoth-1.16-did                   	       0       29        0        0       29
63673 wesnoth-1.16-dm                    	       0       29        0        0       29
63674 wesnoth-1.16-dw                    	       0       29        0        0       29
63675 wesnoth-1.16-ei                    	       0       29        0        0       29
63676 wesnoth-1.16-httt                  	       0       29        0        0       29
63677 wesnoth-1.16-l                     	       0       29        0        0       29
63678 wesnoth-1.16-low                   	       0       29        0        0       29
63679 wesnoth-1.16-music                 	       0       31        0        0       31
63680 wesnoth-1.16-nr                    	       0       29        0        0       29
63681 wesnoth-1.16-sof                   	       0       29        0        0       29
63682 wesnoth-1.16-sota                  	       0       29        0        0       29
63683 wesnoth-1.16-sotbe                 	       0       29        0        0       29
63684 wesnoth-1.16-thot                  	       0       29        0        0       29
63685 wesnoth-1.16-trow                  	       0       29        0        0       29
63686 wesnoth-1.16-tsg                   	       0       29        0        0       29
63687 wesnoth-1.16-ttb                   	       0       29        0        0       29
63688 wesnoth-1.16-utbs                  	       0       29        0        0       29
63689 wesnoth-1.18                       	       0       11       11        0        0
63690 wesnoth-1.18-data                  	       0       12        0        0       12
63691 wesnoth-1.18-did                   	       0       10        0        0       10
63692 wesnoth-1.18-dm                    	       0       10        0        0       10
63693 wesnoth-1.18-dw                    	       0       10        0        0       10
63694 wesnoth-1.18-ei                    	       0       10        0        0       10
63695 wesnoth-1.18-httt                  	       0       10        0        0       10
63696 wesnoth-1.18-l                     	       0       10        0        0       10
63697 wesnoth-1.18-low                   	       0       10        0        0       10
63698 wesnoth-1.18-music                 	       0       12        0        0       12
63699 wesnoth-1.18-nr                    	       0       10        0        0       10
63700 wesnoth-1.18-sof                   	       0       10        0        0       10
63701 wesnoth-1.18-sota                  	       0       10        0        0       10
63702 wesnoth-1.18-sotbe                 	       0       10        0        0       10
63703 wesnoth-1.18-thot                  	       0       10        0        0       10
63704 wesnoth-1.18-tools                 	       0        1        1        0        0
63705 wesnoth-1.18-trow                  	       0       10        0        0       10
63706 wesnoth-1.18-tsg                   	       0       10        0        0       10
63707 wesnoth-1.18-ttb                   	       0       10        0        0       10
63708 wesnoth-1.18-utbs                  	       0       10        0        0       10
63709 wesnoth-1.18-wof                   	       0       10        0        0       10
63710 wesnoth-core                       	       0        2        0        0        2
63711 wesnoth-music                      	       0        7        0        0        7
63712 wesperanto                         	       0       16       12        0        4
63713 west                               	       0        1        1        0        0
63714 wev                                	       0        6        6        0        0
63715 weylus                             	       0        1        1        0        0
63716 wezterm                            	       0        2        2        0        0
63717 wf-recorder                        	       0        5        5        0        0
63718 wfrog                              	       0        1        1        0        0
63719 wgalician-minimos                  	       0        2        2        0        0
63720 wgdd-archive-keyring               	       0        1        0        0        1
63721 wget2-dev                          	       0        1        1        0        0
63722 whalebird                          	       0        1        0        0        1
63723 when                               	       0        7        7        0        0
63724 whereami                           	       0        2        2        0        0
63725 which                              	       0        1        1        0        0
63726 whichwayisup                       	       0        1        1        0        0
63727 whipper                            	       0        7        6        1        0
63728 whitakers-words                    	       0        2        1        1        0
63729 whitakers-words-data               	       0        2        0        0        2
63730 whiteboard                         	       0        1        1        0        0
63731 whitedune                          	       0        5        5        0        0
63732 whitedune-docs                     	       0        2        2        0        0
63733 whitesur-gtk-theme                 	       0        1        0        0        1
63734 whizzytex                          	       0        2        2        0        0
63735 whowatch                           	       0        4        4        0        0
63736 whysynth                           	       0        9        9        0        0
63737 wicd                               	       0      148        0        0      148
63738 wicd-build-deps                    	       0        1        0        0        1
63739 wide-dhcpv6-relay                  	       0        1        1        0        0
63740 widelands                          	       0       15       15        0        0
63741 widelands-data                     	       0       15        0        0       15
63742 widemargin                         	       0        2        2        0        0
63743 wifi-host-ap-bash                  	       0        1        1        0        0
63744 wifi-qr                            	       0        3        3        0        0
63745 wifi-radar                         	       0        3        3        0        0
63746 wifite                             	       0       28       28        0        0
63747 wigeon                             	       0        1        1        0        0
63748 wiggle                             	       0        1        1        0        0
63749 wike                               	       0        1        1        0        0
63750 wiki2beamer                        	       0        2        2        0        0
63751 wikiman                            	       0        1        1        0        0
63752 wikipedia2text                     	       0        6        6        0        0
63753 wikipediafs                        	       0        1        1        0        0
63754 wildmidi                           	       0        3        3        0        0
63755 wily                               	       0        5        5        0        0
63756 wily-dbgsym                        	       0        1        1        0        0
63757 win-iconv-mingw-w64-dev            	       0        2        2        0        0
63758 win31games                         	       0        4        4        0        0
63759 win31games-oregon-trail            	       0        2        0        0        2
63760 win31games-typing-instructor       	       0        3        0        0        3
63761 win31games-zoombinis               	       0        3        0        0        3
63762 win32-loader                       	       0        8        0        0        8
63763 window-size                        	       0        2        2        0        0
63764 windowlab                          	       0        3        3        0        0
63765 windows-el                         	       0        1        1        0        0
63766 windows-entertainment-pack         	       0        1        1        0        0
63767 windows95                          	       0        1        1        0        0
63768 windsurf                           	       0        3        1        2        0
63769 wine-bin                           	       0        1        0        0        1
63770 wine-devel-dbg                     	       0        2        1        1        0
63771 wine-devel-dev                     	       0        1        0        1        0
63772 wine-doc                           	       0        1        0        0        1
63773 wine1.6                            	       0        1        1        0        0
63774 wine1.6-amd64                      	       0        1        1        0        0
63775 wine1.6-i386                       	       0        1        1        0        0
63776 wine32-development                 	       0        6        6        0        0
63777 wine32-development-preloader       	       0        3        3        0        0
63778 wine32-preloader                   	       0       26       25        1        0
63779 wine32-tools                       	       0        1        1        0        0
63780 wine64-bin                         	       0        3        0        0        3
63781 wine64-dev-tools                   	       0        2        0        0        2
63782 wineasio                           	       0        1        0        0        1
63783 wineasio-amd64                     	       0        1        0        0        1
63784 wineasio-data                      	       0        1        1        0        0
63785 wineasio-i386                      	       0        1        1        0        0
63786 winegui                            	       0        1        1        0        0
63787 winff                              	       0       38        0        0       38
63788 winff-data                         	       0       48        2        0       46
63789 winff-doc                          	       0       12        0        0       12
63790 winff-qt                           	       0       11       11        0        0
63791 wing                               	       0        5        5        0        0
63792 wing-data                          	       0        5        0        0        5
63793 wingpro10                          	       0        4        4        0        0
63794 wingpro7                           	       0        4        4        0        0
63795 wings3d                            	       0       16       16        0        0
63796 wininfo                            	       0        4        4        0        0
63797 winpr-utils                        	       0       11       11        0        0
63798 winpr3-utils                       	       0        3        2        1        0
63799 winsol                             	       0        1        1        0        0
63800 winswitch                          	       0        1        1        0        0
63801 wipe2fs                            	       0        1        1        0        0
63802 wire                               	       0        2        2        0        0
63803 wire-desktop                       	       0        3        1        0        2
63804 wiredpanda                         	       0        4        4        0        0
63805 wireguard                          	       0      160        0        0      160
63806 wireguard-dkms                     	       0       11       11        0        0
63807 wireguard-go                       	       0        3        3        0        0
63808 wireguird                          	       0        1        1        0        0
63809 wireplumber-doc                    	       0       16        0        0       16
63810 wireshark-dev                      	       0        2        2        0        0
63811 wireshark-doc                      	       0       38        0        0       38
63812 wireshark-gtk                      	       0       20        3        0       17
63813 wireviz                            	       0        1        0        1        0
63814 wise                               	       0        2        2        0        0
63815 wise-data                          	       0        2        0        0        2
63816 wit                                	       0        3        3        0        0
63817 wixl                               	       0        1        1        0        0
63818 wixl-data                          	       0        1        0        0        1
63819 wizznic                            	       0        6        6        0        0
63820 wizznic-data                       	       0        6        0        0        6
63821 wkrt-lin                           	       0        1        1        0        0
63822 wl                                 	       0        2        2        0        0
63823 wlassistant-trinity                	       0        1        1        0        0
63824 wlc                                	       0        1        1        0        0
63825 wlcs                               	       0        3        3        0        0
63826 wlogout                            	       0        5        5        0        0
63827 wlr-randr                          	       0       11       11        0        0
63828 wlrctl                             	       0        2        0        2        0
63829 wm2                                	       0        5        5        0        0
63830 wmail                              	       0        3        3        0        0
63831 wmaker-data                        	       0       34        0        0       34
63832 wmaker-utils                       	       0       26       26        0        0
63833 wmakerconf                         	       0        1        1        0        0
63834 wmakerconf-data                    	       0        2        0        0        2
63835 wmanager                           	       0        1        1        0        0
63836 wmauda                             	       0        5        5        0        0
63837 wmbinclock                         	       0        1        1        0        0
63838 wmcalc                             	       0        5        5        0        0
63839 wmcdplay                           	       0        1        1        0        0
63840 wmcliphist                         	       0        5        5        0        0
63841 wmcoincoin                         	       0        1        1        0        0
63842 wmcore                             	       0        3        3        0        0
63843 wmcpu                              	       0        5        5        0        0
63844 wmdate                             	       0        2        2        0        0
63845 wmdocker                           	       0       67       65        2        0
63846 wmdrawer                           	       0        6        6        0        0
63847 wmenu                              	       0        9        9        0        0
63848 wmfire                             	       0        2        2        0        0
63849 wmforecast                         	       0        1        1        0        0
63850 wmforkplop                         	       0        1        1        0        0
63851 wmfrog                             	       0        2        2        0        0
63852 wmget                              	       0        3        3        0        0
63853 wmgtemp                            	       0        3        3        0        0
63854 wmhdplop                           	       0        5        5        0        0
63855 wmibam                             	       0        1        1        0        0
63856 wmifinfo                           	       0        4        4        0        0
63857 wmifs                              	       0        5        5        0        0
63858 wminput                            	       0        4        4        0        0
63859 wmitime                            	       0        4        4        0        0
63860 wml                                	       0        4        4        0        0
63861 wmload                             	       0        9        9        0        0
63862 wmlongrun                          	       0        2        2        0        0
63863 wmmand                             	       0        1        1        0        0
63864 wmmatrix                           	       0        4        4        0        0
63865 wmmemload                          	       0       10       10        0        0
63866 wmmisc                             	       0        2        2        0        0
63867 wmmount                            	       0        2        2        0        0
63868 wmnd-snmp                          	       0        1        1        0        0
63869 wmnetselect                        	       0        1        1        0        0
63870 wmnut                              	       0        3        3        0        0
63871 wmppp.app                          	       0        1        1        0        0
63872 wmpuzzle                           	       0        2        2        0        0
63873 wmrack                             	       0        2        2        0        0
63874 wmressel                           	       0        2        2        0        0
63875 wmsensors                          	       0        1        1        0        0
63876 wmshutdown                         	       0        5        5        0        0
63877 wmsmpmon                           	       0        1        1        0        0
63878 wmsun                              	       0        8        8        0        0
63879 wmsysmon                           	       0        4        4        0        0
63880 wmsystemtray                       	       0        9        9        0        0
63881 wmtop                              	       0        6        6        0        0
63882 wmtv                               	       0        3        3        0        0
63883 wmtz                               	       0        1        1        0        0
63884 wmwave                             	       0        3        3        0        0
63885 wmweather+                         	       0        5        5        0        0
63886 wmwork                             	       0        5        5        0        0
63887 wmxres                             	       0        2        2        0        0
63888 wnorwegian                         	       0       45       40        5        0
63889 wob                                	       0        4        4        0        0
63890 woeusb                             	       0        2        2        0        0
63891 woeusb-build-deps                  	       0        1        0        0        1
63892 woeusb-frontend-wxgtk              	       0        1        1        0        0
63893 woeusb-ng                          	       0        1        1        0        0
63894 woff-tools                         	       0        8        8        0        0
63895 woff2                              	       0        9        9        0        0
63896 wolf3d-v12-data                    	       0        1        0        0        1
63897 wolf4sdl                           	       0        5        5        0        0
63898 wolframscript                      	       0        7        7        0        0
63899 wolpertinger-lv2                   	       0        1        1        0        0
63900 wonderdraft                        	       0        1        0        0        1
63901 woob                               	       0        1        1        0        0
63902 woof-doom                          	       0        3        3        0        0
63903 wordgrinder                        	       0       16        0        0       16
63904 wordgrinder-doc                    	       0       16        0        0       16
63905 wordgrinder-x11                    	       0        2        2        0        0
63906 wordnet-base                       	       0       23        0        0       23
63907 wordnet-dev                        	       0        1        1        0        0
63908 wordnet-sense-index                	       0        9        0        0        9
63909 wordplay                           	       0       11       11        0        0
63910 wordpress-l10n                     	       0        9        0        0        9
63911 wordpress-theme-twentyfifteen      	       0        1        0        0        1
63912 wordpress-theme-twentynineteen     	       0        2        2        0        0
63913 wordpress-theme-twentyseventeen    	       0        4        3        0        1
63914 wordpress-theme-twentysixteen      	       0        1        0        0        1
63915 wordpress-theme-twentytwenty       	       0        2        2        0        0
63916 wordpress-theme-twentytwentyone    	       0        3        2        0        1
63917 wordpress-theme-twentytwentythree  	       0        1        1        0        0
63918 wordwarvi                          	       0        4        4        0        0
63919 wordwarvi-build-deps               	       0        1        0        0        1
63920 wordwarvi-dbgsym                   	       0        1        1        0        0
63921 wordwarvi-sound                    	       0        4        0        0        4
63922 workbone                           	       0        1        1        0        0
63923 worker-data                        	       0       10        0        0       10
63924 worklog                            	       0        1        1        0        0
63925 workrave-data                      	       0       16        0        0       16
63926 workrave-xfce4                     	       0        5        0        0        5
63927 worldofgoo                         	       0        2        2        0        0
63928 worldographer                      	       0        1        1        0        0
63929 worldpainter                       	       0        1        1        0        0
63930 wotsap                             	       0        1        1        0        0
63931 wound-up                           	       0        1        1        0        0
63932 wp2latex                           	       0        7        5        2        0
63933 wp2latex-styles                    	       0        3        0        0        3
63934 wpd2epub                           	       0        2        2        0        0
63935 wpd2sxw                            	       0        1        1        0        0
63936 wpewebkit-driver                   	       0        1        1        0        0
63937 wps-office                         	       0        5        5        0        0
63938 wps2epub                           	       0        1        1        0        0
63939 wps2sxw                            	       0        1        1        0        0
63940 wraplinux                          	       0        1        1        0        0
63941 wrapsrv                            	       0        1        1        0        0
63942 wreport-common                     	       0        2        0        0        2
63943 writer2latex-manual                	       0        3        0        0        3
63944 wrk                                	       0        5        5        0        0
63945 wsclean                            	       0        5        5        0        0
63946 wsclean-dev                        	       0        2        2        0        0
63947 wsdd2                              	       0        3        3        0        0
63948 wsjtx-data                         	       0       17        0        0       17
63949 wsjtx-doc                          	       0       13        0        0       13
63950 wsl                                	       0        1        1        0        0
63951 wsynth-dssi                        	       0        6        6        0        0
63952 wtav                               	       0        1        1        0        0
63953 wterm                              	       0        1        1        0        0
63954 wterm-ml                           	       0        1        1        0        0
63955 wtf-debian-keyring                 	       0        1        0        0        1
63956 wtype                              	       0        6        6        0        0
63957 wvkbd                              	       0        3        3        0        0
63958 wwwconfig-common                   	       0       36        0        0       36
63959 wx2.6-headers                      	       0        1        1        0        0
63960 wx2.6-i18n                         	       0        2        0        0        2
63961 wx2.8-headers                      	       0        5        5        0        0
63962 wx3.0-doc                          	       0       14        0        0       14
63963 wx3.0-examples                     	       0        6        6        0        0
63964 wx3.0-i18n                         	       0        9        0        0        9
63965 wx3.1-headers                      	       0        1        1        0        0
63966 wx3.2-doc                          	       0        8        0        0        8
63967 wx3.2-examples                     	       0        2        2        0        0
63968 wx3.2-i18n                         	       0        7        0        0        7
63969 wxastrocapture                     	       0        3        3        0        0
63970 wxedid                             	       0        4        3        1        0
63971 wxglade                            	       0        4        4        0        0
63972 wxmp3gain                          	       0        1        1        0        0
63973 wxpython-tools                     	       0       12       12        0        0
63974 wxsmith-headers                    	       0        2        2        0        0
63975 wxsqlite3-doc                      	       0        2        0        0        2
63976 x-dev                              	       0        3        0        0        3
63977 x-face-el                          	       0        3        3        0        0
63978 x-pgp-sig-el                       	       0        1        1        0        0
63979 x-tile                             	       0        2        2        0        0
63980 x-ttcidfont-conf                   	       0        3        0        0        3
63981 x-window-system-core               	       0        1        0        0        1
63982 x11-common                         	       0     3937        0        0     3937
63983 x11proto-bigreqs-dev               	       0        7        2        0        5
63984 x11proto-composite-dev             	       0       54        9        0       45
63985 x11proto-dmx-dev                   	       0        7        2        0        5
63986 x11proto-dri3-dev                  	       0       12        4        0        8
63987 x11proto-evie-dev                  	       0        1        1        0        0
63988 x11proto-fontcache-dev             	       0        1        1        0        0
63989 x11proto-fonts-dev                 	       0       24        5        0       19
63990 x11proto-present-dev               	       0       14        4        0       10
63991 x11proto-print-dev                 	       0        8        7        0        1
63992 x11proto-randr-dev                 	       0      180       18        0      162
63993 x11proto-record-dev                	       0      184        5        0      179
63994 x11proto-resource-dev              	       0       12        4        0        8
63995 x11proto-trap-dev                  	       0        2        2        0        0
63996 x11proto-video-dev                 	       0       61       12        0       49
63997 x11proto-xcmisc-dev                	       0        7        2        0        5
63998 x11proto-xf86bigfont-dev           	       0       10        4        0        6
63999 x11proto-xf86dga-dev               	       0       43        3        0       40
64000 x11proto-xf86dri-dev               	       0       16        4        0       12
64001 x11proto-xf86misc-dev              	       0        2        2        0        0
64002 x11proto-xinerama-dev              	       0      179       18        0      161
64003 x11vnc-data                        	       0       27        0        0       27
64004 x2go-keyring                       	       0       14        0        0       14
64005 x2goagent                          	       0        1        1        0        0
64006 x2gobroker-common                  	       0        1        0        0        1
64007 x2gohtmlclient                     	       0        1        0        0        1
64008 x2gokdriveclient                   	       0        6        6        0        0
64009 x2goplugin                         	       0        3        1        0        2
64010 x2goserver-x2gokdrive              	       0        8        0        0        8
64011 x2goserver-xsession                	       0       65        0        0       65
64012 x2gothinclient-smartcardrules      	       0        1        1        0        0
64013 x2gothinclient-usbmount            	       0        1        1        0        0
64014 x2gowebrpc                         	       0        1        1        0        0
64015 x2gowswrapper                      	       0        1        1        0        0
64016 x2vnc                              	       0       12       12        0        0
64017 x2x                                	       0       19       18        1        0
64018 x3270-doc                          	       0        3        0        0        3
64019 x42-gmsynth.lv2                    	       0        1        1        0        0
64020 x4d-icons                          	       0        2        0        0        2
64021 x86-64-v2-support                  	       0        3        0        0        3
64022 x86-64-v3-support                  	       0        1        0        0        1
64023 x86dis                             	       0        3        2        1        0
64024 xa65                               	       0        7        7        0        0
64025 xabacus                            	       0        4        4        0        0
64026 xalan                              	       0       10       10        0        0
64027 xamarin-gtk-theme                  	       0        5        5        0        0
64028 xamarin.android-oss                	       0        1        1        0        0
64029 xandikos                           	       0        1        1        0        0
64030 xanmod-repository                  	       0        2        0        0        2
64031 xapers                             	       0        2        2        0        0
64032 xapian-doc                         	       0       10        0        0       10
64033 xapian-examples                    	       0        4        4        0        0
64034 xapian-omega                       	       0        1        1        0        0
64035 xapm                               	       0        5        5        0        0
64036 xapps-doc                          	       0        2        0        0        2
64037 xar                                	       0        1        1        0        0
64038 xara-gtk                           	       0        3        3        0        0
64039 xaralx                             	       0        2        2        0        0
64040 xaralx-examples                    	       0        1        0        0        1
64041 xaralx-svg                         	       0        2        2        0        0
64042 xarchon                            	       0        1        1        0        0
64043 xarchon-theme-default              	       0        1        0        0        1
64044 xarclock                           	       0        3        3        0        0
64045 xasteroids                         	       0        1        1        0        0
64046 xattr                              	       0       14       14        0        0
64047 xautomation                        	       0       20       19        1        0
64048 xavante                            	       0        1        0        0        1
64049 xawtv-plugin-qt                    	       0        6        0        0        6
64050 xawtv-plugins                      	       0       45        0        0       45
64051 xawtv-tools                        	       0        5        5        0        0
64052 xbanish                            	       0        4        4        0        0
64053 xbase-clients                      	       0       86        0        0       86
64054 xbattbar                           	       0        8        8        0        0
64055 xbattle                            	       0        1        1        0        0
64056 xbill                              	       0       12       12        0        0
64057 xbindkeys-config                   	       0       18       18        0        0
64058 xbitmaps                           	       0     3428        0        0     3428
64059 xblast-tnt-images                  	       0        6        0        0        6
64060 xblast-tnt-levels                  	       0        5        0        0        5
64061 xblast-tnt-models                  	       0        5        0        0        5
64062 xblast-tnt-musics                  	       0        4        0        0        4
64063 xblast-tnt-sounds                  	       0        4        0        0        4
64064 xbmc                               	       0        3        0        0        3
64065 xbmc-addons-dev                    	       0        1        0        0        1
64066 xbmc-skin-confluence               	       0        1        0        0        1
64067 xboing                             	       0        1        1        0        0
64068 xboxdrv                            	       0       14       14        0        0
64069 xbreaky                            	       0        1        1        0        0
64070 xbrzscale                          	       0        3        3        0        0
64071 xbs                                	       0        1        1        0        0
64072 xbubble-data                       	       0       14        0        0       14
64073 xbuilder                           	       0        1        1        0        0
64074 xc                                 	       0        2        2        0        0
64075 xc3sprog                           	       0        2        2        0        0
64076 xcaddy                             	       0        3        3        0        0
64077 xcal                               	       0        5        5        0        0
64078 xcas                               	       0       14       14        0        0
64079 xcb-proto                          	       0       21        0        0       21
64080 xcb-proto-build-deps               	       0        1        0        0        1
64081 xcb-util-build-deps                	       0        2        0        0        2
64082 xcdroast                           	       0        1        1        0        0
64083 xcftools                           	       0        4        4        0        0
64084 xchain                             	       0        3        3        0        0
64085 xchat                              	       0        9        9        0        0
64086 xchat-common                       	       0       11       11        0        0
64087 xchpst                             	       0        1        1        0        0
64088 xcircuit                           	       0        7        7        0        0
64089 xclass-common                      	       0        1        0        0        1
64090 xcolmix                            	       0        1        1        0        0
64091 xcolors                            	       0       12       12        0        0
64092 xcolorsel                          	       0       20       20        0        0
64093 xconq                              	       0        1        1        0        0
64094 xconq-common                       	       0        1        0        0        1
64095 xcowsay                            	       0       18       18        0        0
64096 xcruise                            	       0        2        2        0        0
64097 xcrysden                           	       0        1        1        0        0
64098 xcrysden-data                      	       0        1        0        0        1
64099 xcscope-el                         	       0        5        0        0        5
64100 xcsoar                             	       0        1        1        0        0
64101 xcur2png                           	       0        1        0        1        0
64102 xcursor-themes                     	       0       46        0        0       46
64103 xcwd                               	       0        2        2        0        0
64104 xdd                                	       0        1        1        0        0
64105 xde-menu                           	       0        1        1        0        0
64106 xdeb                               	       0        1        1        0        0
64107 xdemineur                          	       0       18       18        0        0
64108 xdesktopwaves                      	       0        5        5        0        0
64109 xdg-dbus-proxy-tests               	       0        3        0        0        3
64110 xdg-desktop-portal-dev             	       0        2        0        0        2
64111 xdg-desktop-portal-hyprland        	       0        2        0        2        0
64112 xdg-desktop-portal-regolith        	       0        1        1        0        0
64113 xdg-desktop-portal-regolith-x11-config	       0        1        0        0        1
64114 xdg-desktop-portal-tests           	       0        4        0        0        4
64115 xdg-desktop-portal-wlr             	       0       24       21        3        0
64116 xdg-desktop-portals-trinity        	       0        1        1        0        0
64117 xdg-terminal-exec                  	       0        1        0        1        0
64118 xdg-themes-stackrpms               	       0       14        0        0       14
64119 xdg-utils-cxx                      	       0        6        1        0        5
64120 xdg-utils-cxx-dev                  	       0        5        4        1        0
64121 xdgmenumaker                       	       0       11       11        0        0
64122 xdialog                            	       0        1        1        0        0
64123 xdigger                            	       0        1        1        0        0
64124 xdkcal                             	       0        1        1        0        0
64125 xdmx                               	       0        2        2        0        0
64126 xdmx-tools                         	       0        2        2        0        0
64127 xdo                                	       0       29       29        0        0
64128 xdp-tests                          	       0        1        0        0        1
64129 xdp-tools                          	       0        1        1        0        0
64130 xdrawchem                          	       0        1        1        0        0
64131 xdtv                               	       0        1        1        0        0
64132 xdtv-fonts                         	       0        1        1        0        0
64133 xdvik-ja                           	       0        1        1        0        0
64134 xdx                                	       0        4        4        0        0
64135 xe                                 	       0        8        8        0        0
64136 xearth                             	       0        1        1        0        0
64137 xed                                	       0        1        1        0        0
64138 xed-common                         	       0        1        0        0        1
64139 xeji                               	       0        1        1        0        0
64140 xemacs21                           	       0       15        0        0       15
64141 xemacs21-basesupport               	       0      270        0        0      270
64142 xemacs21-mulesupport               	       0      268        0        0      268
64143 xemacs21-nomule                    	       0        2        2        0        0
64144 xemacs21-supportel                 	       0        2        0        0        2
64145 xemeraldia                         	       0        1        1        0        0
64146 xemu                               	       0        1        1        0        0
64147 xen-doc                            	       0        4        0        0        4
64148 xen-hypervisor-4.1-amd64           	       0        1        0        0        1
64149 xen-hypervisor-4.11-amd64          	       0        1        1        0        0
64150 xen-hypervisor-4.14-amd64          	       0        2        2        0        0
64151 xen-hypervisor-4.17-amd64          	       0        8        0        0        8
64152 xen-hypervisor-4.4-amd64           	       0        3        0        0        3
64153 xen-hypervisor-4.8-amd64           	       0        1        1        0        0
64154 xen-hypervisor-common              	       0       11        0        0       11
64155 xen-linux-system-3.16.0-11-amd64   	       0        2        0        0        2
64156 xen-linux-system-3.16.0-6-amd64    	       0        1        0        0        1
64157 xen-linux-system-amd64             	       0        3        0        0        3
64158 xen-system-amd64                   	       0       11        0        0       11
64159 xen-tools                          	       0        6        6        0        0
64160 xen-utils-4.1                      	       0        1        1        0        0
64161 xen-utils-4.11                     	       0        1        1        0        0
64162 xen-utils-4.17                     	       0        8        8        0        0
64163 xen-utils-4.4                      	       0        3        3        0        0
64164 xen-utils-4.8                      	       0        1        1        0        0
64165 xengine                            	       0        2        2        0        0
64166 xenlism-minimalism-theme           	       0        1        0        0        1
64167 xenwatch                           	       0        1        1        0        0
64168 xephem                             	       0        2        2        0        0
64169 xerox-phaser-6000-6010             	       0        1        1        0        0
64170 xerox-workcentre-6015b-6015n-6015ni	       0        1        1        0        0
64171 xeroxprtdrv                        	       0        2        2        0        0
64172 xevil                              	       0        4        4        0        0
64173 xfaces                             	       0        3        3        0        0
64174 xfce-keyboard-shortcuts            	       0       37        0        0       37
64175 xfce4                              	       0     1733        0        0     1733
64176 xfce4-appfinder-build-deps         	       0        1        0        0        1
64177 xfce4-appfinder-dbgsym             	       0        2        2        0        0
64178 xfce4-appmenu-plugin               	       0       35       32        2        1
64179 xfce4-appmenu-plugin-dbgsym        	       0        1        1        0        0
64180 xfce4-artwork                      	       0       20        0        0       20
64181 xfce4-battery-plugin-dbgsym        	       0        1        1        0        0
64182 xfce4-clipman-dbgsym               	       0        1        1        0        0
64183 xfce4-clipman-plugin-dbgsym        	       0        1        1        0        0
64184 xfce4-cpufreq-plugin-build-deps    	       0        1        0        0        1
64185 xfce4-cpufreq-plugin-dbgsym        	       0        2        2        0        0
64186 xfce4-cpugraph-plugin-dbgsym       	       0        1        1        0        0
64187 xfce4-datetime-plugin-dbgsym       	       0        1        1        0        0
64188 xfce4-dev-tools-dbgsym             	       0        1        1        0        0
64189 xfce4-dict-dbgsym                  	       0        1        1        0        0
64190 xfce4-diskperf-plugin-dbgsym       	       0        1        1        0        0
64191 xfce4-docklike-plugin              	       0        2        1        0        1
64192 xfce4-embed-plugin                 	       0        1        0        0        1
64193 xfce4-equake-plugin                	       0        1        0        0        1
64194 xfce4-eyes-plugin                  	       0       37        4        0       33
64195 xfce4-eyes-plugin-dbgsym           	       0        1        1        0        0
64196 xfce4-fsguard-plugin-dbgsym        	       0        1        1        0        0
64197 xfce4-genmon-plugin-dbgsym         	       0        1        1        0        0
64198 xfce4-goodies                      	       0     1641        0        0     1641
64199 xfce4-hdaps                        	       0        1        0        0        1
64200 xfce4-helpers                      	       0     1704        0        0     1704
64201 xfce4-icon-theme                   	       0        1        1        0        0
64202 xfce4-indicator-plugin-dbgsym      	       0        1        1        0        0
64203 xfce4-mailwatch-plugin-dbgsym      	       0        1        1        0        0
64204 xfce4-mcs-manager                  	       0        2        2        0        0
64205 xfce4-messenger-plugin             	       0        3        0        0        3
64206 xfce4-minicmd-plugin               	       0        1        1        0        0
64207 xfce4-mixer                        	       0       12       11        1        0
64208 xfce4-mixer-alsa                   	       0        1        1        0        0
64209 xfce4-mount-plugin-dbgsym          	       0        1        1        0        0
64210 xfce4-mpc-plugin                   	       0       27        0        0       27
64211 xfce4-mpc-plugin-dbgsym            	       0        1        1        0        0
64212 xfce4-multiload-ng-plugin          	       0        1        0        0        1
64213 xfce4-multiload-plugin             	       0        1        1        0        0
64214 xfce4-netload-plugin-dbgsym        	       0        1        1        0        0
64215 xfce4-notifyd-dbgsym               	       0        1        1        0        0
64216 xfce4-panel-build-deps             	       0        1        0        0        1
64217 xfce4-panel-dbgsym                 	       0        2        2        0        0
64218 xfce4-panel-dev                    	       0        1        1        0        0
64219 xfce4-panel-profiles               	       0       29       27        2        0
64220 xfce4-places-plugin-dbgsym         	       0        1        1        0        0
64221 xfce4-power-manager-dbgsym         	       0        1        1        0        0
64222 xfce4-power-manager-plugins-dbgsym 	       0        1        1        0        0
64223 xfce4-pulseaudio-plugin-dbgsym     	       0        1        1        0        0
64224 xfce4-quicklauncher-plugin         	       0       11        0        0       11
64225 xfce4-radio-plugin                 	       0        1        0        0        1
64226 xfce4-screenshooter-dbgsym         	       0        1        1        0        0
64227 xfce4-screenshooter-plugin         	       0        5        0        0        5
64228 xfce4-sensors-plugin-build-deps    	       0        1        0        0        1
64229 xfce4-sensors-plugin-dbgsym        	       0        2        2        0        0
64230 xfce4-session-build-deps           	       0        1        0        0        1
64231 xfce4-session-dbgsym               	       0       16       14        2        0
64232 xfce4-settings-build-deps          	       0        1        0        0        1
64233 xfce4-settings-dbgsym              	       0        2        2        0        0
64234 xfce4-shutdown-disable             	       0        1        0        0        1
64235 xfce4-smartbookmark-plugin         	       0     1662        1        0     1661
64236 xfce4-smartbookmark-plugin-dbgsym  	       0        1        1        0        0
64237 xfce4-sntray-plugin                	       0       29        0        0       29
64238 xfce4-sntray-plugin-common         	       0       39        0        0       39
64239 xfce4-sntray-plugin-dbgsym         	       0        1        1        0        0
64240 xfce4-statusnotifier-plugin        	       0       11        0        0       11
64241 xfce4-statusnotifier-plugin-dbgsym 	       0        1        1        0        0
64242 xfce4-systemload-plugin-dbgsym     	       0        1        1        0        0
64243 xfce4-taskmanager-dbgsym           	       0        1        1        0        0
64244 xfce4-terminal-build-deps          	       0        1        0        0        1
64245 xfce4-terminal-dbgsym              	       0        2        2        0        0
64246 xfce4-timer-plugin-dbgsym          	       0        1        1        0        0
64247 xfce4-verve-plugin-dbgsym          	       0        1        1        0        0
64248 xfce4-volumed                      	       0       17       17        0        0
64249 xfce4-wavelan-plugin-dbgsym        	       0        1        1        0        0
64250 xfce4-wayland-session              	       0        1        0        0        1
64251 xfce4-weather-plugin-dbgsym        	       0        1        1        0        0
64252 xfce4-whiskermenu-plugin-dbgsym    	       0        1        1        0        0
64253 xfce4-xkb-plugin-dbgsym            	       0        1        1        0        0
64254 xfconf-build-deps                  	       0        1        0        0        1
64255 xfconf-dbgsym                      	       0        1        1        0        0
64256 xfdesktop4-build-deps              	       0        1        0        0        1
64257 xfdesktop4-dbgsym                  	       0        1        1        0        0
64258 xfe-i18n                           	       0       53        1        0       52
64259 xfe-themes                         	       0       74        0        0       74
64260 xfig-doc                           	       0       24        5        0       19
64261 xfig-libs                          	       0       75        0        0       75
64262 xfireworks                         	       0        8        8        0        0
64263 xfishtank                          	       0       20       20        0        0
64264 xfkc                               	       0        1        1        0        0
64265 xflip                              	       0        4        4        0        0
64266 xflr5-doc                          	       0        1        0        0        1
64267 xfm                                	       0        1        1        0        0
64268 xfmedia                            	       0        1        1        0        0
64269 xfoil                              	       0        4        4        0        0
64270 xfonts-100dpi-transcoded           	       0       35        0        0       35
64271 xfonts-75dpi-transcoded            	       0       27        0        0       27
64272 xfonts-a12k12                      	       0        8        0        0        8
64273 xfonts-artwiz                      	       0        1        0        0        1
64274 xfonts-ay                          	       0        1        0        0        1
64275 xfonts-ayu                         	       0        9        0        0        9
64276 xfonts-baekmuk                     	       0       14        0        0       14
64277 xfonts-base-transcoded             	       0        1        1        0        0
64278 xfonts-bitmap-mule                 	       0        6        0        0        6
64279 xfonts-biznet-100dpi               	       0       14        0        0       14
64280 xfonts-biznet-75dpi                	       0       11        0        0       11
64281 xfonts-biznet-base                 	       0       12        0        0       12
64282 xfonts-bolkhov-75dpi               	       0        8        1        0        7
64283 xfonts-bolkhov-cp1251-75dpi        	       0        8        0        0        8
64284 xfonts-bolkhov-cp1251-misc         	       0        9        0        0        9
64285 xfonts-bolkhov-isocyr-75dpi        	       0        7        0        0        7
64286 xfonts-bolkhov-isocyr-misc         	       0        8        0        0        8
64287 xfonts-bolkhov-koi8r-75dpi         	       0        9        0        0        9
64288 xfonts-bolkhov-koi8r-misc          	       0       10        0        0       10
64289 xfonts-bolkhov-koi8u-75dpi         	       0        7        0        0        7
64290 xfonts-bolkhov-koi8u-misc          	       0        8        0        0        8
64291 xfonts-bolkhov-misc                	       0        9        0        0        9
64292 xfonts-cmex-big5p                  	       0        2        0        0        2
64293 xfonts-cronyx-100dpi               	       0       11        1        0       10
64294 xfonts-cronyx-cp1251-100dpi        	       0        8        0        0        8
64295 xfonts-cronyx-cp1251-75dpi         	       0        7        0        0        7
64296 xfonts-cronyx-cp1251-misc          	       0        8        0        0        8
64297 xfonts-cronyx-isocyr-100dpi        	       0        8        0        0        8
64298 xfonts-cronyx-isocyr-75dpi         	       0        7        0        0        7
64299 xfonts-cronyx-isocyr-misc          	       0        8        0        0        8
64300 xfonts-cronyx-koi8r-100dpi         	       0       10        0        0       10
64301 xfonts-cronyx-koi8r-75dpi          	       0        9        0        0        9
64302 xfonts-cronyx-koi8r-misc           	       0       10        0        0       10
64303 xfonts-cronyx-koi8u-100dpi         	       0        8        0        0        8
64304 xfonts-cronyx-koi8u-75dpi          	       0        7        0        0        7
64305 xfonts-cronyx-koi8u-misc           	       0        8        0        0        8
64306 xfonts-cronyx-misc                 	       0        7        0        0        7
64307 xfonts-cyrillic                    	       0       26        0        0       26
64308 xfonts-dosemu                      	       0        1        0        0        1
64309 xfonts-efont-unicode               	       0       21        0        0       21
64310 xfonts-efont-unicode-ib            	       0       21        0        0       21
64311 xfonts-encodings                   	       0     3540        0        0     3540
64312 xfonts-intl-arabic                 	       0       10        0        0       10
64313 xfonts-intl-asian                  	       0       10        0        0       10
64314 xfonts-intl-chinese                	       0       14        0        0       14
64315 xfonts-intl-chinese-big            	       0       11        0        0       11
64316 xfonts-intl-european               	       0       22        0        0       22
64317 xfonts-intl-japanese               	       0       16        0        0       16
64318 xfonts-intl-japanese-big           	       0       15        0        0       15
64319 xfonts-intl-phonetic               	       0       20        0        0       20
64320 xfonts-jisx0213                    	       0        7        0        0        7
64321 xfonts-jmk                         	       0       12        0        0       12
64322 xfonts-kaname                      	       0        8        0        0        8
64323 xfonts-kapl                        	       0       10        0        0       10
64324 xfonts-kappa20                     	       0       10        0        0       10
64325 xfonts-knickers                    	       0        2        0        0        2
64326 xfonts-konsole                     	       0        1        1        0        0
64327 xfonts-libdockapp                  	       0        8        0        0        8
64328 xfonts-marumoji                    	       0        9        0        0        9
64329 xfonts-mathml                      	       0       12        0        0       12
64330 xfonts-mona                        	       0       13        0        0       13
64331 xfonts-mplus                       	       0       14        0        0       14
64332 xfonts-naga10                      	       0        5        0        0        5
64333 xfonts-nexus                       	       0       11        0        0       11
64334 xfonts-shinonome                   	       0       11        0        0       11
64335 xfonts-terminus-dos                	       0       46        0        0       46
64336 xfonts-terminus-oblique            	       0       62        0        0       62
64337 xfonts-thai                        	       0       10        0        0       10
64338 xfonts-thai-etl                    	       0       10        0        0       10
64339 xfonts-thai-manop                  	       0       10        0        0       10
64340 xfonts-thai-nectec                 	       0       10        0        0       10
64341 xfonts-thai-poonlap                	       0        9        0        0        9
64342 xfonts-thai-vor                    	       0       10        0        0       10
64343 xfonts-tipa                        	       0       18        0        0       18
64344 xfonts-unifont                     	       0      124        1        0      123
64345 xfonts-wqy                         	       0        9        0        0        9
64346 xfonts-x3270-misc                  	       0       22        0        0       22
64347 xfprint4                           	       0        3        3        0        0
64348 xfpt                               	       0        1        1        0        0
64349 xfractint                          	       0       11       11        0        0
64350 xfrisk                             	       0        6        6        0        0
64351 xfslibs-dev                        	       0       12       11        1        0
64352 xfwm4-build-deps                   	       0        1        0        0        1
64353 xfwm4-dbgsym                       	       0        1        1        0        0
64354 xfwm4-theme-breeze                 	       0      289        0        0      289
64355 xfwm4-themes                       	       0       25        0        0       25
64356 xgalaga++                          	       0        9        9        0        0
64357 xgammon                            	       0        7        7        0        0
64358 xgraph                             	       0        1        1        0        0
64359 xgridfit                           	       0        5        5        0        0
64360 xgterm                             	       0        3        3        0        0
64361 xhtml2ps                           	       0       11       11        0        0
64362 xicc                               	       0        1        1        0        0
64363 xidle                              	       0        4        4        0        0
64364 ximtool                            	       0        1        1        0        0
64365 xindy                              	       0       19       19        0        0
64366 xindy-rules                        	       0       21        0        0       21
64367 xine-console                       	       0       14       14        0        0
64368 xine-plugin                        	       0        1        1        0        0
64369 xineliboutput-sxfe                 	       0        2        2        0        0
64370 xininfo                            	       0        4        4        0        0
64371 xinput-calibrator                  	       0       15       14        1        0
64372 xinv3d                             	       0        5        5        0        0
64373 xiphos                             	       0       13       13        0        0
64374 xiphos-data                        	       0       13        0        0       13
64375 xipmsg                             	       0        1        1        0        0
64376 xiterm+thai                        	       0        2        2        0        0
64377 xjadeo                             	       0       69       69        0        0
64378 xjdic                              	       0        2        2        0        0
64379 xjig                               	       0        8        8        0        0
64380 xjokes                             	       0        1        1        0        0
64381 xjump                              	       0        3        3        0        0
64382 xkb-switch                         	       0        1        1        0        0
64383 xkbind                             	       0        4        4        0        0
64384 xkblayout-state                    	       0        1        1        0        0
64385 xkbsel                             	       0        3        3        0        0
64386 xkeycaps                           	       0       30       30        0        0
64387 xlassie                            	       0        2        2        0        0
64388 xlax                               	       0        1        1        0        0
64389 xletters                           	       0        4        4        0        0
64390 xlib6g                             	       0        1        0        0        1
64391 xlibmesa-gl                        	       0        2        0        0        2
64392 xlibs                              	       0        1        0        0        1
64393 xlibs-data                         	       0        2        0        0        2
64394 xlockmore                          	       0        1        1        0        0
64395 xlog-data                          	       0       11        0        0       11
64396 xlunzip                            	       0        4        3        1        0
64397 xmacro                             	       0        8        8        0        0
64398 xmake                              	       0        1        1        0        0
64399 xmake-data                         	       0        1        1        0        0
64400 xmakemol                           	       0        1        1        0        0
64401 xmakemol-gl                        	       0        2        2        0        0
64402 xmame-extras                       	       0        1        0        0        1
64403 xmame-sdl                          	       0        1        0        0        1
64404 xmame-tools                        	       0        1        0        0        1
64405 xmame-x                            	       0        1        0        0        1
64406 xmaxima                            	       0       15       15        0        0
64407 xmcd                               	       0        1        1        0        0
64408 xmedcon                            	       0        7        7        0        0
64409 xmem                               	       0        1        1        0        0
64410 xmhtml1                            	       0        1        0        0        1
64411 xmille                             	       0        6        6        0        0
64412 xmind-vana                         	       0        6        6        0        0
64413 xmix                               	       0        1        1        0        0
64414 xml-security-c-utils               	       0        1        1        0        0
64415 xmlcopyeditor                      	       0       10       10        0        0
64416 xmldiff                            	       0        6        6        0        0
64417 xmlformat-doc                      	       0        2        0        0        2
64418 xmlformat-perl                     	       0        2        2        0        0
64419 xmlformat-ruby                     	       0        2        2        0        0
64420 xmlindent                          	       0        5        5        0        0
64421 xmlrpc-api-utils                   	       0        2        2        0        0
64422 xmlto-dbgsym                       	       0        1        1        0        0
64423 xmltoman                           	       0        5        5        0        0
64424 xmltooling-schemas                 	       0        1        0        0        1
64425 xmltreenav                         	       0        1        1        0        0
64426 xmltv                              	       0        4        0        0        4
64427 xmms                               	       0        3        3        0        0
64428 xmms-xmmplayer                     	       0        1        1        0        0
64429 xmms2                              	       0       28        0        0       28
64430 xmms2-client-avahi                 	       0        3        3        0        0
64431 xmms2-dev                          	       0        3        3        0        0
64432 xmms2-icon                         	       0       29        0        0       29
64433 xmms2-plugin-all                   	       0        4        0        0        4
64434 xmms2-scrobbler                    	       0        1        1        0        0
64435 xmorph                             	       0        3        3        0        0
64436 xmotd                              	       0        2        2        0        0
64437 xmoto-data                         	       0       10        0        0       10
64438 xmountains                         	       0       12       12        0        0
64439 xmp-audacious                      	       0        1        0        0        1
64440 xmp-common                         	       0        2        0        0        2
64441 xmpi                               	       0        1        1        0        0
64442 xmpp-dns                           	       0        1        1        0        0
64443 xmppc                              	       0        3        3        0        0
64444 xmpsolve                           	       0        1        1        0        0
64445 xmpuzzles                          	       0        9        9        0        0
64446 xmrig                              	       0        1        1        0        0
64447 xnbd-common                        	       0        1        1        0        0
64448 xnbd-server                        	       0        1        1        0        0
64449 xnc                                	       0        1        1        0        0
64450 xnconvert                          	       0       10       10        0        0
64451 xnec2c                             	       0       12       12        0        0
64452 xnecview                           	       0        1        1        0        0
64453 xnedit                             	       0        1        1        0        0
64454 xnee                               	       0        7        0        0        7
64455 xnee-doc                           	       0        5        0        0        5
64456 xnetcardconfig                     	       0        1        1        0        0
64457 xnview                             	       0       12       12        0        0
64458 xoids                              	       0        1        1        0        0
64459 xombrero                           	       0        4        4        0        0
64460 xonix-jahu                         	       0        1        1        0        0
64461 xonsh                              	       0        4        4        0        0
64462 xonsh-doc                          	       0        1        0        0        1
64463 xorg                               	       0     2976        0        0     2976
64464 xorg-build-deps                    	       0        1        0        0        1
64465 xorg-dev                           	       0       53        0        0       53
64466 xorg-docs                          	       0       31        0        0       31
64467 xorg-docs-core                     	       0     3045        0        0     3045
64468 xorg-edit                          	       0        1        1        0        0
64469 xorg-server-source                 	       0        6        0        0        6
64470 xorg-sgml-doctools                 	       0     1208        0        0     1208
64471 xorg-video-abi-24                  	       0        1        0        0        1
64472 xorriso-dd-target                  	       0        1        1        0        0
64473 xorriso-tcltk                      	       0        9        9        0        0
64474 xoscope                            	       0       20       20        0        0
64475 xotcl                              	       0        2        2        0        0
64476 xotcl-dev                          	       0        2        2        0        0
64477 xotcl-doc                          	       0        1        0        0        1
64478 xotcl-shells                       	       0        2        2        0        0
64479 xournal-build-deps                 	       0        1        0        0        1
64480 xournal-dbgsym                     	       0        1        1        0        0
64481 xpa-tools                          	       0        3        3        0        0
64482 xpat2                              	       0       12       12        0        0
64483 xpilot-ng                          	       0        1        0        0        1
64484 xpilot-ng-client-sdl               	       0        1        1        0        0
64485 xpilot-ng-client-x11               	       0        1        1        0        0
64486 xpilot-ng-common                   	       0        1        1        0        0
64487 xpilot-ng-server                   	       0        3        3        0        0
64488 xpilot-ng-utils                    	       0        1        1        0        0
64489 xplanet-images                     	       0       59        0        0       59
64490 xplot                              	       0        2        2        0        0
64491 xpm2wico                           	       0        1        1        0        0
64492 xpn                                	       0        2        2        0        0
64493 xpng                               	       0        1        1        0        0
64494 xpp                                	       0        8        8        0        0
64495 xpra-html5                         	       0        1        1        0        0
64496 xprint-common                      	       0        2        0        0        2
64497 xprint-utils                       	       0        3        3        0        0
64498 xprintidle                         	       0       20       18        2        0
64499 xprobe                             	       0        7        7        0        0
64500 xpuyopuyo                          	       0        1        1        0        0
64501 xpuzzles                           	       0        5        5        0        0
64502 xpython                            	       0        1        1        0        0
64503 xqf                                	       0        1        1        0        0
64504 xracer                             	       0        6        6        0        0
64505 xracer-tools                       	       0        1        1        0        0
64506 xray                               	       0        1        1        0        0
64507 xrdesktop                          	       0        1        1        0        0
64508 xrdp-build-deps                    	       0        1        0        0        1
64509 xrescat                            	       0        1        1        0        0
64510 xresprobe                          	       0        6        6        0        0
64511 xrick                              	       0        1        1        0        0
64512 xrick-data                         	       0        1        0        0        1
64513 xrootd-client-plugins              	       0        2        0        0        2
64514 xrootd-fuse                        	       0        1        1        0        0
64515 xrootd-plugins                     	       0        2        0        0        2
64516 xrootd-server-plugins              	       0        1        0        0        1
64517 xrsh                               	       0        1        1        0        0
64518 xsane-common                       	       0     1877        0        0     1877
64519 xsane-dbg                          	       0        1        1        0        0
64520 xscavenger                         	       0        2        2        0        0
64521 xschem                             	       0        4        4        0        0
64522 xscope                             	       0        1        1        0        0
64523 xscorch                            	       0       11       11        0        0
64524 xscreensaver-gl-extra              	       0      160       24        0      136
64525 xscreensaver-screensaver-bsod      	       0       62        5        0       57
64526 xscreensaver-screensaver-dizzy     	       0       37       37        0        0
64527 xsdcxx                             	       0        2        2        0        0
64528 xsddiagram                         	       0        2        2        0        0
64529 xserver-xorg-core-dbgsym           	       0        2        1        1        0
64530 xserver-xorg-input-aiptek          	       0        3        3        0        0
64531 xserver-xorg-input-all             	       0     3153        0        0     3153
64532 xserver-xorg-input-elographics     	       0        2        2        0        0
64533 xserver-xorg-input-evdev-dev       	       0        5        5        0        0
64534 xserver-xorg-input-joystick        	       0       17       17        0        0
64535 xserver-xorg-input-joystick-dev    	       0        1        1        0        0
64536 xserver-xorg-input-mtrack          	       0        6        6        0        0
64537 xserver-xorg-input-mutouch         	       0        3        3        0        0
64538 xserver-xorg-input-synaptics-dev   	       0        6        6        0        0
64539 xserver-xorg-input-vmmouse         	       0       18       17        1        0
64540 xserver-xorg-input-void            	       0       32       32        0        0
64541 xserver-xorg-input-xwiimote        	       0        3        3        0        0
64542 xserver-xorg-legacy-dbgsym         	       0        1        1        0        0
64543 xserver-xorg-video-all             	       0     3076        0        0     3076
64544 xserver-xorg-video-geode           	       0       13       13        0        0
64545 xserver-xorg-video-glide           	       0        2        2        0        0
64546 xserver-xorg-video-intel-git20180925-2-amd64	       0        1        1        0        0
64547 xserver-xorg-video-ivtv            	       0        1        1        0        0
64548 xserver-xorg-video-nvidia-tesla    	       0        1        0        1        0
64549 xserver-xorg-video-nvidia-tesla-450	       0        2        2        0        0
64550 xserver-xorg-video-via             	       0        1        0        0        1
64551 xseticon                           	       0        5        5        0        0
64552 xsettings-kde                      	       0       20       19        1        0
64553 xshisen                            	       0        9        9        0        0
64554 xshogi                             	       0        8        8        0        0
64555 xskat                              	       0       17       17        0        0
64556 xsnow                              	       0       30       30        0        0
64557 xsoldier                           	       0        8        8        0        0
64558 xspecs                             	       0        2        0        0        2
64559 xssproxy                           	       0        2        2        0        0
64560 xstarfish                          	       0        3        3        0        0
64561 xsteg                              	       0        1        1        0        0
64562 xsupplicant                        	       0        1        1        0        0
64563 xsynth-dssi                        	       0        9        9        0        0
64564 xsysinfo                           	       0        5        5        0        0
64565 xsystem35                          	       0        4        4        0        0
64566 xtables-addons-common              	       0       10        9        1        0
64567 xtables-addons-dkms                	       0       10        9        1        0
64568 xteddy                             	       0       10       10        0        0
64569 xtensor-dev                        	       0        2        0        0        2
64570 xtide                              	       0        1        1        0        0
64571 xtide-coastline                    	       0        2        0        0        2
64572 xtide-data                         	       0        1        0        0        1
64573 xtitle                             	       0        3        3        0        0
64574 xtl-dev                            	       0       12        0        0       12
64575 xtokkaetama                        	       0        1        1        0        0
64576 xtrace                             	       0       10       10        0        0
64577 xtrkcad                            	       0        5        5        0        0
64578 xtrkcad-common                     	       0        5        0        0        5
64579 xtron                              	       0        4        3        1        0
64580 xtrs                               	       0        6        6        0        0
64581 xtruss                             	       0        1        1        0        0
64582 xtrx-fft                           	       0        1        1        0        0
64583 xttitle                            	       0       10        9        1        0
64584 xtux-client                        	       0        1        1        0        0
64585 xtux-common                        	       0        1        0        0        1
64586 xtux-levels                        	       0        1        0        0        1
64587 xtux-server                        	       0        1        1        0        0
64588 xtv                                	       0        5        5        0        0
64589 xu4                                	       0        1        1        0        0
64590 xubuntu-icon-theme                 	       0        1        0        0        1
64591 xul-ext-adblock-plus               	       0        5        5        0        0
64592 xul-ext-adblock-plus-element-hiding-helper	       0        1        0        0        1
64593 xul-ext-autofill-forms             	       0        1        0        0        1
64594 xul-ext-certificatepatrol          	       0        2        0        0        2
64595 xul-ext-cookie-monster             	       0        2        0        0        2
64596 xul-ext-cookieculler               	       0        2        0        0        2
64597 xul-ext-dom-inspector              	       0        1        0        0        1
64598 xul-ext-downloadstatusbar          	       0        1        0        0        1
64599 xul-ext-downthemall                	       0        4        0        0        4
64600 xul-ext-flashblock                 	       0        1        0        0        1
64601 xul-ext-flashgot                   	       0        1        0        0        1
64602 xul-ext-gnome-keyring              	       0        2        0        0        2
64603 xul-ext-greasemonkey               	       0        1        0        0        1
64604 xul-ext-historyblock               	       0        1        0        0        1
64605 xul-ext-iceweasel-branding         	       0        1        0        0        1
64606 xul-ext-livehttpheaders            	       0        1        0        0        1
64607 xul-ext-lyz                        	       0        1        0        0        1
64608 xul-ext-noscript                   	       0        3        0        0        3
64609 xul-ext-notify                     	       0        1        0        0        1
64610 xul-ext-scrapbook                  	       0        1        0        0        1
64611 xul-ext-sogo-connector             	       0        4        0        0        4
64612 xul-ext-sync                       	       0        1        1        0        0
64613 xul-ext-tabmixplus                 	       0        2        0        0        2
64614 xul-ext-ublock-origin              	       0        5        0        0        5
64615 xul-ext-useragentswitcher          	       0        2        0        0        2
64616 xul-ext-video-without-flash        	       0        2        0        0        2
64617 xul-ext-wot                        	       0        1        0        0        1
64618 xulrunner-1.9.1                    	       0        1        1        0        0
64619 xulrunner-24.0                     	       0        7        7        0        0
64620 xulrunner-26.0                     	       0        1        1        0        0
64621 xulrunner-29                       	       0        1        1        0        0
64622 xulrunner-gnome-support            	       0        1        1        0        0
64623 xutils                             	       0       47        0        0       47
64624 xv                                 	       0        1        1        0        0
64625 xvattr                             	       0        1        1        0        0
64626 xvid4conf                          	       0        5        5        0        0
64627 xvidenc                            	       0        2        1        0        1
64628 xvier                              	       0        4        4        0        0
64629 xview-clients                      	       0        2        2        0        0
64630 xview-examples                     	       0        2        2        0        0
64631 xviewer-build-deps                 	       0        1        0        0        1
64632 xviewg                             	       0        2        2        0        0
64633 xviewg-dev                         	       0        1        1        0        0
64634 xvile                              	       0        2        2        0        0
64635 xvnc4viewer                        	       0       26        1        0       25
64636 xvncviewer                         	       0        2        2        0        0
64637 xvt                                	       0        2        2        0        0
64638 xwelltris                          	       0       13       13        0        0
64639 xwiimote                           	       0        2        2        0        0
64640 xwinwrap                           	       0        1        1        0        0
64641 xwit                               	       0        6        6        0        0
64642 xword                              	       0        2        2        0        0
64643 xwpe                               	       0        5        5        0        0
64644 xwrited                            	       0        3        3        0        0
64645 xwrits                             	       0        1        1        0        0
64646 xxdiff-scripts                     	       0        5        5        0        0
64647 xxhash                             	       0        8        8        0        0
64648 xxxterm                            	       0        1        0        0        1
64649 xye                                	       0        8        8        0        0
64650 xye-data                           	       0        8        0        0        8
64651 xygrib                             	       0        7        7        0        0
64652 xygrib-maps                        	       0        8        0        0        8
64653 xyscan                             	       0        5        5        0        0
64654 xzip                               	       0       14       14        0        0
64655 y-ppa-manager                      	       0        1        1        0        0
64656 yabar                              	       0        4        4        0        0
64657 yabasic                            	       0        6        6        0        0
64658 yabause                            	       0        6        0        0        6
64659 yabause-common                     	       0        7        0        0        7
64660 yabause-gtk                        	       0        1        1        0        0
64661 yabause-qt                         	       0        7        7        0        0
64662 yacas                              	       0        4        4        0        0
64663 yacas-doc                          	       0        4        0        0        4
64664 yacpi                              	       0        8        8        0        0
64665 yacy                               	       0        1        1        0        0
64666 yadicons                           	       0        4        0        0        4
64667 yadm                               	       0        4        4        0        0
64668 yafaray                            	       0        1        1        0        0
64669 yafc                               	       0        4        4        0        0
64670 yagv                               	       0        4        4        0        0
64671 yahtzeesharp                       	       0        1        1        0        0
64672 yajl-tools                         	       0        3        2        1        0
64673 yakuake-trinity                    	       0        3        3        0        0
64674 yakyak                             	       0        1        1        0        0
64675 yale                               	       0        4        0        0        4
64676 yamagi-quake2                      	       0        9        9        0        0
64677 yamagi-quake2-core                 	       0        9        9        0        0
64678 yambar                             	       0        1        1        0        0
64679 yaml-cpp-sourcedep                 	       0        1        1        0        0
64680 yandex-browser-beta                	       0        2        2        0        0
64681 yandex-disk                        	       0        3        3        0        0
64682 yang-tools                         	       0        1        0        0        1
64683 yangdump                           	       0        1        1        0        0
64684 yank                               	       0        2        2        0        0
64685 yap                                	       0        2        2        0        0
64686 yapf3                              	       0       27       27        0        0
64687 yapps2                             	       0        3        3        0        0
64688 yapps2-runtime                     	       0        1        1        0        0
64689 yard                               	       0        3        3        0        0
64690 yard-doc                           	       0        1        0        0        1
64691 yaret                              	       0        3        3        0        0
64692 yaru-cinnamon-theme-gtk            	       0        2        0        0        2
64693 yaru-cinnamon-theme-icon           	       0        2        0        0        2
64694 yaru-theme-gnome-shell             	       0        2        0        0        2
64695 yaru-theme-icon                    	       0       10        0        0       10
64696 yaru-theme-sound                   	       0        7        0        0        7
64697 yaru-theme-unity                   	       0        2        0        0        2
64698 yarxi                              	       0        1        1        0        0
64699 yasat                              	       0        4        4        0        0
64700 yash                               	       0        7        7        0        0
64701 yasnippet                          	       0        2        0        0        2
64702 yasnippet-snippets                 	       0        1        0        0        1
64703 yasw                               	       0        2        2        0        0
64704 yatex                              	       0        2        2        0        0
64705 yauap                              	       0        1        1        0        0
64706 yavta                              	       0        3        3        0        0
64707 yaws-doc                           	       0        3        0        0        3
64708 yazc                               	       0        1        1        0        0
64709 yaze                               	       0        1        0        1        0
64710 ydotoold                           	       0        1        1        0        0
64711 yeahconsole                        	       0        1        1        0        0
64712 yealink-module-6.1.0-0.deb11.17-amd64	       0        1        0        0        1
64713 yealink-module-6.1.0-0.deb11.21-amd64	       0        1        0        0        1
64714 yelp-tools                         	       0       15       15        0        0
64715 yelp-tools-build-deps              	       0        1        0        0        1
64716 yelp-xsl                           	       0     1691        0        0     1691
64717 yencode                            	       0        1        1        0        0
64718 yersinia                           	       0        2        2        0        0
64719 yforth                             	       0        2        2        0        0
64720 yget                               	       0        1        1        0        0
64721 yggdrasil                          	       0        5        4        1        0
64722 ygraph                             	       0        1        1        0        0
64723 yhsm-tools                         	       0        1        1        0        0
64724 yi                                 	       0        1        1        0        0
64725 ykcs11                             	       0        6        0        0        6
64726 ykls                               	       0        5        5        0        0
64727 ykneomgr                           	       0        1        1        0        0
64728 ylva                               	       0        1        1        0        0
64729 ymuse                              	       0        2        2        0        0
64730 ynew                               	       0        1        0        1        0
64731 yodl                               	       0        3        3        0        0
64732 yodl-doc                           	       0        1        0        0        1
64733 yokadi                             	       0        2        2        0        0
64734 yorick                             	       0        6        6        0        0
64735 yorick-av                          	       0        3        3        0        0
64736 yorick-cubeview                    	       0        2        2        0        0
64737 yorick-curses                      	       0        4        4        0        0
64738 yorick-data                        	       0        6        0        0        6
64739 yorick-dev                         	       0        2        2        0        0
64740 yorick-doc                         	       0        2        2        0        0
64741 yorick-full                        	       0        2        0        0        2
64742 yorick-gl                          	       0        3        3        0        0
64743 yorick-gy                          	       0        3        3        0        0
64744 yorick-gyoto                       	       0        2        2        0        0
64745 yorick-hdf5                        	       0        2        2        0        0
64746 yorick-imutil                      	       0        4        4        0        0
64747 yorick-mira                        	       0        3        3        0        0
64748 yorick-ml4                         	       0        3        3        0        0
64749 yorick-mpeg                        	       0        3        3        0        0
64750 yorick-optimpack                   	       0        4        4        0        0
64751 yorick-soy                         	       0        3        3        0        0
64752 yorick-svipc                       	       0        2        2        0        0
64753 yorick-yeti                        	       0        5        5        0        0
64754 yorick-yeti-fftw                   	       0        4        4        0        0
64755 yorick-yeti-regex                  	       0        3        3        0        0
64756 yorick-yeti-tiff                   	       0        4        4        0        0
64757 yorick-ygsl                        	       0        3        3        0        0
64758 yorick-ynfft                       	       0        3        3        0        0
64759 yorick-yutils                      	       0        5        0        0        5
64760 yorick-z                           	       0        6        6        0        0
64761 yoshimi-data                       	       0       17        0        0       17
64762 yoshimi-doc                        	       0       16        0        0       16
64763 yosys                              	       0       12       12        0        0
64764 yosys-abc                          	       0        2        2        0        0
64765 yosys-doc                          	       0        1        0        0        1
64766 yosys-plugin-ghdl                  	       0        1        1        0        0
64767 youtube-dlg                        	       0        2        2        0        0
64768 youtube-downloader                 	       0        2        0        0        2
64769 youtube-music-desktop-app          	       0        1        1        0        0
64770 youtube-to-mp3                     	       0        6        0        0        6
64771 yq                                 	       0        9        7        2        0
64772 ytalk                              	       0       10       10        0        0
64773 ytcc                               	       0        3        3        0        0
64774 ytfzf                              	       0       11       11        0        0
64775 ytnef                              	       0        1        1        0        0
64776 ytnef-tools                        	       0        8        8        0        0
64777 yubihsm-auth                       	       0        3        2        1        0
64778 yubihsm-shell                      	       0        3        2        1        0
64779 yubihsm-wrap                       	       0        3        2        1        0
64780 yubikey-agent                      	       0        4        4        0        0
64781 yubikey-luks                       	       0        3        3        0        0
64782 yubikey-personalization-gui        	       0       12       12        0        0
64783 yudit-common                       	       0      311        0        0      311
64784 yudit-doc                          	       0        9        0        0        9
64785 yui-compressor                     	       0        4        4        0        0
64786 yum                                	       0        1        1        0        0
64787 yuview                             	       0        2        2        0        0
64788 yuzu                               	       0        4        4        0        0
64789 yydecode                           	       0        2        2        0        0
64790 z-library                          	       0        1        0        0        1
64791 z-push-config-apache               	       0        1        0        0        1
64792 z-push-kopano                      	       0        1        0        0        1
64793 z3                                 	       0       10       10        0        0
64794 z80asm                             	       0       11       11        0        0
64795 z80dasm                            	       0        9        9        0        0
64796 z88                                	       0        2        2        0        0
64797 z88-data                           	       0        2        0        0        2
64798 z88-doc                            	       0        2        0        0        2
64799 zabbix-agent2-plugin-ember-plus    	       0        1        1        0        0
64800 zabbix-agent2-plugin-mongodb       	       0        2        2        0        0
64801 zabbix-agent2-plugin-mssql         	       0        1        1        0        0
64802 zabbix-agent2-plugin-postgresql    	       0        1        1        0        0
64803 zabbix-apache-conf                 	       0        3        0        0        3
64804 zabbix-frontend-php                	       0        9        9        0        0
64805 zabbix-get                         	       0        2        2        0        0
64806 zabbix-nginx-conf                  	       0        2        0        0        2
64807 zabbix-proxy-mysql                 	       0        1        1        0        0
64808 zabbix-proxy-sqlite3               	       0        1        1        0        0
64809 zabbix-release                     	       0       30        0        0       30
64810 zabbix-sender                      	       0        2        2        0        0
64811 zabbix-server-mysql                	       0        5        5        0        0
64812 zabbix-sql-scripts                 	       0        4        0        0        4
64813 zandronum                          	       0        2        0        0        2
64814 zandronum-client                   	       0        2        2        0        0
64815 zandronum-pk3                      	       0        2        2        0        0
64816 zandronum-server                   	       0        2        2        0        0
64817 zandronum-ssl                      	       0        1        1        0        0
64818 zangband                           	       0        5        5        0        0
64819 zangband-data                      	       0        5        5        0        0
64820 zanshin                            	       0        1        1        0        0
64821 zapping                            	       0        2        2        0        0
64822 zarchive-tools                     	       0        4        4        0        0
64823 zatacka                            	       0        3        3        0        0
64824 zathura-cb                         	       0       21        2        0       19
64825 zathura-dev                        	       0        1        1        0        0
64826 zathura-djvu                       	       0       39        3        0       36
64827 zathura-ps                         	       0       40        2        0       38
64828 zaubberer-archive-keyring          	       0        1        0        0        1
64829 zaubberer-keyring                  	       0        1        0        0        1
64830 zaz                                	       0        9        9        0        0
64831 zaz-data                           	       0        9        0        0        9
64832 zbackup                            	       0        3        3        0        0
64833 zbarcam-qt                         	       0        5        5        0        0
64834 zchunk                             	       0        2        2        0        0
64835 zd1211-firmware                    	       0        2        1        0        1
64836 zdbsp                              	       0        1        1        0        0
64837 zdkimfilter                        	       0        1        1        0        0
64838 zdoom                              	       0        1        1        0        0
64839 zeal                               	       0        7        7        0        0
64840 zebra-scanner-corescanner          	       0        1        1        0        0
64841 zebra-scanner-corescanner-dbg      	       0        1        1        0        0
64842 zebra-scanner-devel                	       0        1        1        0        0
64843 zebra-scanner-javapos              	       0        1        1        0        0
64844 zec                                	       0        3        3        0        0
64845 zecwallet                          	       0        1        1        0        0
64846 zecwallet-lite                     	       0        1        0        0        1
64847 zegrapher                          	       0        7        7        0        0
64848 zeitgeist                          	       0       15        0        0       15
64849 zeitgeist-datahub                  	       0       16       16        0        0
64850 zelcore                            	       0        1        0        0        1
64851 zen                                	       0        1        1        0        0
64852 zencash-desktop-gui-wallet         	       0        1        1        0        0
64853 zendframework                      	       0        2        2        0        0
64854 zenity-common                      	       0     1523        0        0     1523
64855 zenkit                             	       0        1        0        0        1
64856 zenlisp                            	       0        2        2        0        0
64857 zenpower-dkms                      	       0        1        0        0        1
64858 zephyr-clients                     	       0        2        2        0        0
64859 zephyr-server                      	       0        1        1        0        0
64860 zeroc-ice-compilers                	       0        4        4        0        0
64861 zeroc-ice-slice                    	       0        4        0        0        4
64862 zeroconf                           	       0        1        1        0        0
64863 zettlr                             	       0        4        3        0        1
64864 zfs-initramfs                      	       0       16        0        0       16
64865 zfsonlinux                         	       0        1        0        0        1
64866 zgen                               	       0        6        0        0        6
64867 zgv                                	       0        1        1        0        0
64868 zh-autoconvert                     	       0        1        1        0        0
64869 zhcon                              	       0       18       17        1        0
64870 zhcon-data                         	       0       18        0        0       18
64871 zhumu                              	       0        1        1        0        0
64872 zim-tools                          	       0       10       10        0        0
64873 zinnia-utils                       	       0        1        1        0        0
64874 zint                               	       0        6        6        0        0
64875 ziptime                            	       0        1        1        0        0
64876 zita-ajbridge                      	       0       11       11        0        0
64877 zita-alsa-pcmi-utils               	       0        9        9        0        0
64878 zita-at1                           	       0        6        6        0        0
64879 zita-bls1                          	       0        9        9        0        0
64880 zita-dc1                           	       0        5        5        0        0
64881 zita-dpl1                          	       0        1        1        0        0
64882 zita-lrx                           	       0        8        8        0        0
64883 zita-mu1                           	       0        9        9        0        0
64884 zita-njbridge                      	       0        9        9        0        0
64885 zita-resampler                     	       0        9        9        0        0
64886 zita-rev1                          	       0        6        6        0        0
64887 zivot                              	       0        4        4        0        0
64888 zlib-bin                           	       0        3        3        0        0
64889 zlibc                              	       0       12        0        0       12
64890 zmakebas                           	       0        2        2        0        0
64891 zmf2epub                           	       0        2        2        0        0
64892 zmf2odg                            	       0        1        1        0        0
64893 zmk                                	       0        3        0        0        3
64894 zmk-doc                            	       0        1        1        0        0
64895 zmusic-build-deps                  	       0        1        0        0        1
64896 znc-backlog                        	       0        3        3        0        0
64897 znc-perl                           	       0       13       13        0        0
64898 znc-python                         	       0       13       13        0        0
64899 znc-tcl                            	       0       13       13        0        0
64900 zoiper5                            	       0        1        1        0        0
64901 zombietrackergps                   	       0        1        1        0        0
64902 zoneminder-dbg                     	       0        1        1        0        0
64903 zoneminder-doc                     	       0        8        0        0        8
64904 zookeeper                          	       0        3        3        0        0
64905 zoom-player                        	       0        9        9        0        0
64906 zoomvdi-universal-plugin           	       0        1        1        0        0
64907 zotero                             	       0        5        4        1        0
64908 zotero-standalone                  	       0        1        1        0        0
64909 zpaqfranz                          	       0        1        1        0        0
64910 zplug                              	       0        7        7        0        0
64911 zramen                             	       0        2        2        0        0
64912 zre-17                             	       0        1        1        0        0
64913 zsh-antidote                       	       0        1        1        0        0
64914 zsh-antigen                        	       0       12        0        0       12
64915 zsh-autosuggestions                	       0       35        0        0       35
64916 zsh-completions                    	       0        1        0        0        1
64917 zsh-dev                            	       0        4        3        1        0
64918 zsh-doc                            	       0       40        0        0       40
64919 zsh-lovers                         	       0        2        0        0        2
64920 zsh-syntax-highlighting            	       0       36        0        0       36
64921 zsh-theme-powerlevel9k             	       0        7        0        0        7
64922 zshdb                              	       0        1        1        0        0
64923 zsnes                              	       0        7        7        0        0
64924 zst                                	       0        3        3        0        0
64925 zstd-dbgsym                        	       0        1        1        0        0
64926 zulip                              	       0        1        0        0        1
64927 zulu-11                            	       0        2        1        0        1
64928 zulu-17                            	       0        1        1        0        0
64929 zulu-6                             	       0        5        0        0        5
64930 zulu-8                             	       0        1        1        0        0
64931 zulu-fx-17                         	       0        1        1        0        0
64932 zulu11                             	       0        1        0        0        1
64933 zulu11-ca                          	       0        1        0        0        1
64934 zulu11-ca-doc                      	       0        1        0        0        1
64935 zulu11-ca-jdk                      	       0        1        0        0        1
64936 zulu11-ca-jdk-headless             	       0        1        0        0        1
64937 zulu11-ca-jre                      	       0        1        0        0        1
64938 zulu11-ca-jre-headless             	       0        1        1        0        0
64939 zulu11-doc                         	       0        1        0        0        1
64940 zulu11-jdk                         	       0        1        0        0        1
64941 zulu11-jdk-headless                	       0        1        0        0        1
64942 zulu11-jre                         	       0        1        0        0        1
64943 zulu11-jre-headless                	       0        1        0        0        1
64944 zulu17-ca-doc                      	       0        2        0        0        2
64945 zulu17-ca-jdk                      	       0        2        0        0        2
64946 zulu17-ca-jdk-headless             	       0        2        0        0        2
64947 zulu17-ca-jre                      	       0        2        0        0        2
64948 zulu17-ca-jre-headless             	       0        2        2        0        0
64949 zulu17-doc                         	       0        2        0        0        2
64950 zulu17-jdk                         	       0        2        0        0        2
64951 zulu17-jdk-headless                	       0        2        0        0        2
64952 zulu17-jre                         	       0        2        0        0        2
64953 zulu17-jre-headless                	       0        2        0        0        2
64954 zulumount-cli                      	       0       16       16        0        0
64955 zulumount-gui                      	       0       15       15        0        0
64956 zulusafe-cli                       	       0        5        5        0        0
64957 zurl                               	       0        1        1        0        0
64958 zx                                 	       0        1        1        0        0
64959 zygrib                             	       0        3        3        0        0
64960 zygrib-maps                        	       0        3        0        0        3
64961 zynadd                             	       0        4        4        0        0
64962 zynaddsubfx-data                   	       0       67        4        0       63
64963 zynaddsubfx-dssi                   	       0       12       11        1        0
64964 zynaddsubfx-lv2                    	       0        5        4        1        0
64965 zynaddsubfx-vst                    	       0        4        3        1        0
64966 zypper                             	       0        3        3        0        0
64967 zypper-common                      	       0        3        3        0        0
64968 zypper-doc                         	       0        1        0        0        1
64969 zytrax                             	       0        4        4        0        0
64970 zziplib-bin                        	       0        3        2        1        0
64971 zzuf                               	       0        2        2        0        0